WorldWideScience

Sample records for metrology standards consortium

  1. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  2. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  3. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  4. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  5. The Genomic Standards Consortium

    DEFF Research Database (Denmark)

    Field, Dawn; Amaral-Zettler, Linda; Cochrane, Guy

    2011-01-01

    Standards Consortium (GSC), an open-membership organization that drives community-based standardization activities, Here we provide a short history of the GSC, provide an overview of its range of current activities, and make a call for the scientific community to join forces to improve the quality...

  6. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  7. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  8. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  9. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  10. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  11. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  12. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  13. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  14. 77 FR 25406 - Consortium on “Concrete Rheology: Enabling Metrology (CREME)”: Membership Fee Update

    Science.gov (United States)

    2012-04-30

    ... DEPARTMENT OF COMMERCE National Institute of Standards and Technology Consortium on ``Concrete... Technology, Commerce. ACTION: Notice. SUMMARY: On October 25, 2011, the National Institute of Standards and Technology (NIST) published a notice of a public meeting, which was held on November 8, 2011, to explore the...

  15. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  16. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  17. The need for LWR metrology standardization: the imec roughness protocol

    Science.gov (United States)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  18. Metrological characteristics of the new BSS2 beta secondary standard system

    International Nuclear Information System (INIS)

    Reynaldo, Sibele R.

    2005-01-01

    Due to the increased interest and the importance of beta radiation dosimetry for radiation protection purposes, the Centro de Desenvolvimento da Tecnologia Nuclear. MG, Brazil, acquired the newest Beta Secondary Standard system (BSS2) in order to replace the old BSS1 model, with the goal of implement a beta radiation metrology laboratory and provide the corresponding reference radiation. The new system BSS2, unique in Latin America, requires operational testing and metrological characterization for reliability purposes. For this, some comparative investigations of the two systems were made. The influence of opening and closing the shutter in the final dose of radiation was identified as the highest in the BSS2 in relation to the one founded in BSS1, justified by the structural difference of the shutters of the systems and the reproducibility of source-detector geometry was better in BSS2, because of the robustness of the same

  19. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  20. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  1. The Quality Control of Reference Standards in Metrology Dosimetry

    International Nuclear Information System (INIS)

    Lazarevic, Dj.; Ciraj Bjelac, O.; Kovacevic, M.; Vukcevic, M.

    2008-01-01

    This works presents the quality control tests applied to two types of ionization chambers with suitable electrometers. Measuring assemblies were tested in order to assess their performance and adequacy for use as reference standards in ionising radiation metrology laboratory for calibrations in the field of radiotherapy and radiation protection. Two types of ionizing chambers (Farmer type, 0.6 cm 3 and spherical ionizing chamber, 1 l) with suitable electrometers were tested. Following test were performed: repeatability, long term stability, polarity and leakage current measurement. All tested measuring assemblies demonstrated proper performance, correctness and high reliance of measurements, since all implemented quality control test results were within acceptable limits. (author)

  2. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  3. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  4. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  5. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  6. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  7. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  8. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  9. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  10. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  11. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  12. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  13. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  14. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  15. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  16. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  17. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  18. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  19. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  20. Means to verify the accuracy of CT systems for metrology applications (In the Absence of Established International Standards)

    International Nuclear Information System (INIS)

    Lettenbauer, H.; Georgi, B.; Weib, D.

    2007-01-01

    X-ray computed tomography (CT) reconstructs an unknown object from X-ray projections and has long been used for qualitative investigation of internal structures in industrial applications. Recently there has been increased interest in applying X-ray cone beam CT to the task of high-precision dimensional measurements of machined parts, since it is a relatively fast method of measuring both inner and outer geometries of arbitrary complexity. The important information for the user in dimensional metrology is if measured elements of a machined part are within the defined tolerances or not. In order to qualify cone beam CT as an established measurement technology, it must be qualified in the same manner as established measurement technologies such as coordinate measurement machines (CMMs) with tactile or optical sensors. In international standards artefacts are defined that are calibrated by certified institutions. These artefacts are defined by certain geometrical elements. CT measurements are performed on the reconstructed object volume, either directly or using an intermediate surface-extraction step. The results of these measurements have to be compared to the values of the calibrated elements; the level of agreement of the results defines the accuracy of the measurements. By using established methods to define measurement uncertainty a very high level of acceptance in dimensional metrology can be reached for the user. Only if results are comparable to standards of the established technologies the barriers of entry into metrology will be removed and all benefits of this technology will be available for the user. (authors)

  1. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  2. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  3. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  4. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  5. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  6. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  7. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  8. Quality control of secondary standards and calibration systems, therapy level, of National Laboratory of Metrology from Ionizing Radiations (LNMRI)

    International Nuclear Information System (INIS)

    Cecatti, E.R.; Freitas, L.C. de

    1992-01-01

    The results of quality control program of secondary standards, therapy level, and the calibration system of clinical dosemeters were analysed from 1984, when a change in the laboratory installation occurred and new standards were obtained. The national and the international intercomparisons were emphasised. The results for graphite wall chambers were compared, observing a maximum variation of about 0,6%. In the case of Delrin (TK01) wall chambers, the maximum variation was 1,7%. The results of post intercomparisons with thermoluminescent dosemeters have presented derivations lesser than 1%, securing the standards consistence at LNMRI with the international metrological system. (C.G.C.)

  9. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    Science.gov (United States)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  10. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  11. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  12. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  13. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    CSIR Research Space (South Africa)

    Becerra, LO

    2006-09-01

    Full Text Available ), 1, Rue Gaston Boissier, 75015 Paris, France 13 Centro Espa?nol de Metrologia (CEM), c/ del Alfar, 2 ? Apartado 37, 28760 Tres Cantos (Madrid), Spain 14 National Metrology Institute of Japan, National Institute of Advanced Industrial Science...

  14. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  15. Procedure and reference standard to determine the structural resolution in coordinate metrology

    Science.gov (United States)

    Illemann, Jens; Bartscher, Markus; Jusko, Otto; Härtig, Frank; Neuschaefer-Rube, Ulrich; Wendt, Klaus

    2014-06-01

    A new procedure and reference standards for specifying the structural resolution in coordinate metrology traceable to the SI unit the metre are proposed. With the definition of the structural resolution, a significant gap will be closed to complete ‘acceptance and verification tests’ of the coordinate measuring systems (CMSs) which are specified in the ISO 10360 series dealing with tactile sensors, optical sensors, and x-ray computed tomography measurement systems (CTs). The proposed new procedure uses reference standards with circular rounded edges. The idea is to measure the radius of curvature on a calibrated round edge structure. From the deviation between the measured and the calibrated radius, an analogue Gaussian broadening of the measurement system is determined. This value is a well-defined and easy-to-apply measure to define the structural resolution for dimensional measurements. It is applicable to CMSs which are based on different sensing principles, e.g. tactile, optical and CT systems. On the other hand, it has a physical meaning similar to the classical optical point-spread function. It makes it possible to predict which smallest details the CMS is capable of measuring reliably for an arbitrary object shape. The theoretical background of the new procedure is given, an appropriate reference standard is described and comparative, quantitative measurement data of CMSs featuring different sensors are shown.

  16. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  17. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  18. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  19. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  20. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  1. Standardized End Point Definitions for Coronary Intervention Trials: The Academic Research Consortium-2 Consensus Document.

    Science.gov (United States)

    Garcia-Garcia, Hector M; McFadden, Eugène P; Farb, Andrew; Mehran, Roxana; Stone, Gregg W; Spertus, John; Onuma, Yoshinobu; Morel, Marie-Angèle; van Es, Gerrit-Anne; Zuckerman, Bram; Fearon, William F; Taggart, David; Kappetein, Arie-Pieter; Krucoff, Mitchell W; Vranckx, Pascal; Windecker, Stephan; Cutlip, Donald; Serruys, Patrick W

    2018-06-14

    The Academic Research Consortium (ARC)-2 initiative revisited the clinical and angiographic end point definitions in coronary device trials, proposed in 2007, to make them more suitable for use in clinical trials that include increasingly complex lesion and patient populations and incorporate novel devices such as bioresorbable vascular scaffolds. In addition, recommendations for the incorporation of patient-related outcomes in clinical trials are proposed. Academic Research Consortium-2 is a collaborative effort between academic research organizations in the United States and Europe, device manufacturers, and European, US, and Asian regulatory bodies. Several in-person meetings were held to discuss the changes that have occurred in the device landscape and in clinical trials and regulatory pathways in the last decade. The consensus-based end point definitions in this document are endorsed by the stakeholders of this document and strongly advocated for clinical trial purposes. This Academic Research Consortium-2 document provides further standardization of end point definitions for coronary device trials, incorporating advances in technology and knowledge. Their use will aid interpretation of trial outcomes and comparison among studies, thus facilitating the evaluation of the safety and effectiveness of these devices.

  2. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  3. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  4. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  5. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  6. CONFERENCE NOTE: CETO—Centro de Ciências e Tecnologias Opticas, Trends in Optical Fibre Metrology and Standards

    Science.gov (United States)

    1994-01-01

    Summer School, 27 June to 8 July 1994, Viana do Castelo, Hotel do Parque, Portugal Optical fibres, with their extremely low transmission loss, untapped bandwidth and controllable dispersion, dominate a broad range of technologies in which applications must respond to the increasing constraints of today's specifications as well as envisage future requirements. Optical fibres dominate communications systems. In the area of sensors, fibre optics will be fully exploited for their immunity to EMI, their high sensitivity and their large dynamic range. The maturity of single mode optical technology has led to intensive R&D of a range of components based on the advantages of transmission characteristics and signal processing. Specifications and intercompatibility requests for the new generation of both analogue and digital fibre optical components and systems has created a demand for sophisticated measuring techniques based on unique and complex instruments. In recent years there has been a signification evolution in response to the explosion of applications and the tightening of specifications. These developments justify a concerted effort to focus on trends in optical fibre metrology and standards. Objective The objective of this school is to provide a progressive and comprehensive presentation of current issues concerning passive and active optical fibre characterization and measurement techniques. Passive fibre components support a variety of developments in optical fibre systems and will be discussed in terms of relevance and standards. Particular attention will be paid to devices for metrological purposes such as reference fibres and calibration artefacts. The characterization and testing of optical fibre amplifiers, which have great potential in telecommunications, data distribution networks and as a system part in instrumentation, will be covered. Methods of measurement and means of calibration with traceability will be discussed, together with the characterization

  7. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  8. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  9. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  10. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  11. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  12. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II from 5 to 1200 ppmv using a metrological humidity generator

    Directory of Open Access Journals (Sweden)

    B. Buchholz

    2018-01-01

    Full Text Available Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10–20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  13. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  14. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  15. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  16. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  17. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  18. The Open Geospatial Consortium PUCK Standard: Building Sensor Networks with Self-Describing Instruments

    Science.gov (United States)

    O'Reilly, T. C.; Broering, A.; del Rio, J.; Headley, K. L.; Toma, D.; Bermudez, L. E.; Edgington, D.; Fredericks, J.; Manuel, A.

    2012-12-01

    Sensor technology is rapidly advancing, enabling smaller and cheaper instruments to monitor Earth's environment. It is expected that many more kinds and quantities of networked environmental sensors will be deployed in coming years. Knowledge of each instrument's command protocol is required to operate and acquire data from the network. Making sense of these data streams to create an integrated picture of environmental conditions requires that each instrument's data and metadata be accurately processed and that "suspect" data be flagged. Use of standards to operate an instrument and retrieve and describe its data generally simplifies instrument software development, integration, operation and data processing. The Open Geospatial Consortium (OGC) PUCK protocol enables instruments that describe themselves in a standard way. OGC PUCK defines a small "data sheet" that describes key instrument characteristics, and a standard protocol to retrieve the data sheet from the device itself. Data sheet fields include a universal serial number that is unique across all PUCK-compliant instruments. Other fields identify the instrument manufacturer and model. In addition to the data sheet, the instrument may also provide a "PUCK payload" which can contain additional descriptive information (e.g. a SensorML document or IEEE 1451 TEDS), as well as actual instrument "driver" code. Computers on the sensor network can use PUCK protocol to retrieve this information from installed instruments and utilize it appropriately, e.g. to automatically identify, configure and operate the instruments, and acquire and process their data. The protocol is defined for instruments with an RS232 or Ethernet interface. OGC members recently voted to adopt PUCK as a component of the OGC's Sensor Web Enablement (SWE) standards. The protocol is also supported by a consortium of hydrographic instrument manufacturers and has been implemented by several of them (https://sites.google.com/site/soscsite/). Thus far

  19. Consortium for military LCD display procurement

    Science.gov (United States)

    Echols, Gregg

    2002-08-01

    International Display Consortium (IDC) is the joining together of display companies to combined their buying power and obtained favorable terms with a major LCD manufacturer. Consolidating the buying power and grouping the demand enables the rugged display industry of avionics, ground vehicles, and ship based display manufacturers to have unencumbered access to high performance AMLCDs while greatly reducing risk and lowering cost. With an unrestricted supply of AMLCD displays, the consortium members have total control of their risk, cost, deliveries and added value partners. Every display manufacturer desires a very close relationship with a display vender. With IDC each consortium member achieves a close relationship. Consortium members enjoy cost effective access to high performance, industry standard sized LCD panels, and modified commercial displays with 100 degree C clearing points and portrait configurations. Consortium members also enjoy proposal support, technical support and long-term support.

  20. The OncoArray Consortium

    DEFF Research Database (Denmark)

    Amos, Christopher I; Dennis, Joe; Wang, Zhaoming

    2017-01-01

    by Illumina to facilitate efficient genotyping. The consortium developed standard approaches for selecting SNPs for study, for quality control of markers, and for ancestry analysis. The array was genotyped at selected sites and with prespecified replicate samples to permit evaluation of genotyping accuracy...... among centers and by ethnic background. RESULTS: The OncoArray consortium genotyped 447,705 samples. A total of 494,763 SNPs passed quality control steps with a sample success rate of 97% of the samples. Participating sites performed ancestry analysis using a common set of markers and a scoring...

  1. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  2. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    on the profiling method of the field proven CD metrology algorithm. The detected edges are then converted to GDSII format, which is a standard format for a design data, and utilized for various DFM systems such as simulation. Namely, by integrating pattern shapes of mask and silicon formed during a manufacturing process into GDSII format, it makes it possible to bridge highly accurate pattern profile information over to the design field of various EDA systems. These are fully integrated into design data and automated. Bi-directional cross probing between mask data and process control data is allowed by linking them. This method is a solution for total optimization that covers Design, MDP, mask production and silicon device producing. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  3. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  4. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  5. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  6. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    Science.gov (United States)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  7. Activities of the IPEN laboratory (CNEN/SP - Brazil) of nuclear metrology

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The determination of radionuclide activity for radioactive sources and standardized solutions is reported as the main purpose of the IPEN laboratory of nuclear metrology. The measurement systems installed in the laboratory, the measurable activity intervals and some of the standardized radionuclides (emphasizing the ones used in nuclear medicine) are presented. (M.A.C.) [pt

  8. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  9. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  10. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  11. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  12. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  13. The ocean sampling day consortium

    DEFF Research Database (Denmark)

    Kopf, Anna; Bicak, Mesude; Kottmann, Renzo

    2015-01-01

    Ocean Sampling Day was initiated by the EU-funded Micro B3 (Marine Microbial Biodiversity, Bioinformatics, Biotechnology) project to obtain a snapshot of the marine microbial biodiversity and function of the world’s oceans. It is a simultaneous global mega-sequencing campaign aiming to generate...... the largest standardized microbial data set in a single day. This will be achievable only through the coordinated efforts of an Ocean Sampling Day Consortium, supportive partnerships and networks between sites. This commentary outlines the establishment, function and aims of the Consortium and describes our...

  14. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  15. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  16. Evaluation of uncertainties in X radiation metrologic chain in the Secondary Standard Dosimetry Laboratory/IRD-Brazilian CNEN

    International Nuclear Information System (INIS)

    Fonseca Coelho, B.C. da.

    1987-01-01

    The equipment to measure ionizing radiation used in medicine needs appropriate technical qualifications to comply with their purposes and regular calibrations to assure the correct evaluation of associated quantities. By legal requirements, the annual calibration of users' dosemeters is to be done in a Secondary Standard Dosimetry Laboratory (SSDL), andthe SSDL'S standard dosemeters are refered to a Primary Standard Dosimetry (PSDL), establishing a rigourous metrological network. The SSDL network. The SSDL needs to maintain, regularly, a quality control program for short and Long term stability of standard dosemeters. The purpose of the work was to determine the uncertainties associated to technical procedures of X-rays calibration at the SSDL/IRD/IRD. To evaluate the influence of the nine main parameters that can give origin to uncertainties, specific procedures and methods are established, according to international requirements and recomendations. The methods are based on the comparison of the behaviour of the users' dosemeters, with a standard dosemeter in the many measuring conditions set up for the secondary standard used as a reference. The total uncertainty obtained was 1,81% usig a conservative procedure, to protect the users and patients. When needed to transfer the calibration factor and their uncertainty, the procedure used was to determine the uncertainty under the worsst possible operating conditions of the equipment, to obtain a superestimated value. This represents an excellent result for an SDDL of IAEA Network. (autor) [pt

  17. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  18. Applications of surface metrology in firearm identification

    International Nuclear Information System (INIS)

    Zheng, X; Soons, J; Vorburger, T V; Song, J; Renegar, T; Thompson, R

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCF MAX ) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases. (paper)

  19. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  20. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  1. Importance of the metrological network for calibration of neutron logging methods

    International Nuclear Information System (INIS)

    Rysavy, F.

    1995-01-01

    The calibration characteristics of neutron logging instrumentation are discussed. The principles of the rock, plastics, and water models are briefly outlined. Indian limestone of 9% porosity is the primary standard in the neutron logging metrology network, from which secondary standards employed during certification measurements are derived. It is recommended that rock blocks should be used as national standards, and each secondary institution should possess a set of polyethylene cylinders, one of which would serve as the main standard for the institution in question. (J.B.)

  2. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  3. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  4. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  5. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  6. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  7. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  8. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  9. NASA space radiation transport code development consortium

    International Nuclear Information System (INIS)

    Townsend, L. W.

    2005-01-01

    Recently, NASA established a consortium involving the Univ. of Tennessee (lead institution), the Univ. of Houston, Roanoke College and various government and national laboratories, to accelerate the development of a standard set of radiation transport computer codes for NASA human exploration applications. This effort involves further improvements of the Monte Carlo codes HETC and FLUKA and the deterministic code HZETRN, including developing nuclear reaction databases necessary to extend the Monte Carlo codes to carry out heavy ion transport, and extending HZETRN to three dimensions. The improved codes will be validated by comparing predictions with measured laboratory transport data, provided by an experimental measurements consortium, and measurements in the upper atmosphere on the balloon-borne Deep Space Test Bed (DSTB). In this paper, we present an overview of the consortium members and the current status and future plans of consortium efforts to meet the research goals and objectives of this extensive undertaking. (authors)

  10. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  11. Traceability and uncertainty estimation in coordinate metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Savio, Enrico; De Chiffre, Leonardo

    2001-01-01

    National and international standards have defined performance verification procedures for coordinate measuring machines (CMMs) that typically involve their ability to measure calibrated lengths and to a certain extent form. It is recognised that, without further analysis or testing, these results...... are required. Depending on the requirements for uncertainty level, different approaches may be adopted to achieve traceability. Especially in the case of complex measurement situations and workpieces the procedures are not trivial. This paper discusses the establishment of traceability in coordinate metrology...

  12. Activities of Radiation Standard Section

    International Nuclear Information System (INIS)

    Kannan, A.; Rao, P.S.; Sachadev, R.N.; Shaha, V.V.; Sharma, D.; Srivastava, P.K.

    1992-01-01

    A brief account of the various facilities and services provided by the Radiation Standards Section (RSS) of the Bhabha Atomic Research Centre, Bombay is given. RSS maintains the primary and secondary standards of various parameters of radiation measurements. It ensures accurate radiological measurements as per international requirements, through periodic international intercomparisons of national standards. It also provides calibration services to various users of radiation sources and instruments. The activities of RSS are described under the headings: (1) Radiological Metrology Standards, (2) Radionuclide Standards, Neutron Metrology, (4) Instruments Calibration, (5) Non-ionizing Radiations, and (6) Instrumentation. (author). figs., tabs

  13. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  14. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  15. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  16. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  17. A blueprint for radioanalytical metrology CRMs, intercomparisons, and PE

    International Nuclear Information System (INIS)

    Inn, Kenneth G.W.; Kurosaki, Hiromu; Frechou, Carole; Gilligan, Chris; Jones, Robert; LaMont, Stephen; Leggitt, Jeff; Li Chunsheng; McCroan, Keith; Swatski, Ronald

    2008-01-01

    A workshop was held from 28 February to 2 March 2006 at the National Institute of Standards and Technology (NIST) to evaluate the needs for new directions for complex matrix reference materials certified for radionuclide content, interlaboratory comparisons and performance evaluation (PE) programs. The workshop identified new radioanalytical metrology thrust areas needed for environmental, radiobioassay, emergency consequence management, and nuclear forensics, attribution, nonproliferation, and safeguards

  18. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  19. Managing Effectively National and Regional projects-A Case of Kenya Bureau of Standards

    International Nuclear Information System (INIS)

    Kioko, J

    2009-01-01

    Discusses the functions of Kenya Bureau of Standards as standards development, testing,metrology,implementation of standards in commerce and industry, accredit ion,certification,inspection of imports and exports,training and education in Metrology,standards,testing and quality assurance

  20. Aerosol metrology: aerodynamic and electrostatic techniques

    International Nuclear Information System (INIS)

    Prodi, V.

    1988-01-01

    Aerosols play an ever increasing role in science, engineering and especially in industrial and environmental hygiene. They are being studied since a long time, but only recently the progress in aerosol instrumentation has made it possible to pose of aerosol metrology, especially the problem of absolute measurements, as based directly on measurements of fundamental quantities. On the basis of absolute measurements, the hierarchy of standards can be prepared and adequately disseminated. In the aerosol field, the quantities to be measured are mainly size, charge, density, and shape. In this paper a possible standardisation framework for aerosols is proposed, for the main physical quantities

  1. Study of the Metrological Characteristics of the FBX Dosimeter in the Photon Beam using a Secondary Standard

    International Nuclear Information System (INIS)

    Moussous, O.; Yahiche, K.; Medjadj, T.

    2008-01-01

    The metrological characteristics of the dosimetric system containing 0.20 m M ferrous ammonium sulphate, 5.0 m M benzoic acid and 0.20 m M xyelenol orange in 0.05 N sulphuric acid. (FBX dosimeter) was investigated. The wavelength and absorbance linearity calibration of the spectrophotometer were checked using NBS Standard Reference Material. The molar absorption coefficient ε of the dosimeter solution was determined using carefully prepared standard solution. The G-value for the ferric-xylenol orange complex when this dosimeter is exposed in air to gamma radiation was determined using a secondary standard (ionization chamber). The dosimetric solutions could be stored for about 2 weeks before irradiations and up to 2 days after irradiations without any significant error in dose estimations. The linearity of the absorbed dose with the increases in absorbance of the dosimeter solution has been checked. For this purpose, the dosimeter solutions were irradiated to a series of different absorbed doses (3 to 11 Gy). The quality data, as judged from the correlation coefficient, demonstrate that the curve is linear in the range investigated. The stability and reproducibility of response are such that this system should be used to measure the low doses. The reproducibility allowed us to determine the lower detection limit of the FBX dosimeter, which is around 5 Gy

  2. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    Science.gov (United States)

    Fisher, William P., Jr.; Stenner, A. Jackson

    2013-09-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice.

  3. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    International Nuclear Information System (INIS)

    Fisher, William P Jr; Stenner, A Jackson

    2013-01-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice

  4. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  5. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  6. Appalachian clean coal technology consortium

    International Nuclear Information System (INIS)

    Kutz, K.; Yoon, Roe-Hoan

    1995-01-01

    The Appalachian Clean Coal Technology Consortium (ACCTC) has been established to help U.S. coal producers, particularly those in the Appalachian region, increase the production of lower-sulfur coal. The cooperative research conducted as part of the consortium activities will help utilities meet the emissions standards established by the 1990 Clean Air Act Amendments, enhance the competitiveness of U.S. coals in the world market, create jobs in economically-depressed coal producing regions, and reduce U.S. dependence on foreign energy supplies. The research activities will be conducted in cooperation with coal companies, equipment manufacturers, and A ampersand E firms working in the Appalachian coal fields. This approach is consistent with President Clinton's initiative in establishing Regional Technology Alliances to meet regional needs through technology development in cooperation with industry. The consortium activities are complementary to the High-Efficiency Preparation program of the Pittsburgh Energy Technology Center, but are broader in scope as they are inclusive of technology developments for both near-term and long-term applications, technology transfer, and training a highly-skilled work force

  7. Appalachian clean coal technology consortium

    Energy Technology Data Exchange (ETDEWEB)

    Kutz, K.; Yoon, Roe-Hoan [Virginia Polytechnic Institute and State Univ., Blacksburg, VA (United States)

    1995-11-01

    The Appalachian Clean Coal Technology Consortium (ACCTC) has been established to help U.S. coal producers, particularly those in the Appalachian region, increase the production of lower-sulfur coal. The cooperative research conducted as part of the consortium activities will help utilities meet the emissions standards established by the 1990 Clean Air Act Amendments, enhance the competitiveness of U.S. coals in the world market, create jobs in economically-depressed coal producing regions, and reduce U.S. dependence on foreign energy supplies. The research activities will be conducted in cooperation with coal companies, equipment manufacturers, and A&E firms working in the Appalachian coal fields. This approach is consistent with President Clinton`s initiative in establishing Regional Technology Alliances to meet regional needs through technology development in cooperation with industry. The consortium activities are complementary to the High-Efficiency Preparation program of the Pittsburgh Energy Technology Center, but are broader in scope as they are inclusive of technology developments for both near-term and long-term applications, technology transfer, and training a highly-skilled work force.

  8. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  9. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  10. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  11. 77 FR 43237 - Genome in a Bottle Consortium-Work Plan Review Workshop

    Science.gov (United States)

    2012-07-24

    ... in human whole genome variant calls. A principal motivation for this consortium is to enable... standards and quantitative performance metrics are needed to achieve the confidence in measurement results... principal motivation for this consortium is to enable science-based regulatory oversight of clinical...

  12. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  13. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  14. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  15. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  16. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  17. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  18. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  19. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  20. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  1. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  2. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  3. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  4. Plutonium glove boxes - metrology and operational states

    International Nuclear Information System (INIS)

    Thyer, A.M.

    2001-01-01

    The main objective was to undertake a literature review in support of NII's ongoing work in improving safety in the nuclear industry to help define suitable standards of cleanliness for plutonium glove boxes. This is to cover the following areas: existing or proposed national/international standards relating to plutonium glove box cleanliness management; practicable metrology options for assessing the plutonium content of glove boxes; any available dose information relating to the operation of modern and 'old design'; current contamination levels of specific significance (i.e. any accepted level in decommissioning/waste terms, typical criticality limits (if available), any box plutonium loadings that are documented with corresponding operator doses etc.); and, techniques for the decontamination of plutonium glove boxes and their relative effectiveness. This should then form the basis of any further development work undertaken by the UK nuclear industry. Main recommendations are as follows: 1) No information could be found in open literature on acceptable levels of contamination in boxes and action levels for cleanup. If these are not available in closed publications the 2) Where possible, the decontamination methods identified should be tested and dose information recorded against each method to allow informed decisions on which is the optimum technique for a particular form of contamination. 3) Consideration should be given to utilisation of metrology options which have the lowest potential for exposure of operators. Preferred options, may be detection from the outside of boxes using hand-held or permanently located radiation detectors, or semi-intrusive methods such as air-ionisation readings which would require one-off installation of detectors in ductwork

  5. Metrological legal frame in the field of the photon dosimetry of radiotherapy in Cuba

    International Nuclear Information System (INIS)

    Walwyn S, G.; Gutierrez L, S.; Gonzalez R, N.

    2006-01-01

    The Clinical Dosimetry in the planning of the doses to administer to patients under radiant treatment is of great importance. At the moment the clinical dosemeters its are manufactured with a high technology but errors of production or manipulation cannot be discarded that lead to errors in this planning. It also exists, a group of metrological and of operation parameters that are not checked in a routine calibration, and for those that are checked, legal base that restricts its use in cases of bad operation doesn't exist. This motivated to the Cuban standard elaboration NC 352:2005, for the verification of reference dosemeters of radiotherapy, process that trafficked for an exhaustive search and study of standards and international technical reports, selecting as base document, the standard IEC 60731:1997, for essays of approval of model of clinical dosemeters used in radiotherapy. The present article shows the main technical aspects considered and the requirements and verification methods for the declaration of aptitude of the dosemeters. This document constitutes the scientific base for the implementation from a verification service to national level and an important contribution to the standardization of the metrology of ionizing radiations of Cuba. (Author)

  6. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  7. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  8. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  9. Theory-based metrological traceability in education: A reading measurement network.

    Science.gov (United States)

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  10. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  11. Standards and quality

    CERN Document Server

    El-Tawil, Anwar

    2015-01-01

    The book brings together a number of subjects of prime importance for any practicing engineer and, students of engineering. The book explains the concepts and functions of voluntary standards, mandatory technical regulations, conformity assessment (testing and measurement of products), certification, quality and quality management systems as well as other management systems such as environmental, social responsibility and food safety management systems.The book also gives a comprehensive description of the role of metrology systems that underpin conformity assessment. A description is given of typical national systems of standards, quality and metrology and how they relate directly or through regional structures to international systems. The book also covers the relation between standards and trade and explains the context and stipulations of the Technical Barriers to Trade Agreement of the World Trade Organization (WTO).

  12. Polarizability of Helium, Neon, and Argon: New Perspectives for Gas Metrology

    Science.gov (United States)

    Gaiser, Christof; Fellmuth, Bernd

    2018-03-01

    With dielectric-constant gas thermometry, the molar polarizability of helium, neon, and argon has been determined with relative standard uncertainties of about 2 parts per million. A series of isotherms measured with the three noble gases and two different experimental setups led to this unprecedented level of uncertainty. These data are crucial for scientists in the field of gas metrology, working on pressure and temperature standards. Furthermore, with the new benchmark values for neon and argon, theoretical calculations, today about 3 orders of magnitude larger in uncertainty, can be checked and improved.

  13. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  14. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  15. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  16. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  17. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  18. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  19. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    /or a physical unit have their origin in the shortcomings of our understanding of the underlying physics rather than being due to the technical problems in the experiment. In this context, it is worth mentioning that the quantum Hall effect, the discovery of which by Klaus von Klitzing was rewarded only recently by the Nobel Prize for physics, still needs further attention. We are able to reproduce experimentally resistances with an extremely high precision using this effect. Nevertheless, we have severe difficulties in our present physical understanding of the mechanism which provides the plateaux in the Hall resistance. Lectures on "Quantum Non-Demolition" and "Determination of the Boltzmann Constant" have been included in order to show routes to "new frontiers" in metrology. Even the "conventional" metrological concepts, when combined with modern technology, can provide surprises: Although the Josephson effect is known since 1962, it was only recently that a quantized voltage in the 1-volt range could be experimentally realized. The experiment was performed by making use of modern thin-film technology. In addition to providing a simple and precise voltage standard in a practically important regime it also sets a new frontier in precision electrical metrology by demonstrating that, ultimately, the reproducibility of the unit of voltage is limited by that of the unit of time. We are indebted to a number of people who helped to organize the Seminar as well as to prepare this volume. Especially, we would like to mention Mrs Inge Bode. Without her continuous work the 70th PTB Seminar would not have been possible in the way we all have experienced it. We appreciate also the help of R P Hudson and H Lotsch in achieving a fast publication of this volume. Financial support from the Helmholtz-Fond is gratefully acknowledged.

  20. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  1. Secondary standard dosimetry laboratory Saraykoy Nuclear Research and Training Center Ankara, Turkey

    International Nuclear Information System (INIS)

    Okruhlica, P.

    2014-01-01

    Turkish Saraykoy Nuclear Research and Training Center (SANA) was founded in 2005. In 2014 the company PTW Freiburg in cooperation with VF Cerna Hora started the construction of a comprehensive national metrology laboratories of ionizing radiation 'Secondary Standard Dosimetry Laboratory' (SSDL). The laboratory will be located in the area of 'Saraykoy Nuclear Research and Training Center' in Ankara in Turkey. SSDL will be equipped with metrology departments for calibration and measurement of standard required quantities of metrology of ionizing radiation: - Neutron workplace; Gamma workplace (low-energy X-ray, gamma Standard Cs-137 and high dose rate, Co-60); - Beta workplace; - Control system of metrology laboratories and irradiation VF DARS; - Radiation monitoring system VF RMS; - Camera and security system; - Measuring instruments (ionization chambers, electrometers, monitors for environmental measurements ...) with the appropriate phantoms and other systems.

  2. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  3. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  4. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  5. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  6. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  7. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  8. Effects of the Consortium of Pseudomonas, Bacillus and ...

    African Journals Online (AJOL)

    The effect of the consortium of Pseudomonas, Bacillus and Micrococcus spp on polycyclic aromatic hydrocarbons in crude oil was carried out using standard microbiological methods. Spectrophotometer, gas chromatography and viable count which determined the optical density, the polycyclic aromatic hydrocarbons and ...

  9. ENT COBRA (Consortium for Brachytherapy Data Analysis: interdisciplinary standardized data collection system for head and neck patients treated with interventional radiotherapy (brachytherapy

    Directory of Open Access Journals (Sweden)

    Luca Tagliaferri

    2016-08-01

    Full Text Available Purpose : Aim of the COBRA (Consortium for Brachytherapy Data Analysis project is to create a multicenter group (consortium and a web-based system for standardized data collection. Material and methods: GEC-ESTRO (Groupe Européen de Curiethérapie – European Society for Radiotherapy & Oncology Head and Neck (H&N Working Group participated in the project and in the implementation of the consortium agreement, the ontology (data-set and the necessary COBRA software services as well as the peer reviewing of the general anatomic site-specific COBRA protocol. The ontology was defined by a multicenter task-group. Results : Eleven centers from 6 countries signed an agreement and the consortium approved the ontology. We identified 3 tiers for the data set: Registry (epidemiology analysis, Procedures (prediction models and DSS, and Research (radiomics. The COBRA-Storage System (C-SS is not time-consuming as, thanks to the use of “brokers”, data can be extracted directly from the single center’s storage systems through a connection with “structured query language database” (SQL-DB, Microsoft Access®, FileMaker Pro®, or Microsoft Excel®. The system is also structured to perform automatic archiving directly from the treatment planning system or afterloading machine. The architecture is based on the concept of “on-purpose data projection”. The C-SS architecture is privacy protecting because it will never make visible data that could identify an individual patient. This C-SS can also benefit from the so called “distributed learning” approaches, in which data never leave the collecting institution, while learning algorithms and proposed predictive models are commonly shared. Conclusions : Setting up a consortium is a feasible and practicable tool in the creation of an international and multi-system data sharing system. COBRA C-SS seems to be well accepted by all involved parties, primarily because it does not influence the center’s own

  10. Standardizing assessment practices of undergraduate medical competencies across medical schools: challenges, opportunities and lessons learned from a consortium of medical schools in Uganda.

    Science.gov (United States)

    Mubuuke, Aloysius Gonzaga; Mwesigwa, Catherine; Maling, Samuel; Rukundo, Godfrey; Kagawa, Mike; Kitara, David Lagoro; Kiguli, Sarah

    2014-01-01

    Health professions education is gradually moving away from the more traditional approaches to new innovative ways of training aimed at producing professionals with the necessary competencies to address the community health needs. In response to these emerging trends, Medical Education for Equitable Services to All Ugandans (MESAU), a consortium of Ugandan medical schools developed key competencies desirable of graduates and successfully implemented Competency Based Education (CBE) for undergraduate medical students. To examine the current situation and establish whether assessment methods of the competencies are standardized across MESAU schools as well as establish the challenges, opportunities and lessons learned from the MESAU consortium. It was a cross-sectional descriptive study involving faculty of the medical schools in Uganda. Data was collected using focus group discussions and document reviews. Findings were presented in form of themes. Although the MESAU schools have implemented the developed competencies within their curricular, the assessment methods are still not standardized with each institution having its own assessment procedures. Lack of knowledge and skills regarding assessment of the competencies was evident amongst the faculty. The fear for change amongst lecturers was also noted as a major challenge. However, the institutional collaboration created while developing competencies was identified as key strength. Findings demonstrated that despite having common competencies, there is no standardized assessment blue print applicable to all MESAU schools. Continued collaboration and faculty development in assessment is strongly recommended.

  11. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  12. Metrology positronic issuers for nuclear medicine in Cuba: current state and development prospects

    International Nuclear Information System (INIS)

    Oropesa Verdecia, Pilar; Serra Águila, Rolando A.; García Rodríguez, Lourdes; Moreno León, Yecenia; Bell Hechavarría, Ailec; Jénez Magaña, Yoel

    2016-01-01

    At work the current state of metrology positronic issuers for nuclear medicine in Cuba is presented. the main achievements in the implementation of measurement standards and issues affecting the uncertainty of measurements at different levels of hierarchy of the existing chain of traceability for determinations of the activity of F-18 and Ga-68 is described, the main radionuclides expected to be used in the short term in PET and PET / CT applications in the country. Immediate prospects development of measurement standards positronic emitters for use in nuclear medicine in Cuba, in particular the possibilities of establishing equivalence between Cuban standards and national and international standards are also set. (author)

  13. Metrological activity determination of {sup 133}Ba by sum-peak absolute method

    Energy Technology Data Exchange (ETDEWEB)

    Silva, R.L. da; Delgado, J.U.; Poledna, R.; Santos, A.; Veras, E.V. de; Rangel, J.; Trindade, O.L. [Instituto de Radioprotecao e Dosimetria (IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil); Almeida, M.C.M. de, E-mail: marcandida@yahoo.com.br, E-mail: candida@cnen.gov.br [Comissao Nacional de Energia Nuclear (CNEN), Rio de Janeiro, RJ (Brazil)

    2015-07-01

    The National Laboratory for Metrology of Ionizing Radiation provides gamma sources of radionuclide and standardized in activity with reduced uncertainties. Relative methods require standards to determine the sample activity while the absolute methods, as sum-peak, not. The activity is obtained directly with good accuracy and low uncertainties. {sup 133}Ba is used in research laboratories and on calibration of detectors for analysis in different work areas. Classical absolute methods do not calibrate {sup 133}Ba due to its complex decay scheme. The sum-peak method using gamma spectrometry with germanium detector standardizes {sup 133}Ba samples. Uncertainties lower than 1% to activity results were obtained.

  14. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  15. Metrological reliability of the calibration procedure in terms of air kerma using the ionization chamber NE2575

    International Nuclear Information System (INIS)

    Guimaraes, Margarete Cristina; Silva, Teogenes Augusto da; Rosado, Paulo H.G.

    2016-01-01

    Metrology laboratories are expected to provide X radiation beams that were established by international standardization organizations to perform calibration and testing of dosimeters. Reliable and traceable standard dosimeters should be used in the calibration procedure. The aim of this work was to study the reliability of the NE 2575 ionization chamber used as standard dosimeter for the air kerma calibration procedure adopted in the CDTN Calibration Laboratory. (author)

  16. Patient-Reported Outcome (PRO) Consortium translation process: consensus development of updated best practices.

    Science.gov (United States)

    Eremenco, Sonya; Pease, Sheryl; Mann, Sarah; Berry, Pamela

    2017-01-01

    This paper describes the rationale and goals of the Patient-Reported Outcome (PRO) Consortium's instrument translation process. The PRO Consortium has developed a number of novel PRO measures which are in the process of qualification by the U.S. Food and Drug Administration (FDA) for use in clinical trials where endpoints based on these measures would support product labeling claims. Given the importance of FDA qualification of these measures, the PRO Consortium's Process Subcommittee determined that a detailed linguistic validation (LV) process was necessary to ensure that all translations of Consortium-developed PRO measures are performed using a standardized approach with the rigor required to meet regulatory and pharmaceutical industry expectations, as well as having a clearly defined instrument translation process that the translation industry can support. The consensus process involved gathering information about current best practices from 13 translation companies with expertise in LV, consolidating the findings to generate a proposed process, and obtaining iterative feedback from the translation companies and PRO Consortium member firms on the proposed process in two rounds of review in order to update existing principles of good practice in LV and to provide sufficient detail for the translation process to ensure consistency across PRO Consortium measures, sponsors, and translation companies. The consensus development resulted in a 12-step process that outlines universal and country-specific new translation approaches, as well as country-specific adaptations of existing translations. The PRO Consortium translation process will play an important role in maintaining the validity of the data generated through these measures by ensuring that they are translated by qualified linguists following a standardized and rigorous process that reflects best practice.

  17. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  18. The origins of the metrology of ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Paschoa, Anselmo S. [Pontificia Univ. Catolica do Rio de Janeiro, RJ (Brazil). Dept. de Fisica]. E-mail: aspas@itaipu.vdg.fis.puc-rio.br

    2000-07-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of {sup 226} Ra and {sup 228} Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  19. The origins of the metrology of ionizing radiation

    International Nuclear Information System (INIS)

    Paschoa, Anselmo S.

    2000-01-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of 226 Ra and 228 Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  20. Quantum metrology subject to spatially correlated Markovian noise: restoring the Heisenberg limit

    International Nuclear Information System (INIS)

    Jeske, Jan; Cole, Jared H; Huelga, Susana F

    2014-01-01

    Environmental noise can hinder the metrological capabilities of entangled states. While the use of entanglement allows for Heisenberg-limited resolution, the largest permitted by quantum mechanics, deviations from strictly unitary dynamics quickly restore the standard scaling dictated by the central limit theorem. Product and maximally entangled states become asymptotically equivalent when the noisy evolution is both local and strictly Markovian. However, temporal correlations in the noise have been shown to lift this equivalence while fully (spatially) correlated noise allows for the identification of decoherence-free subspaces. Here we analyze precision limits in the presence of noise with finite correlation length and show that there exist robust entangled state preparations which display persistent Heisenberg scaling despite the environmental decoherence, even for small correlation length. Our results emphasize the relevance of noise correlations in the study of quantum advantage and could be relevant beyond metrological applications. (paper)

  1. Metrology of natural radionuclides. Current challenges in radiation protection for industry and the environment; Metrologie natuerlicher Radionuklide. Aktuelle Herausforderungen fuer den Strahlenschutz in Industrie und Umwelt

    Energy Technology Data Exchange (ETDEWEB)

    Maringer, F.J. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal; Moser, H.; Kabrt, F. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Baumgartner, A.; Stietka, M. [Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal

    2015-07-01

    In a range of industrial branches increased activity concentrations of natural radionuclides occur in various NORM materials processed. The ICRP 103 recommendation, and subsequent the IAEA International Basic Safety Standards and the European Basic Safety Standards for Radiation Protection, raised new challenges in radiation protection concerning natural radionuclide metrology and activity measurement methods - in particular for natural decay chain radionuclides ({sup 238}U+, {sup 232}Th+, {sup 235}U+). Especially adequate traceability and optimized measurement uncertainties of applied activity measurement methods are of increasing concern. In this paper a review on radionuclide metrology of natural radionuclides and its implementation to end-user activity measurement methods and practice is presented. This includes an overview on current and emerging drivers, targets, challenges, deliverables, technologies and stakeholders in the field. Current research results on activity measurement standards and instrumentation for natural radionuclides, revised decay data, in-situ measurement methods, NORM reference materials, are covered as well as benefits of natural radionuclide metrology on radiation protection of workers and the public.

  2. Radioactivity standardization in South Africa

    CSIR Research Space (South Africa)

    Simpson, BRS

    2002-01-01

    Full Text Available South Africa's national radioactivity measurement standard is maintained at a satellite laboratory in Cape Town by the National Metrology Laboratory (NML) of the Council-for Scientific and Industrial Research. Standardizations are undertaken by a...

  3. The Optic Disc Drusen Studies Consortium Recommendations for Diagnosis of Optic Disc Drusen Using Optical Coherence Tomography

    DEFF Research Database (Denmark)

    Malmqvist, Lasse; Bursztyn, Lulu; Costello, Fiona

    2018-01-01

    imaging optical coherence tomography (EDI-OCT) has improved the visualization of more deeply buried ODD. There is, however, no consensus regarding the diagnosis of ODD using OCT. The purpose of this study was to develop a consensus recommendation for diagnosing ODD using OCT. METHODS: The members...... of the Optic Disc Drusen Studies (ODDS) Consortium are either fellowship trained neuro-ophthalmologists with an interest in ODD, or researchers with an interest in ODD. Four standardization steps were performed by the consortium members with a focus on both image acquisition and diagnosis of ODD. RESULTS......: Based on prior knowledge and experiences from the standardization steps, the ODDS Consortium reached a consensus regarding OCT acquisition and diagnosis of ODD. The recommendations from the ODDS Consortium include scanning protocol, data selection, data analysis, and nomenclature. CONCLUSIONS: The ODDS...

  4. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  5. Nanometrology, Standardization and Regulation of Nanomaterials in Brazil: A Proposal for an Analytical-Prospective Model

    Directory of Open Access Journals (Sweden)

    Ana Rusmerg Giménez Ledesma

    2013-05-01

    Full Text Available The main objective of this paper is to propose an analytical-prospective model as a tool to support decision-making processes concerning metrology, standardization and regulation of nanomaterials in Brazil, based on international references and ongoing initiatives in the world. In the context of nanotechnology development in Brazil, the motivation for carrying out this research was to identify potential benefits of metrology, standardization and regulation of nanomaterials production, from the perspective of future adoption of the model by the main stakeholders of development of these areas in Brazil. The main results can be summarized as follows: (i an overview of international studies on metrology, standardization and regulation of nanomaterials, and nanoparticles, in special; (ii the analytical-prospective model; and (iii the survey questionnaire and the roadmapping tool for metrology, standardization and regulation of nanomaterials in Brazil, based on international references and ongoing initiatives in the world.

  6. Consortium for oral health-related informatics: improving dental research, education, and treatment.

    Science.gov (United States)

    Stark, Paul C; Kalenderian, Elsbeth; White, Joel M; Walji, Muhammad F; Stewart, Denice C L; Kimmes, Nicole; Meng, Thomas R; Willis, George P; DeVries, Ted; Chapman, Robert J

    2010-10-01

    Advances in informatics, particularly the implementation of electronic health records (EHR), in dentistry have facilitated the exchange of information. The majority of dental schools in North America use the same EHR system, providing an unprecedented opportunity to integrate these data into a repository that can be used for oral health education and research. In 2007, fourteen dental schools formed the Consortium for Oral Health-Related Informatics (COHRI). Since its inception, COHRI has established structural and operational processes, governance and bylaws, and a number of work groups organized in two divisions: one focused on research (data standardization, integration, and analysis), and one focused on education (performance evaluations, virtual standardized patients, and objective structured clinical examinations). To date, COHRI (which now includes twenty dental schools) has been successful in developing a data repository, pilot-testing data integration, and sharing EHR enhancements among the group. This consortium has collaborated on standardizing medical and dental histories, developing diagnostic terminology, and promoting the utilization of informatics in dental education. The consortium is in the process of assembling the largest oral health database ever created. This will be an invaluable resource for research and provide a foundation for evidence-based dentistry for years to come.

  7. Bayesian estimation methods in metrology

    International Nuclear Information System (INIS)

    Cox, M.G.; Forbes, A.B.; Harris, P.M.

    2004-01-01

    In metrology -- the science of measurement -- a measurement result must be accompanied by a statement of its associated uncertainty. The degree of validity of a measurement result is determined by the validity of the uncertainty statement. In recognition of the importance of uncertainty evaluation, the International Standardization Organization in 1995 published the Guide to the Expression of Uncertainty in Measurement and the Guide has been widely adopted. The validity of uncertainty statements is tested in interlaboratory comparisons in which an artefact is measured by a number of laboratories and their measurement results compared. Since the introduction of the Mutual Recognition Arrangement, key comparisons are being undertaken to determine the degree of equivalence of laboratories for particular measurement tasks. In this paper, we discuss the possible development of the Guide to reflect Bayesian approaches and the evaluation of key comparison data using Bayesian estimation methods

  8. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  9. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  10. Quality control of calibration system for area monitors at National Laboratory of Metrology from Ionizing Radiations (LNMRI)

    International Nuclear Information System (INIS)

    Ramos, M.M.O.; Freitas, L.C. de

    1992-01-01

    The quality control of equipment used in calibration from the National Laboratory of Metrology on Ionizing Radiations is presented, with results of standard measure systems and irradiation system. Tables and graphics with the quality of systems are also shown. (C.G.C.)

  11. Accreditation experience of radioisotope metrology laboratory of Argentina

    Energy Technology Data Exchange (ETDEWEB)

    Iglicki, A. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)]. E-mail: iglicki@cae.cnea.gov.ar; Mila, M.I. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)]. E-mail: mila@cae.cnea.gov.ar; Furnari, J.C. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Arenillas, P. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Cerutti, G. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Carballido, M. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Guillen, V. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Araya, X. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Bianchini, R. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)

    2006-10-15

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the ({alpha}/{beta})-{gamma} coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved.

  12. Accreditation experience of radioisotope metrology laboratory of Argentina

    International Nuclear Information System (INIS)

    Iglicki, A.; Mila, M.I.; Furnari, J.C.; Arenillas, P.; Cerutti, G.; Carballido, M.; Guillen, V.; Araya, X.; Bianchini, R.

    2006-01-01

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the (α/β)-γ coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved

  13. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  14. Manufacturing and metrology for IR conformal windows and domes

    Science.gov (United States)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  15. Standardizing assessment practices of undergraduate medical competencies across medical schools: challenges, opportunities and lessons learned from a consortium of medical schools in Uganda

    Science.gov (United States)

    Mubuuke, Aloysius Gonzaga; Mwesigwa, Catherine; Maling, Samuel; Rukundo, Godfrey; Kagawa, Mike; Kitara, David Lagoro; Kiguli, Sarah

    2014-01-01

    Introduction Health professions education is gradually moving away from the more traditional approaches to new innovative ways of training aimed at producing professionals with the necessary competencies to address the community health needs. In response to these emerging trends, Medical Education for Equitable Services to All Ugandans (MESAU), a consortium of Ugandan medical schools developed key competencies desirable of graduates and successfully implemented Competency Based Education (CBE) for undergraduate medical students. Objectives To examine the current situation and establish whether assessment methods of the competencies are standardized across MESAU schools as well as establish the challenges, opportunities and lessons learned from the MESAU consortium. Methods It was a cross-sectional descriptive study involving faculty of the medical schools in Uganda. Data was collected using focus group discussions and document reviews. Findings were presented in form of themes. Results Although the MESAU schools have implemented the developed competencies within their curricular, the assessment methods are still not standardized with each institution having its own assessment procedures. Lack of knowledge and skills regarding assessment of the competencies was evident amongst the faculty. The fear for change amongst lecturers was also noted as a major challenge. However, the institutional collaboration created while developing competencies was identified as key strength. Conclusion Findings demonstrated that despite having common competencies, there is no standardized assessment blue print applicable to all MESAU schools. Continued collaboration and faculty development in assessment is strongly recommended. PMID:25995778

  16. A focal plane metrology system and PSF centroiding experiment

    Science.gov (United States)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  17. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  18. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  19. International, multi-center standardization of acute graft-versus-host disease clinical data collection: a report from the MAGIC consortium

    Science.gov (United States)

    Harris, Andrew C.; Young, Rachel; Devine, Steven; Hogan, William J.; Ayuk, Francis; Bunworasate, Udomsak; Chanswangphuwana, Chantiya; Efebera, Yvonne A.; Holler, Ernst; Litzow, Mark; Ordemann, Rainer; Qayed, Muna; Renteria, Anne S.; Reshef, Ran; Wölfl, Matthias; Chen, Yi-Bin; Goldstein, Steven; Jagasia, Madan; Locatelli, Franco; Mielke, Stephan; Porter, David; Schechter, Tal; Shekhovtsova, Zhanna; Ferrara, James L.M.; Levine, John E.

    2015-01-01

    Acute graft-versus-host disease (GVHD) remains a leading cause of morbidity and non-relapse mortality following allogeneic hematopoietic cell transplantation. The clinical staging of GVHD varies greatly between transplant centers and is frequently not agreed upon by independent reviewers. The lack of standardized approaches to handle common sources of discrepancy in GVHD grading likely contributes to why promising GVHD treatments reported from single centers have failed to show benefit in randomized multi-center clinical trials. We developed guidelines through international expert consensus opinion to standardize the diagnosis and clinical staging of GVHD for use in a large international GVHD research consortium. During the first year of use, the guidance was following discussion of complex clinical phenotypes by experienced transplant physicians and data managers. These guidelines increase the uniformity of GVHD symptom capture which may improve the reproducibility of GVHD clinical trials after further prospective validation. PMID:26386318

  20. International Lymphoma Epidemiology Consortium

    Science.gov (United States)

    The InterLymph Consortium, or formally the International Consortium of Investigators Working on Non-Hodgkin's Lymphoma Epidemiologic Studies, is an open scientific forum for epidemiologic research in non-Hodgkin's lymphoma.

  1. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  2. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  3. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  4. Metrology of the radon in air volume activity at the italian radon reference chamber

    Energy Technology Data Exchange (ETDEWEB)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M. [Istituto Nazionale di Metrologia delle Radiazioni Ionizzanti, ENEA Centro Ricerche Casaccia Roma (Italy)

    2006-07-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of {sup 222}Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m{sup 3}. The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  5. Metrology of the radon in air volume activity at the italian radon reference chamber

    International Nuclear Information System (INIS)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M.

    2006-01-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of 222 Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m 3 . The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  6. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  7. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  8. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  9. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  10. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  11. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  12. Radioactive waste management: review on clearance levels and acceptance criteria legislation, requirements and standards.

    Science.gov (United States)

    Maringer, F J; Suráň, J; Kovář, P; Chauvenet, B; Peyres, V; García-Toraño, E; Cozzella, M L; De Felice, P; Vodenik, B; Hult, M; Rosengård, U; Merimaa, M; Szücs, L; Jeffery, C; Dean, J C J; Tymiński, Z; Arnold, D; Hinca, R; Mirescu, G

    2013-11-01

    In 2011 the joint research project Metrology for Radioactive Waste Management (MetroRWM)(1) of the European Metrology Research Programme (EMRP) started with a total duration of three years. Within this project, new metrological resources for the assessment of radioactive waste, including their calibration with new reference materials traceable to national standards will be developed. This paper gives a review on national, European and international strategies as basis for science-based metrological requirements in clearance and acceptance of radioactive waste. © 2013 Elsevier Ltd. All rights reserved.

  13. Standardization efforts in IP telephony

    Science.gov (United States)

    Sengodan, Senthil; Bansal, Raj

    1999-11-01

    The recent interest in IP telephony has led to a tremendous increase of standardization activities in the area. The three main standards bodies in the area of IP telephony are the International Telecommunication Union's (ITU-T) Study Group (SG) 16, the Internet Engineering Task Force (IETF) and the European Telecommunication Standards Institute's (ETSI) TIPHON project. In addition, forums such as the International Multimedia Teleconferencing Consortium (IMTC), the Intelligent Network Forum (INF), the International Softswitch Consortium (ISC), the Electronic Computer Telephony Forum (ECTF), and the MIT's Internet Telephony Consortium (ITC) are looking into various other aspects that aim at the growth of this industry. This paper describes the main tasks (completed and in progress) undertaken by these organizations. In describing such work, an overview of the underlying technology is also provided.

  14. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  15. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  16. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  17. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  18. A review of the quantum current standard

    International Nuclear Information System (INIS)

    Kaneko, Nobu-Hisa; Nakamura, Shuji; Okazaki, Yuma

    2016-01-01

    The electric current, voltage, and resistance standards are the most important standards related to electricity and magnetism. Of these three standards, only the ampere, which is the unit of electric current, is an International System of Units (SI) base unit. However, even with modern technology, relatively large uncertainty exists regarding the generation and measurement of current. As a result of various innovative techniques based on nanotechnology and novel materials, new types of junctions for quantum current generation and single-electron current sources have recently been proposed. These newly developed methods are also being used to investigate the consistency of the three quantum electrical effects, i.e. the Josephson, quantum Hall, and single-electron tunneling effects, which are also known as ‘the quantum metrology triangle’. This article describes recent research and related developments regarding current standards and quantum-metrology-triangle experiments. (topical review)

  19. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  20. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  1. Ensuring Food Integrity by Metrology and FAIR Data Principles

    Directory of Open Access Journals (Sweden)

    Michael Rychlik

    2018-05-01

    Full Text Available Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  2. Performances of OsO(4) stabilized CO(2) lasers as optical frequency standards near 29 THz.

    Science.gov (United States)

    Daussy, C; Ducos, F; Rovera, G D; Acef, O

    2000-01-01

    In this paper, we report on the metrological capabilities of CO (2)/OsO(4) optical frequency standards operating around 29 THz. Those frequency standards are currently involved in various fields, such as frequency metrology, high resolution spectroscopy, and Rydberg constant measurements. The most impressive features of the standards lies in the 10(-15) level frequency stability allied to a long-term reproducibility (1 yr) of 1.3x10 (-13).

  3. A criterion of the performance of thermometric systems of high metrological reliability

    International Nuclear Information System (INIS)

    Sal'nikov, N.L.; Filimonov, E.V.

    1995-01-01

    Monitoring temperature regimes is an important part of ensuring the operational safety of a nuclear power plant. Therefore, high standards are imposed upon the reliability of the primary information on the heat field of the object obtained from different sensors, and it is urgent to develop methods of evaluating the metrological reliability of these sensors. THe main sources of thermometric information at nuclear power plants are contact temperature sensors, the most widely used of these being thermoelectric converters (TEC) and thermal resistance converters (TRC)

  4. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  5. Metrology for hydrogen energy applications: a project to address normative requirements

    Science.gov (United States)

    Haloua, Frédérique; Bacquart, Thomas; Arrhenius, Karine; Delobelle, Benoît; Ent, Hugo

    2018-03-01

    Hydrogen represents a clean and storable energy solution that could meet worldwide energy demands and reduce greenhouse gases emission. The joint research project (JRP) ‘Metrology for sustainable hydrogen energy applications’ addresses standardisation needs through pre- and co-normative metrology research in the fast emerging sector of hydrogen fuel that meet the requirements of the European Directive 2014/94/EU by supplementing the revision of two ISO standards that are currently too generic to enable a sustainable implementation of hydrogen. The hydrogen purity dispensed at refueling points should comply with the technical specifications of ISO 14687-2 for fuel cell electric vehicles. The rapid progress of fuel cell technology now requires revising this standard towards less constraining limits for the 13 gaseous impurities. In parallel, optimized validated analytical methods are proposed to reduce the number of analyses. The study aims also at developing and validating traceable methods to assess accurately the hydrogen mass absorbed and stored in metal hydride tanks; this is a research axis for the revision of the ISO 16111 standard to develop this safe storage technique for hydrogen. The probability of hydrogen impurity presence affecting fuel cells and analytical techniques for traceable measurements of hydrogen impurities will be assessed and new data of maximum concentrations of impurities based on degradation studies will be proposed. Novel validated methods for measuring the hydrogen mass absorbed in hydrides tanks AB, AB2 and AB5 types referenced to ISO 16111 will be determined, as the methods currently available do not provide accurate results. The outputs here will have a direct impact on the standardisation works for ISO 16111 and ISO 14687-2 revisions in the relevant working groups of ISO/TC 197 ‘Hydrogen technologies’.

  6. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  7. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  8. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  9. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    Science.gov (United States)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  10. A programmable quantum current standard from the Josephson and the quantum Hall effects

    Energy Technology Data Exchange (ETDEWEB)

    Poirier, W., E-mail: wilfrid.poirier@lne.fr; Lafont, F.; Djordjevic, S.; Schopfer, F.; Devoille, L. [Quantum metrology group, Laboratoire National de métrologie et d' Essais, 29 avenue Roger Hennequin, 78197 Trappes (France)

    2014-01-28

    We propose a way to realize a programmable quantum current standard (PQCS) from the Josephson voltage standard and the quantum Hall resistance standard (QHR) exploiting the multiple connection technique provided by the quantum Hall effect (QHE) and the exactness of the cryogenic current comparator. The PQCS could lead to breakthroughs in electrical metrology like the realization of a programmable quantum current source, a quantum ampere-meter, and a simplified closure of the quantum metrological triangle. Moreover, very accurate universality tests of the QHE could be performed by comparing PQCS based on different QHRs.

  11. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  12. 25 CFR 1000.73 - Once a Tribe/Consortium has been awarded a grant, may the Tribe/Consortium obtain information...

    Science.gov (United States)

    2010-04-01

    ... 25 Indians 2 2010-04-01 2010-04-01 false Once a Tribe/Consortium has been awarded a grant, may the Tribe/Consortium obtain information from a non-BIA bureau? 1000.73 Section 1000.73 Indians OFFICE OF THE... § 1000.73 Once a Tribe/Consortium has been awarded a grant, may the Tribe/Consortium obtain information...

  13. Calibration of an interfacial force microscope for MEMS metrology : FY08-09 activities.

    Energy Technology Data Exchange (ETDEWEB)

    Houston, Jack E.; Baker, Michael Sean; Crowson, Douglas A.; Mitchell, John Anthony; Moore, Nathan W.

    2009-10-01

    Progress in MEMS fabrication has enabled a wide variety of force and displacement sensing devices to be constructed. One device under intense development at Sandia is a passive shock switch, described elsewhere (Mitchell 2008). A goal of all MEMS devices, including the shock switch, is to achieve a high degree of reliability. This, in turn, requires systematic methods for validating device performance during each iteration of design. Once a design is finalized, suitable tools are needed to provide quality assurance for manufactured devices. To ensure device performance, measurements on these devices must be traceable to NIST standards. In addition, accurate metrology of MEMS components is needed to validate mechanical models that are used to design devices to accelerate development and meet emerging needs. Progress towards a NIST-traceable calibration method is described for a next-generation, 2D Interfacial Force Microscope (IFM) for applications in MEMS metrology and qualification. Discussed are the results of screening several suitable calibration methods and the known sources of uncertainty in each method.

  14. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  15. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  16. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  17. Metrology and process control: dealing with measurement uncertainty

    Science.gov (United States)

    Potzick, James

    2010-03-01

    Metrology is often used in designing and controlling manufacturing processes. A product sample is processed, some relevant property is measured, and the process adjusted to bring the next processed sample closer to its specification. This feedback loop can be remarkably effective for the complex processes used in semiconductor manufacturing, but there is some risk involved because measurements have uncertainty and product specifications have tolerances. There is finite risk that good product will fail testing or that faulty product will pass. Standard methods for quantifying measurement uncertainty have been presented, but the question arises: how much measurement uncertainty is tolerable in a specific case? Or, How does measurement uncertainty relate to manufacturing risk? This paper looks at some of the components inside this process control feedback loop and describes methods to answer these questions.

  18. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine; Metodologia para a implementacao de uma rede de referencia para metrologia de radiofarmacos no Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Santos, Joyra Amaral dos

    2004-01-15

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides {sup 67}Ga, {sup 123}I, {sup 131}I,{sup 99m}Tc and {sup 210}Tl were evaluated and {sup 201}TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  19. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  20. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  1. BACTERIAL CONSORTIUM

    Directory of Open Access Journals (Sweden)

    Payel Sarkar

    2013-01-01

    Full Text Available Petroleum aromatic hydrocarbons like benzen e, toluene, ethyl benzene and xylene, together known as BTEX, has almost the same chemical structure. These aromatic hydrocarbons are released as pollutants in th e environment. This work was taken up to develop a solvent tolerant bacterial cons ortium that could degrade BTEX compounds as they all share a common chemical structure. We have isolated almost 60 different types of bacterial strains from different petroleum contaminated sites. Of these 60 bacterial strains almost 20 microorganisms were screene d on the basis of capability to tolerate high concentration of BTEX. Ten differe nt consortia were prepared and the compatibility of the bacterial strains within the consortia was checked by gram staining and BTEX tolerance level. Four successful mi crobial consortia were selected in which all the bacterial strains concomitantly grew in presence of high concentration of BTEX (10% of toluene, 10% of benzene 5% ethyl benzene and 1% xylene. Consortium #2 showed the highest growth rate in pr esence of BTEX. Degradation of BTEX by consortium #2 was monitored for 5 days by gradual decrease in the volume of the solvents. The maximum reduction observed wa s 85% in 5 days. Gas chromatography results also reveal that could completely degrade benzene and ethyl benzene within 48 hours. Almost 90% degradation of toluene and xylene in 48 hours was exhibited by consortium #2. It could also tolerate and degrade many industrial solvents such as chloroform, DMSO, acetonitrile having a wide range of log P values (0.03–3.1. Degradation of aromatic hydrocarbon like BTEX by a solvent tolerant bacterial consortium is greatly significant as it could degrade high concentration of pollutants compared to a bacterium and also reduces the time span of degradation.

  2. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  3. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  4. Fluid-flow-rate metrology: laboratory uncertainties and traceabilities

    Science.gov (United States)

    Mattingly, G. E.

    1991-03-01

    Increased concerns for improved fluid flowrate measurement are driving the fluid metering community-meter manufacturers and users alike-to search for better verification and documentation for their fluid measurements. These concerns affect both our domestic and international market places they permeate our technologies - aerospace chemical processes automotive bioengineering etc. They involve public health and safety and they impact our national defense. These concerns are based upon the rising value of fluid resources and products and the importance of critical material accountability. These values directly impact the accuracy needs of fluid buyers and sellers in custody transfers. These concerns impact the designers and operators of chemical process systems where control and productivity optimization depend critically upon measurement precision. Public health and safety depend upon the quality of numerous pollutant measurements - both liquid and gaseous. The performance testing of engines - both automotive and aircraft are critically based upon accurate fuel measurements - both liquid and oxidizer streams. Fluid flowrate measurements are established differently from counterparts in length and mass measurement systems because these have the benefits of " identity" standards. For rate measurement systems the metrology is based upon " derived standards" . These use facilities and transfer standards which are designed built characterized and used to constitute basic measurement capabilities and quantify performance - accuracy and precision. Because " identity standards" do not exist for flow measurements facsimiles or equivalents must

  5. External RNA Controls Consortium Beta Version Update.

    Science.gov (United States)

    Lee, Hangnoh; Pine, P Scott; McDaniel, Jennifer; Salit, Marc; Oliver, Brian

    2016-01-01

    Spike-in RNAs are valuable controls for a variety of gene expression measurements. The External RNA Controls Consortium developed test sets that were used in a number of published reports. Here we provide an authoritative table that summarizes, updates, and corrects errors in the test version that ultimately resulted in the certified Standard Reference Material 2374. We have noted existence of anti-sense RNA controls in the material, corrected sub-pool memberships, and commented on control RNAs that displayed inconsistent behavior.

  6. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  7. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  8. What Can DMIS 5.2 Do For You?

    Energy Technology Data Exchange (ETDEWEB)

    Curtis W. Brown

    2009-08-20

    The Dimensional Measuring Interface Standard (DMIS) is the first data interoperability protocol standard created specifically for dimensional metrology. DMIS applications are multi-facetted. The standard can behave as a coordinate metrology language to execute measurement part programs, or it can be used as a neutral data exchange mechanism for part programs and measurement results. DMIS is full featured and has many successful implementations. It also has a strong reputation as a progressive standard, one that has been responsive to user needs and technology advances. It is maintained and improved upon by a volunteer committee, the DMIS Standards Committee (DSC), under the auspices of the Dimensional Metrology Standards Consortium (DMSC Inc.). DMIS has progressed as its eighth version and its sixth as a national and/or international standard. Some notable advances of DMIS have included: • support for thin-walled (i.e., sheet-metal) measurements • alignment with American and International tolerancing standards • complete suite of measure features • harmonization with complementary standards and specifications • extension of additional sensors and scanning processes • introduction of measurement uncertainty computations • tighter CAD associativity • enhancements for multi-axis scanning • provisioning for functional subsets (application profiles) • progression of conformance class validations • designation of key characteristics with criticality designators • removal of ambiguities and syntactic limitations • clarification through additional diagrams and code fragments • resolution to over 600 standard improvement requests

  9. The International Human Epigenome Consortium

    DEFF Research Database (Denmark)

    Stunnenberg, Hendrik G; Hirst, Martin

    2016-01-01

    The International Human Epigenome Consortium (IHEC) coordinates the generation of a catalog of high-resolution reference epigenomes of major primary human cell types. The studies now presented (see the Cell Press IHEC web portal at http://www.cell.com/consortium/IHEC) highlight the coordinated ac...

  10. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  11. Assessment of the Metrological Performance of Seismic Tables for a QMS Recognition

    International Nuclear Information System (INIS)

    Ribeiro, A Silva; Costa, A Campos; Candeias, P; Martins, L Lages; Martins, A C Freitas; Ferreira, A C; Sousa, J Alves e

    2016-01-01

    Seismic testing and analysis using large infrastructures, such as shaking tables and reaction walls, is performed worldwide requiring the use of complex instrumentation systems. To assure the accuracy of these systems, conformity assessment is needed to verify the compliance with standards and applications, and the Quality Management Systems (QMS) is being increasingly applied to domains where risk analysis is critical as a way to provide a formal recognition. This paper describes an approach to the assessment of the metrological performance of seismic shake tables as part of a QMS recognition, with the analysis of a case study of LNEC Seismic shake table. (paper)

  12. Assessment of the Metrological Performance of Seismic Tables for a QMS Recognition

    Science.gov (United States)

    Silva Ribeiro, A.; Campos Costa, A.; Candeias, P.; Sousa, J. Alves e.; Lages Martins, L.; Freitas Martins, A. C.; Ferreira, A. C.

    2016-11-01

    Seismic testing and analysis using large infrastructures, such as shaking tables and reaction walls, is performed worldwide requiring the use of complex instrumentation systems. To assure the accuracy of these systems, conformity assessment is needed to verify the compliance with standards and applications, and the Quality Management Systems (QMS) is being increasingly applied to domains where risk analysis is critical as a way to provide a formal recognition. This paper describes an approach to the assessment of the metrological performance of seismic shake tables as part of a QMS recognition, with the analysis of a case study of LNEC Seismic shake table.

  13. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  14. Characterization of an extrapolation chamber and radiochromic films for verifying the metrological coherence among beta radiation fields

    International Nuclear Information System (INIS)

    Castillo, Jhonny Antonio Benavente

    2011-01-01

    The metrological coherence among standard systems is a requirement for assuring the reliability of dosimetric quantities measurements in ionizing radiation field. Scientific and technologic improvements happened in beta radiation metrology with the installment of the new beta secondary standard BSS2 in Brazil and with the adoption of the internationally recommended beta reference radiations. The Dosimeter Calibration Laboratory of the Development Center for Nuclear Technology (LCD/CDTN), in Belo Horizonte, implemented the BSS2 and methodologies are investigated for characterizing the beta radiation fields by determining the field homogeneity, the accuracy and uncertainties in the absorbed dose in air measurements. In this work, a methodology to be used for verifying the metrological coherence among beta radiation fields in standard systems was investigated; an extrapolation chamber and radiochromic films were used and measurements were done in terms of absorbed dose in air. The reliability of both the extrapolation chamber and the radiochromic film was confirmed and their calibrations were done in the LCD/CDTN in 90 Sr/ 90 Y, 85 Kr and 147 Pm beta radiation fields. The angular coefficients of the extrapolation curves were determined with the chamber; the field mapping and homogeneity were obtained from dose profiles and isodose with the radiochromic films. A preliminary comparison between the LCD/CDTN and the Instrument Calibration Laboratory of the Nuclear and Energy Research Institute / Sao Paulo (LCI/IPEN) was carried out. Results with the extrapolation chamber measurements showed in terms of absorbed dose in air rates showed differences between both laboratories up to de -I % e 3%, for 90 Sr/ 90 Y, 85 Kr and 147 Pm beta radiation fields, respectively. Results with the EBT radiochromic films for 0.1, 0.3 and 0.15 Gy absorbed dose in air, for the same beta radiation fields, showed differences up to 3%, -9% and -53%. The beta radiation field mappings with

  15. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.

    2011-11-01

    Shrinking process windows in very large scale integration semiconductor manufacturing have already necessitated the development of control systems capable of addressing sub-lot-level variation. Within-wafer control is the next milestone in the evolution of advanced process control from lot-based and wafer-based control. In order to adequately comprehend and control within-wafer spatial variation, inline measurements must be performed at multiple locations across the wafer. At the same time, economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed using the standard statistical tools of principle component analysis and canonical correlation analysis. The proposed selection method is validated using real manufacturing data, and results indicate that it is possible to significantly reduce the number of measurements with little loss in the information obtained for the process control systems. © 2011 IEEE.

  16. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  17. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  18. Massachusetts Institute of Technology Consortium Agreement

    National Research Council Canada - National Science Library

    Asada, Haruhiko

    1999-01-01

    ... of Phase 2 of the Home Automation and Healthcare Consortium. This report describes all major research accomplishments within the last six months since we launched the second phase of the consortium...

  19. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  20. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  1. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  2. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  3. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  4. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  5. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  6. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  7. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  8. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  9. Measurement of Humidity

    NARCIS (Netherlands)

    Regtien, Paulus P.L.

    2005-01-01

    The project COMET provides a multimedia training package for metrology and measurement. The package is developed by a consortium of 10 institutes from 7 European countries. It consists of 31 modules, each dealing with a particular aspect of metrology, and is available in English, German, French and

  10. Comet: An internet based platform for education in measurement

    NARCIS (Netherlands)

    Regtien, Paulus P.L.; Halaj, Martin; Kureková, Eva; Gabko, Peter

    2005-01-01

    The project COMET provides a multimedia training package for metrology and measurement. The package is developed by a consortium of 10 institutes from 7 European countries. It consists of 31 modules, each dealing with a particular aspect of metrology, and is available in English, German, French and

  11. COMET: A multimedia internet based platform for education in measurement

    NARCIS (Netherlands)

    Grattan, K.T.V.; Regtien, Paulus P.L.; Halaj, M; Kureková, E.; Gabko, P

    2006-01-01

    The project COMET provides a multimedia training package for metrology and measurement. The package is developed by a consortium of 10 institutes from 7 European countries. It consists of 31 modules, each dealing with a particular aspect of metrology, and is available in English, German, French and

  12. Imaging and Computer Vision

    NARCIS (Netherlands)

    Regtien, Paulus P.L.; van der Heijden, Ferdinand

    2005-01-01

    The project COMET provides a multimedia training package for metrology and measurement. The package is developed by a consortium of 10 institutes from 7 European countries. It consists of 31 modules, each dealing with a particular aspect of metrology, and is available in English, German, French and

  13. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  14. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  15. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  16. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  17. International, Multicenter Standardization of Acute Graft-versus-Host Disease Clinical Data Collection: A Report from the Mount Sinai Acute GVHD International Consortium.

    Science.gov (United States)

    Harris, Andrew C; Young, Rachel; Devine, Steven; Hogan, William J; Ayuk, Francis; Bunworasate, Udomsak; Chanswangphuwana, Chantiya; Efebera, Yvonne A; Holler, Ernst; Litzow, Mark; Ordemann, Rainer; Qayed, Muna; Renteria, Anne S; Reshef, Ran; Wölfl, Matthias; Chen, Yi-Bin; Goldstein, Steven; Jagasia, Madan; Locatelli, Franco; Mielke, Stephan; Porter, David; Schechter, Tal; Shekhovtsova, Zhanna; Ferrara, James L M; Levine, John E

    2016-01-01

    Acute graft-versus-host disease (GVHD) remains a leading cause of morbidity and nonrelapse mortality after allogeneic hematopoietic cell transplantation. The clinical staging of GVHD varies greatly between transplant centers and is frequently not agreed on by independent reviewers. The lack of standardized approaches to handle common sources of discrepancy in GVHD grading likely contributes to why promising GVHD treatments reported from single centers have failed to show benefit in randomized multicenter clinical trials. We developed guidelines through international expert consensus opinion to standardize the diagnosis and clinical staging of GVHD for use in a large international GVHD research consortium. During the first year of use, the guidance followed discussion of complex clinical phenotypes by experienced transplant physicians and data managers. These guidelines increase the uniformity of GVHD symptom capture, which may improve the reproducibility of GVHD clinical trials after further prospective validation. Copyright © 2016 American Society for Blood and Marrow Transplantation. Published by Elsevier Inc. All rights reserved.

  18. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  19. Metrological-grade tunable coherent source in the mid-infrared for molecular precision spectroscopy

    Science.gov (United States)

    Insero, G.; Clivati, C.; D'Ambrosio, D.; Cancio Pastor, P.; Verde, M.; Schunemann, P. G.; Zondy, J.-J.; Inguscio, M.; Calonico, D.; Levi, F.; De Natale, P.; Santambrogio, G.; Borri, S.

    2018-02-01

    We report on a metrological-grade mid-IR source with a 10-14 short-term instability for high-precision spectroscopy. Our source is based on the combination of a quantum cascade laser and a coherent radiation obtained by difference-frequency generation in an orientation-patterned gallium phosphide (OP-GaP) crystal. The pump and signal lasers are locked to an optical frequency comb referenced to the primary frequency standard via an optical fiber link. We demonstrate the robustness of the apparatus by measuring a vibrational transition around 6 μm on a metastable state of CO molecuels with 11 digits of precision.

  20. Establishing a Consortium for the Study of Rare Diseases: The Urea Cycle Disorders Consortium

    Science.gov (United States)

    Seminara, Jennifer; Tuchman, Mendel; Krivitzky, Lauren; Krischer, Jeffrey; Lee, Hye-Seung; LeMons, Cynthia; Baumgartner, Matthias; Cederbaum, Stephen; Diaz, George A.; Feigenbaum, Annette; Gallagher, Renata C.; Harding, Cary O.; Kerr, Douglas S.; Lanpher, Brendan; Lee, Brendan; Lichter-Konecki, Uta; McCandless, Shawn E.; Merritt, J. Lawrence; Oster-Granite, Mary Lou; Seashore, Margretta R.; Stricker, Tamar; Summar, Marshall; Waisbren, Susan; Yudkoff, Marc; Batshaw, Mark L.

    2010-01-01

    The Urea Cycle Disorders Consortium (UCDC) was created as part of a larger network established by the National Institutes of Health to study rare diseases. This paper reviews the UCDC’s accomplishments over the first six years, including how the Consortium was developed and organized, clinical research studies initiated, and the importance of creating partnerships with patient advocacy groups, philanthropic foundations and biotech and pharmaceutical companies. PMID:20188616

  1. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  2. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  3. International Radical Cystectomy Consortium: A way forward

    Directory of Open Access Journals (Sweden)

    Syed Johar Raza

    2014-01-01

    Full Text Available Robot-assisted radical cystectomy (RARC is an emerging operative alternative to open surgery for the management of invasive bladder cancer. Studies from single institutions provide limited data due to the small number of patients. In order to better understand the related outcomes, a world-wide consortium was established in 2006 of patients undergoing RARC, called the International Robotic Cystectomy Consortium (IRCC. Thus far, the IRCC has reported its findings on various areas of operative interest and continues to expand its capacity to include other operative modalities and transform it into the International Radical Cystectomy Consortium. This article summarizes the findings of the IRCC and highlights the future direction of the consortium.

  4. Leveraging Standards to Promote Program Quality

    Science.gov (United States)

    Young, Michelle D.; Mawhinney, Hanna; Reed, Cynthia J.

    2016-01-01

    Standards provide a foundation for thinking about leadership development and practice. This article explores three sets of standards that impact educational leadership preparation: (a) the recently revised Interstate School Leaders Licensure Consortium (ISLLC) standards, which are now known as the Practice Standards for Educational Leaders (PSEL);…

  5. Measuring up to the challenges of the 21st century. An international evaluation of the Centre for Metrology and Accreditation

    Energy Technology Data Exchange (ETDEWEB)

    Clapman, P.; Kaarls, R.; Temmes, M.

    1997-04-01

    The international evaluation of the Centre for Metrology and Accreditation (MIKES) is part of the process in which all relevant industrial and technology policy measures and organizations under the auspices of the Ministry of Trade and Industry (MTI) are being evaluated with the aim of improving their effectiveness. The overall conclusion of the evaluation is that MIKES is serving the country well. An effective national measurement system (FINMET) is being maintained which provides a wide range of calibration services covering most of the nation`s needs. The accreditation service (FINAS) is now well established, is operating effectively, and has good prospects for growth. The evaluators present, however, a number of proposals (including 33 specific recommendations) where they feel that the metrology and accreditation arrangements could be better-suited to meet future national and international challenges. According to the recommendations the Finnish quality policy framework should be developed in a consistent way. There is a need of a comprehensive governmental quality policy statement upon which the inter-ministry coordination and harmonization of various conformity assessment activities can be based. MIKES should retain its current status as an agency within MTI. The national measurement system should be more centralised and a new purpose-built national standards laboratory should be procured. The responsibility for legal metrology should be transferred to MIKES. The terms of reference and membership of Advisory Committee for Metrology, as well as the Advisory Committee for Accreditation should be revised to ensure wider representation of all relevant, and especially industrial interests

  6. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    Science.gov (United States)

    Fu, Wei-En

    2014-03-01

    hospitality. It is my privilege and pleasure to welcome you all to the 14th International Conference on Metrology and Properties of Engineering Surfaces here in Taipei. Tom Thomas Halmstad, 1st June 2013 Greetings from Chairman of Local Organizing CommitteeVictor Lin It is the great honor of Center for Measurement Standards (CMS), metrology group of Industrial Technology Research Institute (ITRI), to host the 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013) from 17-21 June, 2013, in Taipei, Taiwan. In collaboration with four local universities, National Taiwan University (NTU), National Cheng-Kung University (NCKU), National Taiwan University of Science and Technology (NTST) and National Tsing-Hua University (NTHU), we have spent more than one year to prepare this Conference since the approval by the International Programme Committee (IPC). With the guidance from the IPC, we are able to go through the laborious, but important, process of paper selection and review from more than 100 submissions, and also to maintain the tradition in gathering the high quality and state-of-the-art papers. Finally, more than 65 full papers are collected in the programme (oral and poster), and over 120 surface metrologists from 17 countries (or economies) will attend the Conference. As stated in the preface by Professor Thomas, this series of conferences were founded by Tom and late Professor Ken Stout in the United Kingdom more than thirty years ago. I was lucky to join Ken's research group in Birmingham, and to start my journey over surface metrology in 1989, under the financial support from ITRI. With the encouragement from Professor Liam Blunt and endeavors of my colleagues, we are able to hold the Conference first time in emerging Asia, and to ''carry on the heritage and pave the way to the future'' (a Chinese proverb) in surface metrology. Taiwan is also known as Formosa, from Portuguese Ilha Formosa, which means ''Beautiful Island

  7. On the Preparation of Working Standards for Gamma-Ray Spectrometry

    International Nuclear Information System (INIS)

    Durasevic, M.; Kandic, A.; Milosevic, Z.; Seslak, B.; Vukanac, I.

    2013-01-01

    In the Laboratory for Radionuclide Metrology at Vinca Institute, activity concentration measurements are routinely performed and numerous calibration standards are required. Thus, a variety of calibration standards whose measurement geometry and chemical composition and density are similar to those of the measured samples were prepared. The standardized solutions of a common mixture of gamma-emitting radionuclides (241Am, 109Cd, 139Ce, 57Co, 60Co,137Cs, 203Hg, 113Sn, 85Sr, 88Y and 210Pb) purchased at the Czech Metrological Institute were used to prepare working standards for efficiency and energy calibration of gamma-ray semiconductor HPGe spectrometer in accordance with the IAEA recommendations. Calibration standards were prepared in different geometries (PVC cylindrical boxes, Marinelly beakers and PVC cylindrical bottles) and with various matrices (mineralized grass, activated carbon, surface soil, water, sand and ached filter paper). The matrix materials were spiked by using the activated carbon as the activity carrier, and homogenized mechanically. A comprehensive review of the procedures and techniques used for working standard preparation is presented in this paper.(author)

  8. Increasing Sales by Developing Production Consortiums.

    Science.gov (United States)

    Smith, Christopher A.; Russo, Robert

    Intended to help rehabilitation facility administrators increase organizational income from manufacturing and/or contracted service sources, this document provides a decision-making model for the development of a production consortium. The document consists of five chapters and two appendices. Chapter 1 defines the consortium concept, explains…

  9. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  10. Updated standardized endpoint definitions for transcatheter aortic valve implantation: The Valve Academic Research Consortium-2 consensus document

    NARCIS (Netherlands)

    A.P. Kappetein (Arie Pieter); S.J. Head (Stuart); P. Généreux (Philippe); N. Piazza (Nicolo); N.M. van Mieghem (Nicolas); E.H. Blackstone (Eugene); T.G. Brott (Thomas); D.J. Cohen (David J.); D.E. Cutlip (Donald); G.A. van Es (Gerrit Anne); R.T. Hahn (Rebecca); A.J. Kirtane (Ajay); M. Krucoff (Mitchell); S. Kodali (Susheel); M.J. Mack (Michael); R. Mehran (Roxana); J. Rodés-Cabau (Josep); P. Vranckx (Pascal); J.G. Webb (John); S.W. Windecker (Stephan); P.W.J.C. Serruys (Patrick); M.B. Leon (Martin)

    2012-01-01

    textabstractObjectives: The aim of the current Valvular Academic Research Consortium (VARC)-2 initiative was to revisit the selection and definitions of transcatheter aortic valve implantation (TAVI)- clinical endpoints to make them more suitable to the present and future needs of clinical trials.

  11. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  12. Development of Electromechanical Architectures for AC Voltage Metrology

    Directory of Open Access Journals (Sweden)

    Alexandre BOUNOUH

    2010-12-01

    Full Text Available This paper presents results of work undertaken for exploring MEMS capabilities to fabricate AC voltage references for electrical metrology and high precision instrumentation through the mechanical-electrical coupling in MEMS. From first MEMS test structures previously realized, a second set of devices with improved characteristics has been developed and fabricated with Silicon on Insulator (SOI Surface Micromachining process. These MEMS exhibit pull-in voltages of 5 V and 10 V to match with the best performance of the read-out electronics developed for driving the MEMS. Deep Level Transient Spectroscopy measurements carried out on the new design show resonance frequencies of about only some kHz, and the stability of the MEMS output voltage measured at 100 kHz has been found very promising for the best samples where the relative deviation from the mean value over almost 12 hours showed a standard deviation of about 6.3 ppm.

  13. Population Health Metrics Research Consortium gold standard verbal autopsy validation study: design, implementation, and development of analysis datasets

    Directory of Open Access Journals (Sweden)

    Ohno Summer

    2011-08-01

    Full Text Available Abstract Background Verbal autopsy methods are critically important for evaluating the leading causes of death in populations without adequate vital registration systems. With a myriad of analytical and data collection approaches, it is essential to create a high quality validation dataset from different populations to evaluate comparative method performance and make recommendations for future verbal autopsy implementation. This study was undertaken to compile a set of strictly defined gold standard deaths for which verbal autopsies were collected to validate the accuracy of different methods of verbal autopsy cause of death assignment. Methods Data collection was implemented in six sites in four countries: Andhra Pradesh, India; Bohol, Philippines; Dar es Salaam, Tanzania; Mexico City, Mexico; Pemba Island, Tanzania; and Uttar Pradesh, India. The Population Health Metrics Research Consortium (PHMRC developed stringent diagnostic criteria including laboratory, pathology, and medical imaging findings to identify gold standard deaths in health facilities as well as an enhanced verbal autopsy instrument based on World Health Organization (WHO standards. A cause list was constructed based on the WHO Global Burden of Disease estimates of the leading causes of death, potential to identify unique signs and symptoms, and the likely existence of sufficient medical technology to ascertain gold standard cases. Blinded verbal autopsies were collected on all gold standard deaths. Results Over 12,000 verbal autopsies on deaths with gold standard diagnoses were collected (7,836 adults, 2,075 children, 1,629 neonates, and 1,002 stillbirths. Difficulties in finding sufficient cases to meet gold standard criteria as well as problems with misclassification for certain causes meant that the target list of causes for analysis was reduced to 34 for adults, 21 for children, and 10 for neonates, excluding stillbirths. To ensure strict independence for the validation of

  14. Metrologically Traceable Determination of the Water Content in Biopolymers: INRiM Activity

    Science.gov (United States)

    Rolle, F.; Beltramino, G.; Fernicola, V.; Sega, M.; Verdoja, A.

    2017-03-01

    Water content in materials is a key factor affecting many chemical and physical properties. In polymers of biological origin, it influences their stability and mechanical properties as well as their biodegradability. The present work describes the activity carried out at INRiM on the determination of water content in samples of a commercial starch-derived biopolymer widely used in shopping bags (Mater-Bi^{circledR }). Its water content, together with temperature, is the most influencing parameter affecting its biodegradability, because of the considerable impact on the microbial activity which is responsible for the biopolymer degradation in the environment. The main scope of the work was the establishment of a metrologically traceable procedure for the determination of water content by using two electrochemical methods, namely coulometric Karl Fischer (cKF) titration and evolved water vapour (EWV) analysis. The obtained results are presented. The most significant operational parameters were considered, and a particular attention was devoted to the establishment of metrological traceability of the measurement results by using appropriate calibration procedures, calibrated standards and suitable certified reference materials. Sample homogeneity and oven-drying temperature were found to be the most important influence quantities in the whole water content measurement process. The results of the two methods were in agreement within the stated uncertainties. Further development is foreseen for the application of cKF and EWV to other polymers.

  15. Hickory Consortium 2001 Final Report

    Energy Technology Data Exchange (ETDEWEB)

    2003-02-01

    As with all Building America Program consortia, systems thinking is the key to understanding the processes that Hickory Consortium hopes to improve. The Hickory Consortium applies this thinking to more than the whole-building concept. Their systems thinking embraces the meta process of how housing construction takes place in America. By understanding the larger picture, they are able to identify areas where improvements can be made and how to implement them.

  16. METROLOGICAL PERFORMANCES OF BOMB CALORIMETERS AT REAL CONDITIONS

    Directory of Open Access Journals (Sweden)

    Yu. V. Maksimuk

    2016-01-01

    Full Text Available The high-usage measurement equipment for heat of combustion of organic fuels are bomb isoperibol calorimeters with a water thermostat. The stability of work of calorimeters at real conditions is important for maintenance of reliability of measurement results. The article purpose – the analysis of stability for parameters of calorimeters to environment changes. In this work influence room temperature (Тк and heat exchange conditions on metrological characteristics of two models of calorimeters is considered with different degree of thermal protection: V-08МА and BIC 100. For calorimeters V-08МА the increase in a effective heat capacity (W on 0,1 % by growth of Tк on everyone 5 °С is established. To use value W in all interval laboratory temperatures Tк = 14–28 °С it is necessary to correct W on 2,8 J/°C on everyone 1 °С changes of Tк. Updating W is required, if the correction exceeds error in determination W. For calorimeter BIC 100 it is not revealed dependences W from Tк. BIC 100 have constant-temperature cap, high stability a temperature in thermostat and stabilized heat exchange. It is established that an standard deviation of cooling constant for all calorimeters in direct proportional to standard deviation W. 

  17. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  18. Tri-District Arts Consortium Summer Program.

    Science.gov (United States)

    Kirby, Charlotte O.

    1990-01-01

    The Tri-District Arts Consortium in South Carolina was formed to serve artistically gifted students in grades six-nine. The consortium developed a summer program offering music, dance, theatre, and visual arts instruction through a curriculum of intense training, performing, and hands-on experiences with faculty members and guest artists. (JDD)

  19. Ozone Standard Reference Photometer

    Data.gov (United States)

    Federal Laboratory Consortium — The Standard Reference Photometer (SRP) Program began in the early 1980s as collaboration between NIST and the U.S. Environmental Protection Agency (EPA) to design,...

  20. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  1. The National Astronomy Consortium (NAC)

    Science.gov (United States)

    Von Schill, Lyndele; Ivory, Joyce

    2017-01-01

    The National Astronomy Consortium (NAC) program is designed to increase the number of underrepresented minority students into STEM and STEM careers by providing unique summer research experiences followed by long-term mentoring and cohort support. Hallmarks of the NAC program include: research or internship opportunities at one of the NAC partner sites, a framework to continue research over the academic year, peer and faculty mentoring, monthly virtual hangouts, and much more. NAC students also participate in two professional travel opportunities each year: the annual NAC conference at Howard University and poster presentation at the annual AAS winter meeting following their summer internship.The National Astronomy Consortium (NAC) is a program led by the National Radio Astronomy Consortium (NRAO) and Associated Universities, Inc. (AUI), in partnership with the National Society of Black Physicist (NSBP), along with a number of minority and majority universities.

  2. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  3. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  4. State special calibration standard of acoustic pressure in aqueous medium within frequency range of 0.001 to 200 khz

    International Nuclear Information System (INIS)

    Golenkov, A.N.; Golub', S.G.; Likhachev, S.M.; Makarevich, B.N.; Fadeev, V.G.

    1974-01-01

    A standard approved by the State Standard Specification (GOST) is described. The standard has been developed at the VNIIFTRI. The metrology characteristics and main data of the standard units are presented

  5. The dissemination in France of the units used in ionizing radiation metrology

    International Nuclear Information System (INIS)

    Guiho, J.P.; Simoen, J.P.

    1978-01-01

    After reviewing the system of metrology in France the authors describe the working of the ionizing radiation calibration chain. Emphasis is laid on the procedures used for the transfer of the units of exposure and absorbed dose. Such transfers are carried out either by direct comparison with a standard kept at the calibration centre, or by special procedures involving the use of transfer dose meters or of radioactive sources calibrated and supplied by the primary laboratory or the calibration centre. An analysis of the steps and of the accumulation of errors is presented for each dosimetric quantity considered. The authors make a preliminary assessment of the operation of the French ionizing radiation calibration chain. (author)

  6. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  7. Present status of metrology of electro-optical surveillance systems

    Science.gov (United States)

    Chrzanowski, K.

    2017-10-01

    There has been a significant progress in equipment for testing electro-optical surveillance systems over the last decade. Modern test systems are increasingly computerized, employ advanced image processing and offer software support in measurement process. However, one great challenge, in form of relative low accuracy, still remains not solved. It is quite common that different test stations, when testing the same device, produce different results. It can even happen that two testing teams, while working on the same test station, with the same tested device, produce different results. Rapid growth of electro-optical technology, poor standardization, limited metrology infrastructure, subjective nature of some measurements, fundamental limitations from laws of physics, tendering rules and advances in artificial intelligence are major factors responsible for such situation. Regardless, next decade should bring significant improvements, since improvement in measurement accuracy is needed to sustain fast growth of electro-optical surveillance technology.

  8. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  9. Metrological traceability and harmonization of medical tests: a quantum leap forward is needed to keep pace with globalization and stringent IVD-regulations in the 21st century!

    Science.gov (United States)

    Cobbaert, Christa; Smit, Nico; Gillery, Philippe

    2018-05-07

    In our efforts to advance the profession and practice of clinical laboratory medicine, strong coordination and collaboration are needed more than ever before. At the dawn of the 21st century, medical laboratories are facing many unmet clinical needs, a technological revolution promising a plethora of better biomarkers, financial constraints, a growing scarcity of well-trained laboratory technicians and a sharply increasing number of International Organization for Standardization guidelines and new regulations to which medical laboratories should comply in order to guarantee safety and effectiveness of medical test results. Although this is a global trend, medical laboratories across continents and countries are in distinct phases and experience various situations. A universal underlying requirement for safe and global use of medical test results is the standardization and harmonization of test results. Since two decades and after a number of endeavors on standardization/harmonization of medical tests, it is time to reflect on the effectiveness of the approaches used. To keep laboratory medicine sustainable, viable and affordable, clarification of the promises of metrological traceability of test results for improving sick and health care, realization of formal commitment among all stakeholders of the metrological traceability chain and preparation of a joint and global plan for action are essential prerequisites. Policy makers and regulators should not only overwhelm the diagnostic sector with oversight and regulations but should also create the conditions by establishing a global professional forum for anchoring the metrological traceability concept in the medical test domain. Even so, professional societies should have a strong voice in their (inter-) national governments to negotiate long-lasting public policy commitment and funds for global standardization of medical tests.

  10. In-Vessel Co-Composting of Food Waste Employing Enriched Bacterial Consortium.

    Science.gov (United States)

    Awasthi, Mukesh Kumar; Wang, Quan; Wang, Meijing; Chen, Hongyu; Ren, Xiuna; Zhao, Junchao; Zhang, Zengqiang

    2018-03-01

    The aim of the present study is to develop a good initial composting mix using a bacterial consortium and 2% lime for effective co-composting of food waste in a 60-litre in-vessel composter. In the experiment that lasted for 42 days, the food waste was first mixed with sawdust and 2% lime (by dry mass), then one of the reactors was inoculated with an enriched bacterial consortium, while the other served as control. The results show that inoculation of the enriched natural bacterial consortium effectively overcame the oil-laden co-composting mass in the composter and increased the rate of mineralization. In addition, CO 2 evolution rate of (0.81±0.2) g/(kg·day), seed germination index of (105±3) %, extractable ammonium mass fraction of 305.78 mg/kg, C/N ratio of 16.18, pH=7.6 and electrical conductivity of 3.12 mS/cm clearly indicate that the compost was well matured and met the composting standard requirements. In contrast, control treatment exhibited a delayed thermophilic phase and did not mature after 42 days, as evidenced by the maturity parameters. Therefore, a good composting mix and potential bacterial inoculum to degrade the oil are essential for food waste co-composting systems.

  11. In-Vessel Co-Composting of Food Waste Employing Enriched Bacterial Consortium

    Directory of Open Access Journals (Sweden)

    Mukesh Kumar Awasthi

    2018-01-01

    Full Text Available The aim of the present study is to develop a good initial composting mix using a bacterial consortium and 2 % lime for effective co-composting of food waste in a 60-litre in-vessel composter. In the experiment that lasted for 42 days, the food waste was first mixed with sawdust and 2 % lime (by dry mass, then one of the reactors was inoculated with an enriched bacterial consortium, while the other served as control. The results show that inoculation of the enriched natural bacterial consortium effectively overcame the oil-laden co-composting mass in the composter and increased the rate of mineralization. In addition, CO2 evolution rate of (0.81±0.2 g/(kg·day, seed germination index of (105±3 %, extractable ammonium mass fraction of 305.78 mg/kg, C/N ratio of 16.18, pH=7.6 and electrical conductivity of 3.12 mS/cm clearly indicate that the compost was well matured and met the composting standard requirements. In contrast, control treatment exhibited a delayed thermophilic phase and did not mature after 42 days, as evidenced by the maturity parameters. Therefore, a good composting mix and potential bacterial inoculum to degrade the oil are essential for food waste co-composting systems.

  12. Certification and accreditation performed by national standardization organizations : Does it reinforce or damage the traditional work of NSOs?

    NARCIS (Netherlands)

    H.J. de Vries (Henk)

    1999-01-01

    markdownabstractMany national standards organizations (NSOs) have become involved in metrology, product testing, certification and/or accreditation in addition to their core activites of standars development, selling standards, providing information on standards and standardization, and maintaining

  13. Radiological Calibration and Standards Facility

    Data.gov (United States)

    Federal Laboratory Consortium — PNNL maintains a state-of-the-art Radiological Calibration and Standards Laboratory on the Hanford Site at Richland, Washington. Laboratory staff provide expertise...

  14. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  15. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  16. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  17. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  18. Multiple Syntrophic Interactions in a Terephthalate-Degrading Methanogenic Consortium

    Energy Technology Data Exchange (ETDEWEB)

    Lykidis, Athanasios; Chen, Chia-Lung; Tringe, Susannah G.; McHardy, Alice C.; Copeland, Alex 5; Kyrpides, Nikos C.; Hugenholtz, Philip; Liu, Wen-Tso

    2010-08-05

    Terephthalate (TA) is one of the top 50 chemicals produced worldwide. Its production results in a TA-containing wastewater that is treated by anaerobic processes through a poorly understood methanogenic syntrophy. Using metagenomics, we characterized the methanogenic consortium tinside a hyper-mesophilic (i.e., between mesophilic and thermophilic), TA-degrading bioreactor. We identified genes belonging to dominant Pelotomaculum species presumably involved in TA degradation through decarboxylation, dearomatization, and modified ?-oxidation to H{sub 2}/CO{sub 2} and acetate. These intermediates are converted to CH{sub 4}/CO{sub 2} by three novel hyper-mesophilic methanogens. Additional secondary syntrophic interactions were predicted in Thermotogae, Syntrophus and candidate phyla OP5 and WWE1 populations. The OP5 encodes genes capable of anaerobic autotrophic butyrate production and Thermotogae, Syntrophus and WWE1 have the genetic potential to oxidize butyrate to COsub 2}/H{sub 2} and acetate. These observations suggest that the TA-degrading consortium consists of additional syntrophic interactions beyond the standard H{sub 2}-producing syntroph ? methanogen partnership that may serve to improve community stability.

  19. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  20. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  1. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  2. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  3. Secondary standard dosimetry laboratory at INFLPR

    Energy Technology Data Exchange (ETDEWEB)

    Scarlat, F.; Minea, R.; Scarisoreanu, A.; Badita, E.; Sima, E.; Dumitrascu, M.; Stancu, E.; Vancea, C., E-mail: scarlat.f@gmail.com [National Institute for Laser, Plasma and Radiation Physics - INFLPR, Bucharest (Romania)

    2011-07-01

    National Institute for Laser, Plasma and Radiation Physics (INFLPR) has constructed a High Energy Secondary Standard Dosimetry Laboratory SSDL-STARDOOR - for performing dosimetric calibrations according to ISO IEC SR/EN 17025:2005 standards. This is outfitted with UNIDOS Secondary Standard Dosimeter from PTW (Freiburg Physikalisch-Technische Werksttaten) calibrated at the PTB-Braunschweig (German Federal Institute of Physics and Metrology). A radiation beam of the quality of Q used by our laboratory as calibration source are provided by INFLPR 7 MeV electron beam linear accelerator mounted in our facility. (author)

  4. A 3D edge detection technique for surface extraction in computed tomography for dimensional metrology applications

    DEFF Research Database (Denmark)

    Yagüe-Fabra, J.A.; Ontiveros, S.; Jiménez, R.

    2013-01-01

    Many factors influence the measurement uncertainty when using computed tomography for dimensional metrology applications. One of the most critical steps is the surface extraction phase. An incorrect determination of the surface may significantly increase the measurement uncertainty. This paper...... presents an edge detection method for the surface extraction based on a 3D Canny algorithm with sub-voxel resolution. The advantages of this method are shown in comparison with the most commonly used technique nowadays, i.e. the local threshold definition. Both methods are applied to reference standards...

  5. IPD-Work consortium

    DEFF Research Database (Denmark)

    Kivimäki, Mika; Singh-Manoux, Archana; Virtanen, Marianna

    2015-01-01

    of countries. The aim of the consortium is to estimate reliably the associations of work-related psychosocial factors with chronic diseases, disability, and mortality. Our findings are highly cited by the occupational health, epidemiology, and clinical medicine research community. However, some of the IPD-Work......'s findings have also generated disagreement as they challenge the importance of job strain as a major target for coronary heart disease (CHD) prevention, this is reflected in the critical discussion paper by Choi et al (1). In this invited reply to Choi et al, we aim to (i) describe how IPD-Work seeks......Established in 2008 and comprising over 60 researchers, the IPD-Work (individual-participant data meta-analysis in working populations) consortium is a collaborative research project that uses pre-defined meta-analyses of individual-participant data from multiple cohort studies representing a range...

  6. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    Science.gov (United States)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  7. Investigation of metrological parameters of measuring system for small temperature changes

    Directory of Open Access Journals (Sweden)

    Samynina M. G.

    2014-02-01

    Full Text Available Metrological parameters of the non-standard contact device were investigated to characterize its performance in temperature change measurements in the specified temperature range. Several series thermistors with a negative temperature coefficient of resistance connected into a linearization circuit were used as the sensing element of the semiconductor device. Increasing the number of thermistors leads to improved circuitry resolving power and reduced dispersion of this parameter. However, there is the question of optimal ratio of the number of thermistors and implemented temperature resolution, due to the nonlinear resolution dependence of the number of series-connected thermoelements. An example of scheme of four similar thermistors as the primary sensor and of a standard measuring instrument, which is working in ohmmeter mode, shows the ability to measure temperature changes at the level of hundredth of a Celsius degree. In this case, a quantization error, which is determined by a resolution of the measuring system, and the ohmmeter accuracy make the main contribution to the overall accuracy of measuring small temperature changes.

  8. 64nm pitch metal1 double patterning metrology: CD and OVL control by SEMCD, image based overlay and diffraction based overlay

    Science.gov (United States)

    Ducoté, Julien; Dettoni, Florent; Bouyssou, Régis; Le-Gratiet, Bertrand; Carau, Damien; Dezauzier, Christophe

    2015-03-01

    Patterning process control of advanced nodes has required major changes over the last few years. Process control needs of critical patterning levels since 28nm technology node is extremely aggressive showing that metrology accuracy/sensitivity must be finely tuned. The introduction of pitch splitting (Litho-Etch-Litho-Etch) at 14FDSOInm node requires the development of specific metrologies to adopt advanced process control (for CD, overlay and focus corrections). The pitch splitting process leads to final line CD uniformities that are a combination of the CD uniformities of the two exposures, while the space CD uniformities are depending on both CD and OVL variability. In this paper, investigations of CD and OVL process control of 64nm minimum pitch at Metal1 level of 14FDSOI technology, within the double patterning process flow (Litho, hard mask etch, line etch) are presented. Various measurements with SEMCD tools (Hitachi), and overlay tools (KT for Image Based Overlay - IBO, and ASML for Diffraction Based Overlay - DBO) are compared. Metrology targets are embedded within a block instanced several times within the field to perform intra-field process variations characterizations. Specific SEMCD targets were designed for independent measurement of both line CD (A and B) and space CD (A to B and B to A) for each exposure within a single measurement during the DP flow. Based on those measurements correlation between overlay determined with SEMCD and with standard overlay tools can be evaluated. Such correlation at different steps through the DP flow is investigated regarding the metrology type. Process correction models are evaluated with respect to the measurement type and the intra-field sampling.

  9. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  10. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  11. The bioleaching potential of a bacterial consortium.

    Science.gov (United States)

    Latorre, Mauricio; Cortés, María Paz; Travisany, Dante; Di Genova, Alex; Budinich, Marko; Reyes-Jara, Angélica; Hödar, Christian; González, Mauricio; Parada, Pilar; Bobadilla-Fazzini, Roberto A; Cambiazo, Verónica; Maass, Alejandro

    2016-10-01

    This work presents the molecular foundation of a consortium of five efficient bacteria strains isolated from copper mines currently used in state of the art industrial-scale biotechnology. The strains Acidithiobacillus thiooxidans Licanantay, Acidiphilium multivorum Yenapatur, Leptospirillum ferriphilum Pañiwe, Acidithiobacillus ferrooxidans Wenelen and Sulfobacillus thermosulfidooxidans Cutipay were selected for genome sequencing based on metal tolerance, oxidation activity and bioleaching of copper efficiency. An integrated model of metabolic pathways representing the bioleaching capability of this consortium was generated. Results revealed that greater efficiency in copper recovery may be explained by the higher functional potential of L. ferriphilum Pañiwe and At. thiooxidans Licanantay to oxidize iron and reduced inorganic sulfur compounds. The consortium had a greater capacity to resist copper, arsenic and chloride ion compared to previously described biomining strains. Specialization and particular components in these bacteria provided the consortium a greater ability to bioleach copper sulfide ores. Copyright © 2016 Elsevier Ltd. All rights reserved.

  12. FOREWORD: The 4th CCM International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa)

    Science.gov (United States)

    Legras, Jean-Claude; Jousten, Karl; Severn, Ian

    2005-12-01

    The fourth CCM (Consultative Committee for Mass and related quantities) International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa) was held at the Institute of Physics in London from 19-21 April 2005. The event, which was organized by the Low, Medium and High Pressure working groups of the CCM, was attended by in excess of one hundred participants with representatives from five continents and every regional metrology organization. The purpose of this conference is to review all the work that is devoted to the highest quality of pressure measurement by primary standards as well as the dissemination of the pressure scale. A total of 52 papers were presented orally, and 26 as posters, in sessions that covered the following topics: Latest scientific advances in pressure and vacuum metrology Innovative transfer standards, advanced sensors and new instrument development Primary (top-level) measurement standards International and regional key comparisons New approaches to calibration It is interesting the note that since the third conference in 1999 the pressure range covered has increased by two orders of magnitude to 109 Pa, to take into account more exacting scientific and industrial demands for traceable vacuum measurement. A further feature of the conference was the increased range of instrumentation and techniques used in the realization and potential realization of pressure standards. Seton Bennett, Director of International Metrology at the National Physical Laboratory, opened the conference and Andrew Wallard, Director of the Bureau International des Poids et Mesures (BIPM), gave the keynote address which described the implementation of the mutual recognition arrangement and the resulting removal of metrological barriers to international trade. Many experts have contributed significant amounts of their time to organize the event and to review the submitted papers. Thanks are due to all of these people

  13. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  14. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  15. Measurement standards and the general problem of reference points in chemical analysis

    International Nuclear Information System (INIS)

    Richter, W.; Dube, G.

    2002-01-01

    Besides the measurement standards available in general metrology in the form of the realisations of the units of measurement, measurement standards of chemical composition are needed for the vast field of chemical measurement (measurements of the chemical composition), because it is the main aim of such measurements to quantify non-isolated substances, often in complicated matrices, to which the 'classical' measurement standards and their lower- level derivatives are not directly applicable. At present, material artefacts as well as standard measurement devices serve as chemical measurement standards. These are measurement standards in the full metrological sense only, however, if they are firmly linked to the SI unit in which the composition represented by the standard is expressed. This requirement has the consequence that only a very restricted number of really reliable chemical measurement standards exist at present. Since it is very difficult and time consuming to increase this number substantially and, on the other hand, reliable reference points are increasingly needed for all kinds of chemical measurements, primary methods of measurement and high-level reference measurements will play an increasingly important role for the establishment of worldwide comparability and hence mutual acceptance of chemical measurement results. (author)

  16. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  17. [Food Security in Europe: comparison between the "Hygiene Package" and the British Retail Consortium (BRC) & International Food Standard (IFS) protocols].

    Science.gov (United States)

    Stilo, A; Parisi, S; Delia, S; Anastasi, F; Bruno, G; Laganà, P

    2009-01-01

    The birth of Hygiene Package and of the Reg. CE no 2073/2005 in the food production field signalled a change in Italy. This process started in Italy in 1997 with the legislative decree no 155 on Self-control but in reality, it was implemented in the UK in 1990 with the promulgation of the Food Safety Act. This legal act was influenced by some basic rules corresponding to the application of HACCP standards. Since 1990 the British chains of distribution (Retailers) have involved all aspects of the food line in this type of responsibility. Due to this growing awareness for a need for greater regulation, a protocol, edited by British Retail Consortium was created in 1998. This protocol acted as a "stamp" of approval for food products and it is now known as the BRC Global Food Standard. In July 2008, this protocol became effective in its fifth version. After the birth of BRC, also French and German Retailers have established a standard practically equivalent and perhaps more pertinent to safety food, that is International Food Standard (IFS). The new approach is specific to the food field and strictly applies criteria which will ensure "safety, quality and legality" of food products, similarly to ISO 22000:2005 (mainly based on BRC & IFS past experiences). New standards aim to create a sort of green list with fully "proper and fit" Suppliers only, because of comprehensible exigencies of Retailers. It is expected, as we have shown, that Auditor authorities who are responsible for ensuring that inspections are now carried out like the Hygiene Package, will find these new standards useful. The advantages of streamlining this system is that it will allow enterprises to diligently enforce food safety practices without fear of upset or legal consequence, to improve the quality (HACCP) of management & traceability system; to restrict wastes, reprocessing and withdrawal of products. However some discordances about the interpretation of certain sub-field norms (e.g., water

  18. The metrological activity determination of 238 U and 230 Th by gamma spectrometry to industrial fuel-cycle application

    International Nuclear Information System (INIS)

    Almeida M, M.C. de; Delgado, J.U.; Poledna, R.

    2006-01-01

    This work describes the difficulty for determining the activity of 238 U and 230 Th using gamma spectrometry due to the low gamma-ray emission probabilities of 92 and 67 keV, and, mainly, the associated high uncertainties about 13 and 11%, respectively. 230 Th is a 238 U daughter and it is product from uranium mills and refineries. 230 Th decays to 226 Ra and this decay has to be measured because these radionuclides are not in secular equilibrium with their daughter products, besides the gamma-energies have high uncertainties in the emission probabilities. These radionuclides, mostly 238 U, are important in the nuclear fuel-cycle, since the mining of uranium ore, where the nominal isotopic content of natural uranium is 99.27% of 238 U, until the irradiated fuel reprocessing, where this isotope, a fertile material, is recovered to be used again. The uranium and thorium are considered safeguarded nuclear materials and the metrology tries to calibrate and standardize these materials to improve the activity determination techniques applied in different fuel-cycle scopes. The essential characteristics of the safeguarded materials are low gamma energies (less than 100 keV) and emission probabilities but with high uncertainties. In this way, the metrology can contribute to homeland security defense against illicit nuclear trafficking with the identification and quantification of the safeguarded radionuclides such as uranium and thorium, using specific gamma window energy and high resolution planar or coaxial germanium detector. The efficiency curve is obtained from the reference source spectrum considering the photopeak areas corresponding the standard activities. This curve depends on radiation energy, sample geometry, photon attenuation (sample absorption and material absorption between sample-detector), dead time and sample-detector position. The metrological activity determinations of 238 U solid sources, and of 230 Th, in solution (5 ml flask), were performed using

  19. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  20. A spectroscopic transfer standard for accurate atmospheric CO measurements

    Science.gov (United States)

    Nwaboh, Javis A.; Li, Gang; Serdyukov, Anton; Werhahn, Olav; Ebert, Volker

    2016-04-01

    Atmospheric carbon monoxide (CO) is a precursor of essential climate variables and has an indirect effect for enhancing global warming. Accurate and reliable measurements of atmospheric CO concentration are becoming indispensable. WMO-GAW reports states a compatibility goal of ±2 ppb for atmospheric CO concentration measurements. Therefore, the EMRP-HIGHGAS (European metrology research program - high-impact greenhouse gases) project aims at developing spectroscopic transfer standards for CO concentration measurements to meet this goal. A spectroscopic transfer standard would provide results that are directly traceable to the SI, can be very useful for calibration of devices operating in the field, and could complement classical gas standards in the field where calibration gas mixtures in bottles often are not accurate, available or stable enough [1][2]. Here, we present our new direct tunable diode laser absorption spectroscopy (dTDLAS) sensor capable of performing absolute ("calibration free") CO concentration measurements, and being operated as a spectroscopic transfer standard. To achieve the compatibility goal stated by WMO for CO concentration measurements and ensure the traceability of the final concentration results, traceable spectral line data especially line intensities with appropriate uncertainties are needed. Therefore, we utilize our new high-resolution Fourier-transform infrared (FTIR) spectroscopy CO line data for the 2-0 band, with significantly reduced uncertainties, for the dTDLAS data evaluation. Further, we demonstrate the capability of our sensor for atmospheric CO measurements, discuss uncertainty calculation following the guide to the expression of uncertainty in measurement (GUM) principles and show that CO concentrations derived using the sensor, based on the TILSAM (traceable infrared laser spectroscopic amount fraction measurement) method, are in excellent agreement with gravimetric values. Acknowledgement Parts of this work have been

  1. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  2. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  3. Community Hospital Telehealth Consortium

    National Research Council Canada - National Science Library

    Williams, Elton

    2004-01-01

    The Community Hospital Telehealth Consortium is a unique, forward-thinking, community-based healthcare service project organized around 5 not-for-profit community hospitals located throughout Louisiana and Mississippi...

  4. Community Hospital Telehealth Consortium

    National Research Council Canada - National Science Library

    Williams, Elton

    2003-01-01

    The Community Hospital Telehealth Consortium is a unique, forward-thinking, community-based healthcare service project organized around 5 not-for-profit community hospitals located throughout Louisiana and Mississippi...

  5. Community Hospital Telehealth Consortium

    National Research Council Canada - National Science Library

    Williams, Jr, Elton L

    2007-01-01

    The Community Hospital Telehealth Consortium is a unique, forward-thinking, community-based healthcare service project organized around 5 not-for-profit community hospitals located throughout Louisiana and Mississippi...

  6. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  7. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  8. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  9. Standardizing data exchange for clinical research protocols and case report forms: An assessment of the suitability of the Clinical Data Interchange Standards Consortium (CDISC) Operational Data Model (ODM).

    Science.gov (United States)

    Huser, Vojtech; Sastry, Chandan; Breymaier, Matthew; Idriss, Asma; Cimino, James J

    2015-10-01

    Efficient communication of a clinical study protocol and case report forms during all stages of a human clinical study is important for many stakeholders. An electronic and structured study representation format that can be used throughout the whole study life-span can improve such communication and potentially lower total study costs. The most relevant standard for representing clinical study data, applicable to unregulated as well as regulated studies, is the Operational Data Model (ODM) in development since 1999 by the Clinical Data Interchange Standards Consortium (CDISC). ODM's initial objective was exchange of case report forms data but it is increasingly utilized in other contexts. An ODM extension called Study Design Model, introduced in 2011, provides additional protocol representation elements. Using a case study approach, we evaluated ODM's ability to capture all necessary protocol elements during a complete clinical study lifecycle in the Intramural Research Program of the National Institutes of Health. ODM offers the advantage of a single format for institutions that deal with hundreds or thousands of concurrent clinical studies and maintain a data warehouse for these studies. For each study stage, we present a list of gaps in the ODM standard and identify necessary vendor or institutional extensions that can compensate for such gaps. The current version of ODM (1.3.2) has only partial support for study protocol and study registration data mainly because it is outside the original development goal. ODM provides comprehensive support for representation of case report forms (in both the design stage and with patient level data). Inclusion of requirements of observational, non-regulated or investigator-initiated studies (outside Food and Drug Administration (FDA) regulation) can further improve future revisions of the standard. Published by Elsevier Inc.

  10. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  11. Vitamin D and mortality: Individual participant data meta-analysis of standardized 25-hydroxyvitamin D in 26916 individuals from a European consortium.

    Directory of Open Access Journals (Sweden)

    Martin Gaksch

    Full Text Available Vitamin D deficiency may be a risk factor for mortality but previous meta-analyses lacked standardization of laboratory methods for 25-hydroxyvitamin D (25[OH]D concentrations and used aggregate data instead of individual participant data (IPD. We therefore performed an IPD meta-analysis on the association between standardized serum 25(OHD and mortality.In a European consortium of eight prospective studies, including seven general population cohorts, we used the Vitamin D Standardization Program (VDSP protocols to standardize 25(OHD data. Meta-analyses using a one step procedure on IPD were performed to study associations of 25(OHD with all-cause mortality as the primary outcome, and with cardiovascular and cancer mortality as secondary outcomes. This meta-analysis is registered at ClinicalTrials.gov, number NCT02438488.We analysed 26916 study participants (median age 61.6 years, 58% females with a median 25(OHD concentration of 53.8 nmol/L. During a median follow-up time of 10.5 years, 6802 persons died. Compared to participants with 25(OHD concentrations of 75 to 99.99 nmol/L, the adjusted hazard ratios (with 95% confidence interval for mortality in the 25(OHD groups with 40 to 49.99, 30 to 39.99, and <30 nmol/L were 1.15 (1.00-1.29, 1.33 (1.16-1.51, and 1.67 (1.44-1.89, respectively. We observed similar results for cardiovascular mortality, but there was no significant linear association between 25(OHD and cancer mortality. There was also no significantly increased mortality risk at high 25(OHD levels up to 125 nmol/L.In the first IPD meta-analysis using standardized measurements of 25(OHD we observed an association between low 25(OHD and increased risk of all-cause mortality. It is of public health interest to evaluate whether treatment of vitamin D deficiency prevents premature deaths.

  12. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  13. A Staff Education Consortium: One Model for Collaboration.

    Science.gov (United States)

    Stetler, Cheryl Beth; And Others

    1983-01-01

    Discusses the development, organization, activities, problems, and future of a staff education consortium of five medical center hospitals in Boston. The purposes of the consortium are mutual sharing, reduction in duplication, and cost containment of educational programing. (JOW)

  14. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  15. The Latin American Consortium of Studies in Obesity (LASO)

    Science.gov (United States)

    Bautista, L. E.; Casas, J. P.; Herrera, V. M.; Miranda, J. J.; Perel, P.; Pichardo, R.; González, A.; Sanchez, J. R.; Ferreccio, C.; Aguilera, X.; Silva, E.; Oróstegui, M.; Gómez, L. F.; Chirinos, J. A.; Medina-Lezama, J.; Pérez, C. M.; Suárez, E.; Ortiz, A. P.; Rosero, L.; Schapochnik, N.; Ortiz, Z.; Ferrante, D.

    2009-01-01

    Summary Current, high-quality data are needed to evaluate the health impact of the epidemic of obesity in Latin America. The Latin American Consortium of Studies of Obesity (LASO) has been established, with the objectives of (i) Accurately estimating the prevalence of obesity and its distribution by sociodemographic characteristics; (ii) Identifying ethnic, socioeconomic and behavioural determinants of obesity; (iii) Estimating the association between various anthropometric indicators or obesity and major cardiovascular risk factors and (iv) Quantifying the validity of standard definitions of the various indexes of obesity in Latin American population. To achieve these objectives, LASO makes use of individual data from existing studies. To date, the LASO consortium includes data from 11 studies from eight countries (Argentina, Chile, Colombia, Costa Rica, Dominican Republic, Peru, Puerto Rico and Venezuela), including a total of 32 462 subjects. This article describes the overall organization of LASO, the individual studies involved and the overall strategy for data analysis. LASO will foster the development of collaborative obesity research among Latin American investigators. More important, results from LASO will be instrumental to inform health policies aiming to curtail the epidemic of obesity in the region. PMID:19438980

  16. Proficiency testing in the light of a new rationale in metrology

    DEFF Research Database (Denmark)

    Heydorn, Kaj

    2008-01-01

    The novel proposed definition of measurement result in the international metrology vocabulary requires a revision of standards and guidelines for proficiency testing (PT), and a new approach to processing proficiency data is needed to test the ability of laboratories to present not only unbiased...... quantity values, but reliable estimates of their uncertainty. Hence, an accepted reference value with the smallest possible uncertainty is needed to ascertain the proficiency of laboratories reporting results with lower than average uncertainty. A strategy based on the T-statistic is proposed leading...... to an accepted reference value that fully reflects the uncertainties reported by participants in a PT scheme and permits calculation of En-numbers to distinguish whether or not measurement results are consistent with the accepted definition of the measurand. The strategy is applied to PT data from a recent...

  17. Corn in consortium with forages

    Directory of Open Access Journals (Sweden)

    Cássia Maria de Paula Garcia

    2013-12-01

    Full Text Available The basic premises for sustainable agricultural development with focus on rural producers are reducing the costs of production and aggregation of values through the use crop-livestock system (CLS throughout the year. The CLS is based on the consortium of grain crops, especially corn with tropical forages, mainly of the genus Panicum and Urochloa. The study aimed to evaluate the grain yield of irrigated corn crop intercropped with forage of the genus Panicum and Urochloa. The experiment was conducted at the Fazenda de Ensino, Pesquisa e Extensão – FEPE  of the Faculdade de Engenharia - UNESP, Ilha Solteira in an Oxisol in savannah conditions and in the autumn winter of 2009. The experimental area was irrigated by a center pivot and had a history of no-tillage system for 8 years. The corn hybrid used was simple DKB 390 YG at distances of 0.90 m. The seeds of grasses were sown in 0.34 m spacing in the amount of 5 kg ha-1, they were mixed with fertilizer minutes before sowing  and placed in a compartment fertilizer seeder and fertilizers were mechanically deposited in the soil at a depth of 0.03 m. The experimental design used was a randomized block with four replications and five treatments: Panicum maximum cv. Tanzania sown during the nitrogen fertilization (CTD of the corn; Panicum maximum cv. Mombaça sown during the nitrogen fertilization (CMD of the corn; Urochloa brizantha cv. Xaraés sown during the occasion of nitrogen fertilization (CBD of the corn; Urochloa ruziziensis cv. Comumsown during the nitrogen fertilization (CRD of the corn and single corn (control. The production components of corn: plant population per hectare (PlPo, number of ears per hectare (NE ha-1, number of rows per ear (NRE, number of kernels per row on the cob (NKR, number of grain in the ear (NGE and mass of 100 grains (M100G were not influenced by consortium with forage. Comparing grain yield (GY single corn and maize intercropped with forage of the genus Panicum

  18. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  19. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  20. Radical Recentering: Equity in Educational Leadership Standards

    Science.gov (United States)

    Galloway, Mollie K.; Ishimaru, Ann M.

    2015-01-01

    Background: The widely adopted Interstate School Leaders Licensure Consortium standards are designed to guide the preparation and professional development of educational leaders. However, the standards' limited mention of race, class, ethnicity, ability, gender, sexuality, or other marginalized identities suggests that addressing persistent…

  1. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  2. Air kerma standardization for diagnostic radiology in a secondary standard laboratory

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Peixoto, J. Guilherme P.; Lopes, Ricardo T.

    2009-01-01

    The demand for calibration services and quality control in diagnostic radiology has grown in the country since the publication of the governmental regulation 453, issued by the Brazilian Ministry of Health in 1998. At that time, to produce results facing the new legislation, many laboratories used different standards and radiation qualities, some of which could be inadequate. The international standards neither supplied consistent radiation qualities and standardization for the different types of equipment available. This situation changed with the publication of the new edition of the IEC 61267 standard, published in 2005. The objective of this work was to implement the standardization of the air kerma for the unatenuated qualities (RQR) of IEC 61267 in the National Laboratory of Metrology of the Ionizing Radiations (LNMRI) of the Institute of Radiation Protection and Dosimetry (IRD). Technical procedures were developed together with uncertainty budget. Results of interlaboratory comparisons demonstrate that the quantity is standardized and internationally traceable. (author)

  3. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  4. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  5. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  6. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  7. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  8. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  9. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  10. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  11. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  12. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  13. Metrology of trace radionuclides in environment. Standardization and traceability

    International Nuclear Information System (INIS)

    Calmet, D.

    1999-01-01

    Widespread concern over radioactive substances in the environment regularly requires environmental and public health assessments. The credibility of an assessment will depend on the quality and reliability on measurement results that often are of paramount significance in the environmental domain. Those man made radionuclides present in the various environmental components of the French territory are however found at trace, even ultra-trace levels. This article gives an overview of standardization work and required reference materials and rules for measuring radionuclides in environmental matrices as well as the international and national systems to manage standardization and traceability. Some achievements as well as the many difficulties that the metrologist must overcome when using nuclear techniques to measure trace quantities of radionuclides are presented. (author)

  14. Kansas Wind Energy Consortium

    Energy Technology Data Exchange (ETDEWEB)

    Gruenbacher, Don [Kansas State Univ., Manhattan, KS (United States)

    2015-12-31

    This project addresses both fundamental and applied research problems that will help with problems defined by the DOE “20% Wind by 2030 Report”. In particular, this work focuses on increasing the capacity of small or community wind generation capabilities that would be operated in a distributed generation approach. A consortium (KWEC – Kansas Wind Energy Consortium) of researchers from Kansas State University and Wichita State University aims to dramatically increase the penetration of wind energy via distributed wind power generation. We believe distributed generation through wind power will play a critical role in the ability to reach and extend the renewable energy production targets set by the Department of Energy. KWEC aims to find technical and economic solutions to enable widespread implementation of distributed renewable energy resources that would apply to wind.

  15. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  16. Migrating from Informal to Formal Consortium — COSTLI Issues

    Science.gov (United States)

    Birdie, C.; Patil, Y. M.

    2010-10-01

    There are many models of library consortia which have come into existence due to various reasons and compulsions. FORSA (Forum for Resource Sharing in Astronomy) is an informal consortium born from the links between academic institutions specializing in astronomy in India. FORSA is a cooperative venture initiated by library professionals. Though this consortium was formed mainly for inter-lending activities and bibliographic access, it has matured over the years to adopt the consortium approach on cooperative acquisitions, due to increased requirements.

  17. Determining the influence of temperature on various types of standard resistors

    CSIR Research Space (South Africa)

    Marais, EL

    2006-10-01

    Full Text Available Types of Standard Resistors Speaker / Author: E.L. Marais CSIR National Metrology Laboratory PO Box 395, Pretoria, 0001, South Africa Email: elmarais@csir.co.za Phone: 012 841 3013 Fax: 012 841 2131 Abstract The temperature coefficient...

  18. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  19. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  20. Reference nano-dimensional metrology by scanning transmission electron microscopy

    International Nuclear Information System (INIS)

    Dai, Gaoliang; Fluegge, Jens; Bosse, Harald; Heidelmann, Markus; Kübel, Christian; Prang, Robby

    2013-01-01

    Traceable and accurate reference dimensional metrology of nano-structures by scanning transmission electron microscopy (STEM) is introduced in the paper. Two methods, one based on the crystal lattice constant and the other based on the pitch of a feature pair, were applied to calibrate the TEM magnification. The threshold value, which was defined as the half-intensity of boundary materials, is suggested to extract the boundary position of features from the TEM image. Experimental investigations have demonstrated the high potential of the proposed methods. For instance, the standard deviation from ten repeated measurements of a line structure with a nominal 100 nm critical dimension (CD) reaches 1σ = 0.023 nm, about 0.02%. By intentionally introduced defocus and larger sample alignment errors, the investigation shows that these influences may reach 0.20 and 1.3 nm, respectively, indicating the importance of high-quality TEM measurements. Finally, a strategy for disseminating the destructive TEM results is introduced. Using this strategy, the CD of a reference material has been accurately determined. Its agreement over five independent TEM measurements is below 1.2 nm. (paper)

  1. Report from AmSECT's International Consortium for Evidence-Based Perfusion: American Society of Extracorporeal Technology Standards and Guidelines for Perfusion Practice: 2013.

    Science.gov (United States)

    Baker, Robert A; Bronson, Shahna L; Dickinson, Timothy A; Fitzgerald, David C; Likosky, Donald S; Mellas, Nicholas B; Shann, Kenneth G

    2013-09-01

    One of the roles of a professional society is to develop standards and guidelines of practice as an instrument to guide safe and effective patient care. The American Society of Extracorporeal Technology (AmSECT) first published its Essentials for Perfusion Practice, Clinical Function: Conduct of Extracorporeal Circulation in 1993. The International Consortium for Evidence-Based Perfusion (ICEBP), a committee within AmSECT, was tasked with updating this document in 2010. The aim of this report is to describe the method of development and content of AmSECT's new professional standards and guidelines. The ICEBP committee independently evaluated and provided input regarding the current "Essentials and Guidelines." Structural changes were made to the entire document, and a draft document was developed, presented, and circulated to the AmSECT Board of Directors and broader membership for comment. Informed by these reviews, a revised document was then presented to the Society for a membership vote. The final document consists of 15 areas of practice covered by 50 Standards and 38 Guidelines (see Appendix 1) with the first standard focusing on the development of institutional protocols to support their implementation and use. A majority of the membership voted to accept the document (81.2% of the voting membership accepting, 18.8% rejecting). After an audit of the balloting process by AmSECT's Ethics Committee, the results were reported to the membership and the document was officially adopted on July 24, 2013. The Standards and Guidelines will serve as a useful guide for cardiac surgical teams that wish to develop institution-specific standards and guidelines to improve the reliability, safety, and effectiveness of adult cardiopulmonary bypass. The ICEBP recognizes that the development of a Standards and Guidelines statement alone will not change care. Safe, reliable, and effective care will be best served through the development and implementation of institutional

  2. Traceable size determination of nanoparticles, a comparison among European metrology institutes

    International Nuclear Information System (INIS)

    Meli, Felix; Klein, Tobias; Buhr, Egbert; Frase, Carl Georg; Gleber, Gudrun; Krumrey, Michael; Duta, Alexandru; Duta, Steluta; Korpelainen, Virpi; Bellotti, Roberto; Picotto, Gian Bartolo; Boyd, Robert D; Cuenat, Alexandre

    2012-01-01

    Within the European iMERA-Plus project ‘Traceable Characterisation of Nanoparticles’ various particle measurement procedures were developed and finally a measurement comparison for particle size was carried out among seven laboratories across six national metrology institutes. Seven high quality particle samples made from three different materials and having nominal sizes in the range from 10 to 200 nm were used. The participants applied five fundamentally different measurement methods, atomic force microscopy, dynamic light scattering (DLS), small-angle x-ray scattering, scanning electron microscopy and scanning electron microscopy in transmission mode, and provided a total of 48 independent, traceable results. The comparison reference values were determined as weighted means based on the estimated measurement uncertainties of the participants. The comparison reference values have combined standard uncertainties smaller than 1.4 nm for particles with sizes up to 100 nm. All methods, except DLS, provided consistent results. (paper)

  3. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  4. Northern New Jersey Nursing Education Consortium: a partnership for graduate nursing education.

    Science.gov (United States)

    Quinless, F W; Levin, R F

    1998-01-01

    The purpose of this article is to describe the evolution and implementation of the Northern New Jersey Nursing Education consortium--a consortium of seven member institutions established in 1992. Details regarding the specific functions of the consortium relative to cross-registration of students in graduate courses, financial disbursement of revenue, faculty development activities, student services, library privileges, and institutional research review board mechanisms are described. The authors also review the administrative organizational structure through which the work conducted by the consortium occurs. Both the advantages and disadvantages of such a graduate consortium are explored, and specific examples of recent potential and real conflicts are fully discussed. The authors detail governance and structure of the consortium as a potential model for replication in other environments.

  5. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  6. The metrological activity determination of {sup 238} U and {sup 230} Th by gamma spectrometry to industrial fuel-cycle application

    Energy Technology Data Exchange (ETDEWEB)

    Almeida M, M.C. de; Delgado, J.U.; Poledna, R. [Instituto de Radioprotecao e Dosimetria- IRD/SEMRA, CNEN, Av. Salvador Allende s/n, Recreio, CEP 22780-160, Rio de Janeiro (Brazil)]. e-mail: marcandida@yahoo.com.br

    2006-07-01

    This work describes the difficulty for determining the activity of {sup 238} U and {sup 230} Th using gamma spectrometry due to the low gamma-ray emission probabilities of 92 and 67 keV, and, mainly, the associated high uncertainties about 13 and 11%, respectively. {sup 230} Th is a {sup 238} U daughter and it is product from uranium mills and refineries. {sup 230} Th decays to {sup 226} Ra and this decay has to be measured because these radionuclides are not in secular equilibrium with their daughter products, besides the gamma-energies have high uncertainties in the emission probabilities. These radionuclides, mostly {sup 238} U, are important in the nuclear fuel-cycle, since the mining of uranium ore, where the nominal isotopic content of natural uranium is 99.27% of {sup 238} U, until the irradiated fuel reprocessing, where this isotope, a fertile material, is recovered to be used again. The uranium and thorium are considered safeguarded nuclear materials and the metrology tries to calibrate and standardize these materials to improve the activity determination techniques applied in different fuel-cycle scopes. The essential characteristics of the safeguarded materials are low gamma energies (less than 100 keV) and emission probabilities but with high uncertainties. In this way, the metrology can contribute to homeland security defense against illicit nuclear trafficking with the identification and quantification of the safeguarded radionuclides such as uranium and thorium, using specific gamma window energy and high resolution planar or coaxial germanium detector. The efficiency curve is obtained from the reference source spectrum considering the photopeak areas corresponding the standard activities. This curve depends on radiation energy, sample geometry, photon attenuation (sample absorption and material absorption between sample-detector), dead time and sample-detector position. The metrological activity determinations of {sup 238} U solid sources, and of

  7. Implementation of the Brazilian primary standard for x-rays

    International Nuclear Information System (INIS)

    Peixoto, J.G.P.; Almeida, C.E.V. de

    2002-01-01

    In the field of ionizing radiation metrology, a primary standard of a given physical quantity is essentially an experimental set-up which allows one to attribute a numerical value to a particular sample of that quantity in terms of a unit given by an abstract definition. The absolute measurement of the radiation quantity air kerma, is performed with a free-air ionization chamber. A great deal of research to determine the absolute measurement resulted in different designs for primary standard free-air ionization chambers such as cilindrics or plane parallel chambers. The implementation of primary standard dosimetry with free-air ionization chambers is limited to the National Metrology Institutes - NMIs. Since 1975, the Bureau International des Poids et Mesures - BIPM has been conducting comparisons of NMIs primary free-air standard chambers in the medium energy x-rays range. These comparisons are carried out indirectly through the calibration at both the BIPM and at the NMI of one or more transfer ionization chambers at a series of four reference radiation qualities. The scientific work programme of the National Laboratory for Ionizing Radiation Metrology - LNMRI of the Institute of Radioprotection and Dosimetry - IRD, which belongs to the National Commission of Nuclear Energy - CNEN, includes the establishment of a primary standard for x-rays of medium energy x-ray range. This activity is justified by the demand to calibrate periodically Brazilian network of the secondary standards without losing quality of the measurement. The LNMRI decided to implement four reference radiation qualities establishing the use of a transfer chamber calibrated at BIPM. The LNMRI decided to implement the primary standard dosimetry using a free-air ionization chamber with variable volume, made by Victoreen, model 480. Parameters related to the measurement of the quantity air kerma were evaluated, such as: air absorption, scattering inside the ionization chamber, saturation, beam

  8. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  9. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  10. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  11. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  12. Atlantic Coast Environmental Indicators Consortium

    Data.gov (United States)

    Federal Laboratory Consortium — n 2000, the US EPA granted authority to establish up to five Estuarine Indicator Research Programs. These Programs were designed to identify, evaluate, recommend and...

  13. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  14. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  15. The nation's first consortium to address waste management issues

    International Nuclear Information System (INIS)

    Mikel, C.J.

    1991-01-01

    On July 26, 1989, the secretary of the Department of Energy (DOE), Admiral James Watkins, announced approval of the Waste-Management Education and Research Consortium (WERC). The consortium is composed of New Mexico State University (NMSU), the University of New Mexico, the New Mexico Institute of Mining and Technology, Los Alamos National Laboratory, and Sandia National Laboratories. This pilot program is expected to form a model for other regional and national programs. The WERC mission is to expand the national capability to address issues associated with the management of hazardous, radioactive, and solid waste. Research, technology transfer, and education/training are the three areas that have been identified to accomplish the objectives set by the consortium. The members of the consortium will reach out to the DOE facilities, other government agencies and facilities, and private institutions across the country. Their goal is to provide resources for solutions to waste management problems

  16. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  17. On the long-term stability of calibration standards in different matrices.

    Science.gov (United States)

    Kandić, A; Vukanac, I; Djurašević, M; Novković, D; Šešlak, B; Milošević, Z

    2012-09-01

    In order to assure Quality Control in accordance with ISO/IEC 17025, it was important, from metrological point of view, to examine the long-term stability of calibration standards previously prepared. Comprehensive reconsideration on efficiency curves with respect to the ageing of calibration standards is presented in this paper. The calibration standards were re-used after a period of 5 years and analysis of the results showed discrepancies in efficiency values. Copyright © 2012 Elsevier Ltd. All rights reserved.

  18. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  19. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  20. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  1. NCI Pediatric Preclinical Testing Consortium

    Science.gov (United States)

    NCI has awarded grants to five research teams to participate in its Pediatric Preclinical Testing Consortium, which is intended to help to prioritize which agents to pursue in pediatric clinical trials.

  2. Renewable Generators' Consortium: ensuring a market for green electricity

    International Nuclear Information System (INIS)

    1999-03-01

    This project summary focuses on the objectives and key achievements of the Renewable Generators Consortium (RGC) which was established to help renewable energy projects under the Non-Fossil Fuel Obligation (NFFO) to continue to generate in the open liberated post-1998 electricity market. The background to the NFFO is traced, and the development of the Consortium, and the attitudes of generators and suppliers to the Consortium are discussed along with the advantages of collective negotiations through the RGC, the Heads of Terms negotiations, and the success of RGC which has demonstrated the demand for green electricity

  3. Analysis of uncertainties in the measurements of absorbed dose to water in a secondary standard dosimetry laboratory (SSDL) 60Cobalt

    International Nuclear Information System (INIS)

    Silva, Cosme Norival Mello da; Rosado, Paulo Henrique Goncalves

    2011-01-01

    The National Metrology Laboratory of Ionizing Radiation (LNMRI) is the laboratory designated by INMETRO in the field of Metrology of ionizing radiation and is a Secondary Standard Dosimetry Laboratory (SSDL). One of its guidelines is to maintain and disseminate LNMRI absorbed dose in water used as a national standard dosimetry in radiotherapy. For this pattern is metrologically acceptable accuracy and uncertainties should be assessed over time. The objective of this study is to analyze the uncertainties involved in determining the absorbed dose rate in water and standard uncertainty of absorbed dose calibration in water from a clinical dosimeter. The largest sources of uncertainty in determining the rate of absorbed dose in water are due to: calibration coefficient of the calibration certificate supplied by the BIPM, electrometer calibration, camber stability over time, variation of pressure and humidity, strong dependence and non-uniformity of the field. The expanded uncertainty is 0.94% for k = 2. For the calibration standard uncertainty of absorbed dose in water of a dosimeter in a clinical a major source of uncertainty is due to the absorbed dose rate in water (0.94%). The value of expanded uncertainty of calibrating a clinical dosimeter is 1.2% for k = 2. (author)

  4. Design and industrial production of frequency standards in the USSR

    Science.gov (United States)

    Demidov, Nikolai A.; Uljanov, Adolph A.

    1990-01-01

    Some aspects of research development and production of quantum frequency standards, carried out in QUARTZ Research and Production Association (RPA), Gorky, U.S.S.R., were investigated for the last 25 to 30 years. During this period a number of rubidium and hydrogen frequency standards, based on the active maser, were developed and put into production. The first industrial model of a passive hydrogen maser was designed in the last years. Besides frequency standards for a wide application range, RPA QUARTZ investigates metrological frequency standards--cesium standards with cavity length 1.9 m and hydrogen masers with a flexible storage bulb.

  5. Computational Astrophysics Consortium 3 - Supernovae, Gamma-Ray Bursts and Nucleosynthesis

    Energy Technology Data Exchange (ETDEWEB)

    Woosley, Stan [Univ. of California, Santa Cruz, CA (United States)

    2014-08-29

    Final project report for UCSC's participation in the Computational Astrophysics Consortium - Supernovae, Gamma-Ray Bursts and Nucleosynthesis. As an appendix, the report of the entire Consortium is also appended.

  6. Cultivation of algae consortium in a dairy farm wastewater for biodiesel production

    Directory of Open Access Journals (Sweden)

    S. Hena

    2015-06-01

    Full Text Available Dairy farm wastewaters are potential resources for production of microalgae biofuels. A study was conducted to evaluate the capability of production of biodiesel from consortium of native microalgae culture in dairy farm treated wastewater. Native algal strains were isolated from dairy farm wastewaters collection tank (untreated wastewater as well as from holding tank (treated wastewater. The consortium members were selected on the basis of fluorescence response after treating with Nile red reagent. Preliminary studies of two commercial and consortium of ten native strains of algae showed good growth in wastewaters. A consortium of native strains was found capable to remove more than 98% nutrients from treated wastewater. The biomass production and lipid content of consortium cultivated in treated wastewater were 153.54 t ha−1 year−1 and 16.89%, respectively. 72.70% of algal lipid obtained from consortium could be converted into biodiesel.

  7. Digital holography for MEMS and microsystem metrology

    CERN Document Server

    Asundi, Anand

    2011-01-01

    Approaching the topic of digital holography from the practical perspective of industrial inspection, Digital Holography for MEMS and Microsystem Metrology describes the process of digital holography and its growing applications for MEMS characterization, residual stress measurement, design and evaluation, and device testing and inspection. Asundi also provides a thorough theoretical grounding that enables the reader to understand basic concepts and thus identify areas where this technique can be adopted. This combination of both practical and theoretical approach will ensure the

  8. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  9. On the evaluation of photogrammetric methods for dense 3D surface reconstruction in a metrological context

    Science.gov (United States)

    Toschi, I.; Capra, A.; De Luca, L.; Beraldin, J.-A.; Cournoyer, L.

    2014-05-01

    This paper discusses a methodology to evaluate the accuracy of recently developed image-based 3D modelling techniques. So far, the emergence of these novel methods has not been supported by the definition of an internationally recognized standard which is fundamental for user confidence and market growth. In order to provide an element of reflection and solution to the different communities involved in 3D imaging, a promising approach is presented in this paper for the assessment of both metric quality and limitations of an open-source suite of tools (Apero/MicMac), developed for the extraction of dense 3D point clouds from a set of unordered 2D images. The proposed procedural workflow is performed within a metrological context, through inter-comparisons with "reference" data acquired with two hemispherical laser scanners, one total station, and one laser tracker. The methodology is applied to two case studies, designed in order to analyse the software performances in dealing with both outdoor and environmentally controlled conditions, i.e. the main entrance of Cathédrale de la Major (Marseille, France) and a custom-made scene located at National Research Council of Canada 3D imaging Metrology Laboratory (Ottawa). Comparative data and accuracy evidence produced for both tests allow the study of some key factors affecting 3D model accuracy.

  10. Born semantic: linking data from sensors to users and balancing hardware limitations with data standards

    Science.gov (United States)

    Buck, Justin; Leadbetter, Adam

    2015-04-01

    New users for the growing volume of ocean data for purposes such as 'big data' data products and operational data assimilation/ingestion require data to be readily ingestible. This can be achieved via the application of World Wide Web Consortium (W3C) Linked Data and Open Geospatial Consortium (OGC) Sensor Web Enablement (SWE) standards to data management. As part of several Horizons 2020 European projects (SenseOCEAN, ODIP, AtlantOS) the British Oceanographic Data Centre (BODC) are working on combining existing data centre architecture and SWE software such as Sensor Observation Services with a Linked Data front end. The standards to enable data delivery are proven and well documented1,2 There are practical difficulties when SWE standards are applied to real time data because of internal hardware bandwidth restrictions and a requirement to constrain data transmission costs. A pragmatic approach is proposed where sensor metadata and data output in OGC standards are implemented "shore-side" with sensors and instruments transmitting unique resolvable web linkages to persistent OGC SensorML records published at the BODC. References: 1. World Wide Web Consortium. (2013). Linked Data. Available: http://www.w3.org/standards/semanticweb/data. Last accessed 8th October 2014. 2. Open Geospatial Consortium. (2014). Sensor Web Enablement (SWE). Available: http://www.opengeospatial.org/ogc/markets-technologies/swe. Last accessed 8th October 2014.

  11. Inland valley research in sub-Saharan Africa; priorities for a regional consortium

    NARCIS (Netherlands)

    Jamin, J.Y.; Andriesse, W.; Thiombiano, L.; Windmeijer, P.N.

    1996-01-01

    These proceedings are an account of an international workshop in support of research strategy development for the Inland Valley Consortium in sub-Saharan Africa. This consortium aims at concerted research planning for rice-based cropping systems in the lower parts of inland valleys. The Consortium

  12. REFERENCE MATERIALS SYSTEM OF SCIENTIFIC METHODICAL CENTRE OF STATE SERVICE OF REFERENCE MATERIALS FOR COMPOSITION AND PROPERTIES OF SUBSTANCES AND MATERIALS URAL RESEARCH INSTITUTE FOR METROLOGY

    Directory of Open Access Journals (Sweden)

    E. V. Osinseva

    2015-01-01

    Full Text Available Since 1960s UNIIM performs research in the field of needs in reference materials of composition and properties of substances and materials (RM as well as develops it. During the research UNIIM has developed 757 types of RMs for metrological measurement assurance of factors of composition and properties of substance and materials for test laboratories of chemical, pharmaceutical, fuel, food industry, agriculture, metallurgy and ecological monitoring laboratories. List ofRMs enlarges thanks to development of UNIIM standards and transmission measurement facility from State standards of units. Taking into account the actual requirements in the field of measurements, the UNIIM's key destination is to assure the accuracy and the metrological traceability of measurements. The present-day system of RMs to be developed in UNIIM includes RMs of composition of inorganic and organic compounds and their solutions, fuels, stable isotopic materials, water, grounds, food products, biomaterials, nanomaterials, metals, alloys and other materials offerrous and non-ferrous industry, RMs of properties (thermodynamic, magnetic, physical-chemical, technical of substances and materials. The present article considers history of RMs list development which were created by UNIIM and the strategy of this direction.

  13. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  14. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  15. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    International Nuclear Information System (INIS)

    Brau-Avila, A; Valenzuela-Galvan, M; Herrera-Jimenez, V M; Santolaria, J; Aguilar, J J; Acero, R

    2017-01-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs. (paper)

  16. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    Science.gov (United States)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  17. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  18. Comparison of two metrological approaches for the prediction of human haptic perception

    Science.gov (United States)

    Neumann, Annika; Frank, Daniel; Vondenhoff, Thomas; Schmitt, Robert

    2016-06-01

    Haptic perception is regarded as a key component of customer appreciation and acceptance for various products. The prediction of customers’ haptic perception is of interest both during product development and production phases. This paper presents the results of a multivariate analysis between perceived roughness and texture related surface measurements, to examine whether perceived roughness can be accurately predicted using technical measurements. Studies have shown that standardized measurement parameters, such as the roughness coefficients (e.g. Rz or Ra), do not show a one-dimensional linear correlation with the human perception (of roughness). Thus, an alternative measurement method was compared to standard measurements of roughness, in regard to its capability of predicting perceived roughness through technical measurements. To estimate perceived roughness, an experimental study was conducted in which 102 subjects evaluated four sets of 12 different geometrical surface structures regarding their relative perceived roughness. The two different metrological procedures were examined in relation to their capability to predict the perceived roughness of the subjects stated within the study. The standardized measurements of the surface roughness were made using a structured light 3D-scanner. As an alternative method, surface induced vibrations were measured by a finger-like sensor during robot-controlled traverse over a surface. The presented findings provide a better understanding of the predictability of human haptic perception using technical measurements.

  19. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  20. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  1. Ultraviolet Radiation Dose National Standard of México

    Science.gov (United States)

    Cardoso, R.; Rosas, E.

    2006-09-01

    We present the Ultraviolet (UV) Radiation Dose National Standard for México. The establishment of this measurement reference at Centro Nacional de Metrología (CENAM) eliminates the need of contacting foreign suppliers in the search for traceability towards the SI units when calibrating instruments at 365 nm. Further more, the UV Radiation Dose National Standard constitutes a highly accurate and reliable source for the UV radiation dose measurements performed in medical and cosmetic treatments as in the the food and pharmaceutics disinfection processes, among other.

  2. BILATERAL KEY COMPARISON SIM.T-K6.5 ON HUMIDITY STANDARDS IN THE DEW/FROST-POINT TEMPERATURE RANGE FROM -30 °C TO +20 °C.

    Science.gov (United States)

    Meyer, C W; Solano, A

    2016-01-01

    A Regional Metrology Organization (RMO) Key Comparison of dew/frost point temperatures over the range -30 °C TO +20 °C was carried out by the National Institute of Standards and Technology (NIST, USA) and the Laboratorio Costarricense de Metrología (LACOMET, Costa Rica), between February 2015 and August 2015. The results of this comparison are reported here, along with descriptions of the humidity laboratory standards for NIST and LACOMET and the uncertainty budget for these standards. This report also describes the protocol for the comparison and presents the data acquired. The results are analyzed, determining the degree of equivalence between the dew/frost-point standards of NIST and LACOMET.

  3. Preface: The 5th International Workshop on X-ray Mirror Design, Fabrication, and Metrology

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, Lahsen [Argonne National Laboratory, 9700 South Cass Avenue, Lemont, Illinois 60439 (United States); Goldberg, Kenneth; Yashchuk, Valeriy V. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2016-05-15

    Recent developments in synchrotron storage rings and free-electron laser-based x-ray sources with ever-increasing brightness and coherent flux have pushed x-ray optics requirements to new frontiers. This Special Topic gathers a set of articles derived from a subset of the key presentations of the International Workshop on X-ray Mirrors Fabrication (IWXM-2015) and Metrology held at Lawrence Berkley National Laboratory, Berkeley, California, USA, July 14–16, 2015. The workshop objective was to report on recent progress in x-ray synchrotron radiation mirrors fabrication as well as on new developments in related metrology tools and methods.

  4. Metrological certification of aerosol and iodine channels in instrumentation for radiation monitoring at nuclear power plants

    International Nuclear Information System (INIS)

    Belkina, S.K.; Zalmanzon, Yu.E.; Ivanova, A.P.

    1988-01-01

    The method for converting the value of a volume activity unit of radioactive aerosols using the state special standard for operating measurement devices by means of aerosol sources is suggested. The sources are aerosol samples selected for a filter by means of the proper type of a detection unit or a radiometer and, thus, providing full coincidence of measurement geometry of aerosol sample activity during radiation monitoring. Application of aerosol samples permits to solve the problem of metrological certification and verification of aerosol and iodine channels of radiation safety monitoring systems under operating conditions without their dismantling and to establish the unity and correctness in the field of measurement of volume activity of 131 I aerosols at NPP

  5. Metrology for Fuel Cell Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Stocker, Michael [National Inst. of Standards and Technology, Gaithersburg, MD (United States); Stanfield, Eric [National Inst. of Standards and Technology, Gaithersburg, MD (United States)

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. The objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.

  6. Urban Consortium Energy Task Force - Year 21 Final Report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2003-04-01

    The Urban Consortium Energy Task Force (UCETF), comprised of representatives of large cities and counties in the United States, is a subgroup of the Urban Consortium, an organization of the nation's largest cities and counties joined together to identify, develop and deploy innovative approaches and technological solutions to pressing urban issues.

  7. Performance study of the primary standard ionization chamber for deployment of the diagnostic radiology qualities

    International Nuclear Information System (INIS)

    Cardoso, Ricardo de Souza; Bossio, Francisco; Quaresma, Daniel da Silva; Peixoto, Jose Guilherme Pereira

    2013-01-01

    Activities radiotherapy, diagnostic radiology and radiation protection, require knowledge of physical and dosimetric parameters, to be applied safely. Aiming to meet demand in Brazil, the National Laboratory of Metrology of Ionising Radiation - LNMRI - is deploying the primary standard for the calibration of secondary standard chambers, used in quality control in hospitals, clinics and industries. (author)

  8. Context-based virtual metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  9. BILATERAL KEY COMPARISON SIM.T-K6.5 ON HUMIDITY STANDARDS IN THE DEW/FROST-POINT TEMPERATURE RANGE FROM −30 °C TO +20 °C

    Science.gov (United States)

    Meyer, C.W.; Solano, A.

    2016-01-01

    A Regional Metrology Organization (RMO) Key Comparison of dew/frost point temperatures over the range −30 °C TO +20 °C was carried out by the National Institute of Standards and Technology (NIST, USA) and the Laboratorio Costarricense de Metrología (LACOMET, Costa Rica), between February 2015 and August 2015. The results of this comparison are reported here, along with descriptions of the humidity laboratory standards for NIST and LACOMET and the uncertainty budget for these standards. This report also describes the protocol for the comparison and presents the data acquired. The results are analyzed, determining the degree of equivalence between the dew/frost-point standards of NIST and LACOMET. PMID:28066029

  10. Metrology of reflection optics for synchrotron radiation

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1985-09-01

    Recent years have seen an almost explosive growth in the number of beam lines on new and existing synchrotron radiation facilities throughout the world. The need for optical components to utilize the unique characteristics of synchrotron radiation has increased accordingly. Unfortunately, the technology to manufacture and measure the large, smooth, exotic optical surfaces required to focus and steer the synchrotron radiation beam has not progressed as rapidly as the operational demands on these components. Most companies do not wish to become involved with a project that requires producing a single, very expensive, aspheric optic with surface roughness and figure tolerances that are beyond their capabilities to measure. This paper will review some of the experiences of the National Synchrotron Light Source in procuring grazing incidence optical components over the past several years. We will review the specification process - how it is related to the function of the optic, and how it relates to the metrology available during the manufacturing process and after delivery to the user's laboratory. We will also discuss practical aspects of our experience with new technologies, such as single point diamond turning of metal mirrors and the use of SiC as a mirror material. Recent advances in metrology instrumentation have the potential to move the measurement of surface figure and finish from the research laboratory into the optical shop, which should stimulate growth and interest in the manufacturing of optics to meet the needs of the synchrotron radiation user community

  11. State preparation for quantum information science and metrology

    International Nuclear Information System (INIS)

    Samblowski, Aiko

    2012-01-01

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  12. State preparation for quantum information science and metrology

    Energy Technology Data Exchange (ETDEWEB)

    Samblowski, Aiko

    2012-06-08

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  13. An Analysis of COSPA – A Consortium for Open Source in the Public Administration

    OpenAIRE

    Morgan, Lorraine

    2005-01-01

    peer-reviewed This paper reflects on a two-year EU funded specific research targeted project that officially began in January 2004 entitled COSPA, a Consortium for studying, evaluating and supporting the introduction of Open Source Software and Open Data Standards in the Public Administration. COSPA focuses on office automation and desktop system software and aims at rigorously measuring the effort, costs and benefits of a transition to Open Source. The project invo...

  14. Dimensional quality control of Ti-Ni dental file by optical coordinate metrology and computed tomography

    DEFF Research Database (Denmark)

    Yagüe-Fabra, J.A.; Tosello, Guido; Ontiveros, S.

    2014-01-01

    Endodontic dental files usually present complex 3D geometries, which make the complete measurement of the component very challenging with conventional micro metrology tools. Computed Tomography (CT) can represent a suitable alternative solution to micro metrology tools based on optical and tactile...... techniques. However, the establishment of CT systems traceability when measuring 3D complex geometries is still an open issue. In this work, to verify the quality of the CT dimensional measurements, the dental file has been measured both with a μCT system and an optical CMM (OCMM). The uncertainty...

  15. 3D-SEM Metrology for Coordinate Measurements at the Nanometer Scale

    DEFF Research Database (Denmark)

    Carli, Lorenzo

    to be addressed concerning uncertainty evaluation have been discussed. Most recent developments in the field of micro and nano-metrology, in terms of measuring machines and techniques, are described pointing out advantages and limitations. The importance of multi-sensor and multi-orientation strategy...

  16. Development of a LED based standard for luminous flux

    Science.gov (United States)

    Sardinha, André; Ázara, Ivo; Torres, Miguel; Menegotto, Thiago; Grieneisen, Hans Peter; Borghi, Giovanna; Couceiro, Iakyra; Zim, Alexandre; Muller, Filipe

    2018-03-01

    Incandescent lamps, simple artifacts with radiation spectrum very similar to a black-body emitter, are traditional standards in photometry. Nowadays LEDs are broadly used in lighting, with great variety of spectra, and it is convenient to use standards for photometry with spectral distribution similar to that of the measured artifact. Research and development of such standards occur in several National Metrology Institutes. In Brazil, Inmetro is working on a practical solution for providing a LED based standard to be used for luminous flux measurements in the field of general lighting. This paper shows the measurements made for the developing of a prototype, that in sequence will be characterized in photometric quantities.

  17. Tests of operating conditions for metrological application of HTS Josephson arrays

    International Nuclear Information System (INIS)

    Sosso, A; Lacquaniti, V; Andreone, D; Cerri, R; Klushin, A M

    2006-01-01

    We report on an experimental study of metrological properties of High Temperature Superconductor arrays, made of shunted bicrystal YBCO Josephson junctions, to assess their accuracy. A detailed analysis of measurement errors is presented, mainly based on a direct comparison of an HTS array against a low temperature array. Owing to the high sensitivity of the comparison, we were able to measure the changes in the HTS array voltage on a step at nanovolt level. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results provided by the usual, low sensitivity, techniques, confirming that the method we adopted is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was applied in the derivation of the temperature dependence of the critical current as well, providing some insights on the behaviour of the HTS array

  18. Quantum metrology for gravitational wave astronomy.

    Science.gov (United States)

    Schnabel, Roman; Mavalvala, Nergis; McClelland, David E; Lam, Ping K

    2010-11-16

    Einstein's general theory of relativity predicts that accelerating mass distributions produce gravitational radiation, analogous to electromagnetic radiation from accelerating charges. These gravitational waves (GWs) have not been directly detected to date, but are expected to open a new window to the Universe once the detectors, kilometre-scale laser interferometers measuring the distance between quasi-free-falling mirrors, have achieved adequate sensitivity. Recent advances in quantum metrology may now contribute to provide the required sensitivity boost. The so-called squeezed light is able to quantum entangle the high-power laser fields in the interferometer arms, and could have a key role in the realization of GW astronomy.

  19. X-ray metrology for ULSI structures

    International Nuclear Information System (INIS)

    Bowen, D. K.; Matney, K. M.; Wormington, M.

    1998-01-01

    Non-destructive X-ray metrological methods are discussed for application to both process development and process control of ULSI structures. X-ray methods can (a) detect the unacceptable levels of internal defects generated by RTA processes in large wafers, (b) accurately measure the thickness and roughness of layers between 1 and 1000 nm thick and (c) can monitor parameters such as crystallographic texture and the roughness of buried interfaces. In this paper we review transmission X-ray topography, thin film texture measurement, grazing-incidence X-ray reflectivity and high-resolution X-ray diffraction. We discuss in particular their suitability as on-line sensors for process control

  20. Virginia ADS consortium - thorium utilization

    International Nuclear Information System (INIS)

    Myneni, Ganapati

    2015-01-01

    A Virginia ADS consortium, consisting of Virginia Universities (UVa, VCU, VT), Industry (Casting Analysis Corporation, GEM*STAR, MuPlus Inc.), Jefferson Lab and not-for-profit ISOHIM, has been organizing International Accelerator-Driven Sub-Critical Systems (ADS) and Thorium Utilization (ThU) workshops. The third workshop of this series was hosted by VCU in Richmond, Virginia, USA Oct 2014 with CBMM and IAEA sponsorship and was endorsed by International Thorium Energy Committee (IThEC), Geneva and Virginia Nuclear Energy Consortium Authority. In this presentation a brief summary of the successful 3 rd International ADS and ThU workshop proceedings and review the worldwide ADS plans and/or programs is given. Additionally, a report on new start-ups on Molten Salt Reactor (MSR) systems is presented. Further, a discussion on potential simplistic fertile 232 Th to fissile 233 U conversion is made

  1. UPWIND Metrology, Deliverable D 1A2.1, List of measurement Parameters

    DEFF Research Database (Denmark)

    Hansen, Kurt Schaldemose

    performance measurements - Improvement of aerodynamic codes - Assessment of wind resources In general terms the uncertainty of the testing techniques and methods are typically much higher than the need. Since this problem covers many areas of wind energy, the work package is de-fined as a crosscutting...... activity. The problem is especially relevant for the following areas: Production related - Power performance testing especially in wind farms - Testing of turbine improvements in the order of several percent - Testing of aerodynamic codes - Testing of turbine response to effects such as turbulence...... profiles, turbulence, surface shear recovery distances etc) - Measurements of the interaction wind farms and microclimate The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The development...

  2. Consortium for Verification Technology Fellowship Report.

    Energy Technology Data Exchange (ETDEWEB)

    Sadler, Lorraine E. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-06-01

    As one recipient of the Consortium for Verification Technology (CVT) Fellowship, I spent eight days as a visiting scientist at the University of Michigan, Department of Nuclear Engineering and Radiological Sciences (NERS). During this time, I participated in multiple department and research group meetings and presentations, met with individual faculty and students, toured multiple laboratories, and taught one-half of a one-unit class on Risk Analysis in Nuclear Arms control (six 1.5 hour lectures). The following report describes some of the interactions that I had during my time as well as a brief discussion of the impact of this fellowship on members of the consortium and on me/my laboratory’s technical knowledge and network.

  3. Brain Tumor Epidemiology Consortium (BTEC)

    Science.gov (United States)

    The Brain Tumor Epidemiology Consortium is an open scientific forum organized to foster the development of multi-center, international and inter-disciplinary collaborations that will lead to a better understanding of the etiology, outcomes, and prevention of brain tumors.

  4. HTML5: a new standard for the Web.

    Science.gov (United States)

    Hoy, Matthew B

    2011-01-01

    HTML5 is the newest revision of the HTML standard developed by the World Wide Web Consortium (W3C). This new standard adds several exciting news features and capabilities to HTML. This article will briefly discuss the history of HTML standards, explore what changes are in the new HTML5 standard, and what implications it has for information professionals. A list of HTML5 resources and examples will also be provided.

  5. A dynamic gravimetric standard for trace water.

    Science.gov (United States)

    Brewer, P J; Goody, B A; Woods, P T; Milton, M J T

    2011-10-01

    A system for generating traceable reference standards of water vapor at trace levels between 5 and 2000 nmol/mol has been developed. It can provide different amount fractions of trace water vapor by using continuous accurate measurements of mass loss from a permeation device coupled with a dilution system based on an array of critical flow orifices. An estimated relative expanded uncertainty of ±2% has been achieved for most amount fractions generated. The system has been used in an international comparison and demonstrates excellent comparability with National Metrology Institutes maintaining standards of water vapor in this range using other methods.

  6. NASA Systems Engineering Research Consortium: Defining the Path to Elegance in Systems

    Science.gov (United States)

    Watson, Michael D.; Farrington, Phillip A.

    2016-01-01

    The NASA Systems Engineering Research Consortium was formed at the end of 2010 to study the approaches to producing elegant systems on a consistent basis. This has been a transformative study looking at the engineering and organizational basis of systems engineering. The consortium has engaged in a variety of research topics to determine the path to elegant systems. In the second year of the consortium, a systems engineering framework emerged which structured the approach to systems engineering and guided our research. This led in the third year to set of systems engineering postulates that the consortium is continuing to refine. The consortium has conducted several research projects that have contributed significantly to the understanding of systems engineering. The consortium has surveyed the application of the NASA 17 systems engineering processes, explored the physics and statistics of systems integration, and considered organizational aspects of systems engineering discipline integration. The systems integration methods have included system exergy analysis, Akaike Information Criteria (AIC), State Variable Analysis, Multidisciplinary Coupling Analysis (MCA), Multidisciplinary Design Optimization (MDO), System Cost Modelling, System Robustness, and Value Modelling. Organizational studies have included the variability of processes in change evaluations, margin management within the organization, information theory of board structures, social categorization of unintended consequences, and initial looks at applying cognitive science to systems engineering. Consortium members have also studied the bidirectional influence of policy and law with systems engineering.

  7. Importance of the Primary Radioactivity Standard Laboratory and Implementation of its Quality Management

    Science.gov (United States)

    Sahagia, Maria; Razdolescu, Anamaria Cristina; Luca, Aurelian; Ivan, Constantin

    2007-04-01

    The paper presents some specific aspects of the implementation of the quality management in the Radionuclide Metrology Laboratory, from IFIN-HH, the owner of the primary Romanian standard in radioactivity. The description of the accreditation, according to the EN ISO/IEC 17025:2005, is presented.

  8. Importance of the Primary Radioactivity Standard Laboratory and Implementation of its Quality Management

    International Nuclear Information System (INIS)

    Sahagia, Maria; Razdolescu, Anamaria Cristina; Luca, Aurelian; Ivan, Constantin

    2007-01-01

    The paper presents some specific aspects of the implementation of the quality management in the Radionuclide Metrology Laboratory, from IFIN-HH, the owner of the primary Romanian standard in radioactivity. The description of the accreditation, according to the EN ISO/IEC 17025:2005, is presented

  9. Dosimetry control for radiation processing - basic requirements and standards

    International Nuclear Information System (INIS)

    Ivanova, M.; Tsrunchev, Ts.

    2004-01-01

    A brief review of the basic international codes and standards for dosimetry control for radiation processing (high doses dosimetry), setting up a dosimetry control for radiation processing and metrology control of the dosimetry system is made. The present state of dosimetry control for food processing and the Bulgarian long experience in food irradiation (three irradiation facilities are operational at these moment) are presented. The absence of neither national standard for high doses nor accredited laboratory for calibration and audit of radiation processing dosimetry systems is also discussed

  10. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    Science.gov (United States)

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  11. Primary standards for measuring flow rates from 100 nl/min to 1 ml/min - gravimetric principle.

    Science.gov (United States)

    Bissig, Hugo; Petter, Harm Tido; Lucas, Peter; Batista, Elsa; Filipe, Eduarda; Almeida, Nelson; Ribeiro, Luis Filipe; Gala, João; Martins, Rui; Savanier, Benoit; Ogheard, Florestan; Niemann, Anders Koustrup; Lötters, Joost; Sparreboom, Wouter

    2015-08-01

    Microflow and nanoflow rate calibrations are important in several applications such as liquid chromatography, (scaled-down) process technology, and special health-care applications. However, traceability in the microflow and nanoflow range does not go below 16 μl/min in Europe. Furthermore, the European metrology organization EURAMET did not yet validate this traceability by means of an intercomparison between different National Metrology Institutes (NMIs). The NMIs METAS, Centre Technique des Industries Aérauliques et Thermiques, IPQ, Danish Technological Institute, and VSL have therefore developed and validated primary standards to cover the flow rate range from 0.1 μl/min to at least 1 ml/min. In this article, we describe the different designs and methods of the primary standards of the gravimetric principle and the results obtained at the intercomparison for the upper flow rate range for the various NMIs and Bronkhorst High-Tech, the manufacturer of the transfer standards used.

  12. Circulating 25-hydroxyvitamin D and the risk of rarer cancers: Design and methods of the Cohort Consortium Vitamin D Pooling Project of Rarer Cancers.

    Science.gov (United States)

    Gallicchio, Lisa; Helzlsouer, Kathy J; Chow, Wong-Ho; Freedman, D Michal; Hankinson, Susan E; Hartge, Patricia; Hartmuller, Virginia; Harvey, Chinonye; Hayes, Richard B; Horst, Ronald L; Koenig, Karen L; Kolonel, Laurence N; Laden, Francine; McCullough, Marjorie L; Parisi, Dominick; Purdue, Mark P; Shu, Xiao-Ou; Snyder, Kirk; Stolzenberg-Solomon, Rachael Z; Tworoger, Shelley S; Varanasi, Arti; Virtamo, Jarmo; Wilkens, Lynne R; Xiang, Yong-Bing; Yu, Kai; Zeleniuch-Jacquotte, Anne; Zheng, Wei; Abnet, Christian C; Albanes, Demetrius; Bertrand, Kimberly; Weinstein, Stephanie J

    2010-07-01

    The Cohort Consortium Vitamin D Pooling Project of Rarer Cancers (VDPP), a consortium of 10 prospective cohort studies from the United States, Finland, and China, was formed to examine the associations between circulating 25-hydroxyvitamin D (25(OH)D) concentrations and the risk of rarer cancers. Cases (total n = 5,491) included incident primary endometrial (n = 830), kidney (n = 775), ovarian (n = 516), pancreatic (n = 952), and upper gastrointestinal tract (n = 1,065) cancers and non-Hodgkin lymphoma (n = 1,353) diagnosed in the participating cohorts. At least 1 control was matched to each case on age, date of blood collection (1974-2006), sex, and race/ethnicity (n = 6,714). Covariate data were obtained from each cohort in a standardized manner. The majority of the serum or plasma samples were assayed in a central laboratory using a direct, competitive chemiluminescence immunoassay on the DiaSorin LIAISON platform (DiaSorin, Inc., Stillwater, Minnesota). Masked quality control samples included serum standards from the US National Institute of Standards and Technology. Conditional logistic regression analyses were conducted using clinically defined cutpoints, with 50-<75 nmol/L as the reference category. Meta-analyses were also conducted using inverse-variance weights in random-effects models. This consortium approach permits estimation of the association between 25(OH)D and several rarer cancers with high accuracy and precision across a wide range of 25(OH)D concentrations.

  13. Unconditional violation of the shot-noise limit in photonic quantum metrology

    Science.gov (United States)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  14. Determination of the elementary charge and the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Feltin, N.; Piquemal, F. [Laboratoire National de Metrologie et d' Essais (LNE), 78 - Trappes (France)

    2009-06-15

    The elementary charge e is of fundamental importance in physics. The determination of its value, which is closely linked to progress of the measurement techniques, started in the beginning of the twentieth century and is still on-going. Today, in the frame of the CODATA adjustment, the evaluation of the fundamental constant, e, is derived from a complex calculation and is no more related to a single experiment. But the development of single electron tunneling (SET) devices, started in the early nineties, has opened the path towards modern metrological systems as quantum current sources. Thus a new direct determination of e is possible by implementing an electron pump and the set-up of the quantum metrological triangle (QMT) in combination with the experiments linking mechanical and electrical units. Furthermore, we show how the QMT experiment can contribute to the establishment of a new system of units based on fundamental constants of physics. (authors)

  15. The LBNL/JSU/AGMUS Science Consortium

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-04-01

    This report discusses the 11 year of accomplishments of the science consortium of minority graduates from Jackson State University and Ana G. Mendez University at the Lawrence Berkeley National Laboratory.

  16. Metrological characterization of 3D imaging devices

    Science.gov (United States)

    Guidi, G.

    2013-04-01

    Manufacturers often express the performance of a 3D imaging device in various non-uniform ways for the lack of internationally recognized standard requirements for metrological parameters able to identify the capability of capturing a real scene. For this reason several national and international organizations in the last ten years have been developing protocols for verifying such performance. Ranging from VDI/VDE 2634, published by the Association of German Engineers and oriented to the world of mechanical 3D measurements (triangulation-based devices), to the ASTM technical committee E57, working also on laser systems based on direct range detection (TOF, Phase Shift, FM-CW, flash LADAR), this paper shows the state of the art about the characterization of active range devices, with special emphasis on measurement uncertainty, accuracy and resolution. Most of these protocols are based on special objects whose shape and size are certified with a known level of accuracy. By capturing the 3D shape of such objects with a range device, a comparison between the measured points and the theoretical shape they should represent is possible. The actual deviations can be directly analyzed or some derived parameters can be obtained (e.g. angles between planes, distances between barycenters of spheres rigidly connected, frequency domain parameters, etc.). This paper shows theoretical aspects and experimental results of some novel characterization methods applied to different categories of active 3D imaging devices based on both principles of triangulation and direct range detection.

  17. Nanomanufacturing metrology for cellulosic nanomaterials: an update

    Science.gov (United States)

    Postek, Michael T.

    2014-08-01

    The development of the metrology and standards for advanced manufacturing of cellulosic nanomaterials (or basically, wood-based nanotechnology) is imperative to the success of this rising economic sector. Wood-based nanotechnology is a revolutionary technology that will create new jobs and strengthen America's forest-based economy through industrial development and expansion. It allows this, previously perceived, low-tech industry to leap-frog directly into high-tech products and processes and thus improves its current economic slump. Recent global investments in nanotechnology programs have led to a deeper appreciation of the high performance nature of cellulose nanomaterials. Cellulose, manufactured to the smallest possible-size ( 2 nm x 100 nm), is a high-value material that enables products to be lighter and stronger; have less embodied energy; utilize no catalysts in the manufacturing, are biologically compatible and, come from a readily renewable resource. In addition to the potential for a dramatic impact on the national economy - estimated to be as much as $250 billion worldwide by 2020 - cellulose-based nanotechnology creates a pathway for expanded and new markets utilizing these renewable materials. The installed capacity associated with the US pulp and paper industry represents an opportunity, with investment, to rapidly move to large scale production of nano-based materials. However, effective imaging, characterization and fundamental measurement science for process control and characterization are lacking at the present time. This talk will discuss some of these needed measurements and potential solutions.

  18. Metrology of ionizing radiations and environmental measurements

    International Nuclear Information System (INIS)

    Nourreddine, Abdel-Mjid

    2008-01-01

    The subject of radiation protection covers all measurements taken by the authorities to ensure protection of the population and its environment against the harmful effects of ionizing radiation. Dosimetry occupies an important place in this field, because it makes it possible to consider and to quantify the risk of using radiations in accordance with the prescribed limits. In this course, we will review the fundamental concepts used in the metrology and dosimetry of ionizing radiations. After classification of ionizing radiations according to their interactions with biological matter, we will present the various quantities and units brought into play and in particular the new operational quantities that are good estimators raising protection standards. They are directly connected to the annual limits of effective dose and of equivalent dose defined in the French regulation relating to the protection of the population and of workers against ionizing radiations. The average natural exposure of the population in France varies between 2 to 2.5 mSv per year, depending on geographic location. It comes principally from three sources: cosmic radiation, radioactive elements contained in the ground and radioactive elements that we absorb when breathing or eating. Radon, which is a naturally occurring radioactive gas, is a public health risk and represents 30% of the exposure. Finally, we will give some applications of dosimetry and environmental measurements developed recently at RaMsEs/IPHC laboratory of Strasbourg. (author)

  19. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    Science.gov (United States)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  20. Alignment of KB mirrors with at-wavelength metrology tool simulated using SRW

    Science.gov (United States)

    Idir, Mourad; Rakitin, Maksim; Gao, Bo; Xue, Junpeng; Huang, Lei; Chubar, Oleg

    2017-08-01

    Synchrotron Radiation Workshop (SRW) is a powerful synchrotron radiation simulation tool and has been widely used at synchrotron facilities all over the world. During the last decade, many types of X-ray wavefront sensors have been developed and used. In this work, we present our recent effort on the development of at-wavelength metrology simulation based on SRW mainly focused on the Hartmann Wavefront Sensor (HWS). Various conditions have been studied to verify that the simulated HWS is performing as expected in terms of accuracy. This at-wavelength metrology simulation tool is then used to align KB mirrors by minimizing the wavefront aberrations. We will present our optimization process to perform an `in situ' alignment using conditions as close as possible to the real experiments (KB mirrors with different levels of figure errors or different misalignment geometry).

  1. Midwest Nuclear Science and Engineering Consortium

    International Nuclear Information System (INIS)

    Volkert, Wynn; Kumar, Arvind; Becker, Bryan; Schwinke, Victor; Gonzalez, Angel; McGregor, Douglas

    2010-01-01

    The objective of the Midwest Nuclear Science and Engineering Consortium (MNSEC) is to enhance the scope, quality and integration of educational and research capabilities of nuclear sciences and engineering (NS/E) programs at partner schools in support of the U.S. nuclear industry (including DOE laboratories). With INIE support, MNSEC had a productive seven years and made impressive progress in achieving these goals. Since the past three years have been no-cost-extension periods, limited -- but notable -- progress has been made in FY10. Existing programs continue to be strengthened and broadened at Consortium partner institutions. The enthusiasm generated by the academic, state, federal, and industrial communities for the MNSEC activities is reflected in the significant leveraging that has occurred for our programs.

  2. Midwest Nuclear Science and Engineering Consortium

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Wynn Volkert; Dr. Arvind Kumar; Dr. Bryan Becker; Dr. Victor Schwinke; Dr. Angel Gonzalez; Dr. DOuglas McGregor

    2010-12-08

    The objective of the Midwest Nuclear Science and Engineering Consortium (MNSEC) is to enhance the scope, quality and integration of educational and research capabilities of nuclear sciences and engineering (NS/E) programs at partner schools in support of the U.S. nuclear industry (including DOE laboratories). With INIE support, MNSEC had a productive seven years and made impressive progress in achieving these goals. Since the past three years have been no-cost-extension periods, limited -- but notable -- progress has been made in FY10. Existing programs continue to be strengthened and broadened at Consortium partner institutions. The enthusiasm generated by the academic, state, federal, and industrial communities for the MNSEC activities is reflected in the significant leveraging that has occurred for our programs.

  3. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  4. Innovative Ge Quantum Dot Functional Sensing and Metrology Devices

    Science.gov (United States)

    2017-08-21

    Sensing/Metrology Devices Period: May 26th 2015May 25th 2017 Investigators: Pei-Wen Li Affiliation: Department of Electrical Engineering , National...light sources as well as low-power, high-speed Ge photodetectors indeed requires the growth of direct-gap Ge, heterostructure engineering for...All these tasks cannot be simply conducted in terms of bulk Ge technology, and it is no doubt that nanoscience and nanotechnology would offer

  5. In-die photomask registration and overlay metrology with PROVE using 2D correlation methods

    Science.gov (United States)

    Seidel, D.; Arnz, M.; Beyer, D.

    2011-11-01

    According to the ITRS roadmap, semiconductor industry drives the 193nm lithography to its limits, using techniques like double exposure, double patterning, mask-source optimization and inverse lithography. For photomask metrology this translates to full in-die measurement capability for registration and critical dimension together with challenging specifications for repeatability and accuracy. Especially, overlay becomes more and more critical and must be ensured on every die. For this, Carl Zeiss SMS has developed the next generation photomask registration and overlay metrology tool PROVE® which serves the 32nm node and below and which is already well established in the market. PROVE® features highly stable hardware components for the stage and environmental control. To ensure in-die measurement capability, sophisticated image analysis methods based on 2D correlations have been developed. In this paper we demonstrate the in-die capability of PROVE® and present corresponding measurement results for shortterm and long-term measurements as well as the attainable accuracy for feature sizes down to 85nm using different illumination modes and mask types. Standard measurement methods based on threshold criteria are compared with the new 2D correlation methods to demonstrate the performance gain of the latter. In addition, mask-to-mask overlay results of typical box-in-frame structures down to 200nm feature size are presented. It is shown, that from overlay measurements a reproducibility budget can be derived that takes into account stage, image analysis and global effects like mask loading and environmental control. The parts of the budget are quantified from measurement results to identify critical error contributions and to focus on the corresponding improvement strategies.

  6. Recovery of valuable metals from polymetallic mine tailings by natural microbial consortium.

    Science.gov (United States)

    Vardanyan, Narine; Sevoyan, Garegin; Navasardyan, Taron; Vardanyan, Arevik

    2018-05-28

    Possibilities for the recovery of non-ferrous and precious metals from Kapan polymetallic mine tailings (Armenia) were studied. The aim of this paper was to study the possibilities of bioleaching of samples of concentrated tailings by the natural microbial consortium of drainage water. The extent of extraction of metals from the samples of concentrated tailings by natural microbial consortium reached 41-55% and 53-73% for copper and zinc, respectively. Metal leaching efficiencies of pure culture Leptospirillum ferrooxidans Teg were higher, namely 47-93% and 73-81% for copper and zinc, respectively. The content of gold in solid phase of tailings increased about 7-16% and 2-9% after bio-oxidation process by L. ferrooxidans Teg and natural microbial consortium, respectively. It was shown that bioleaching of the samples of tailings could be performed using the natural consortium of drainage water. However, to increase the intensity of the recovery of valuable metals, natural consortium of drainage water combined with iron-oxidizing L. ferrooxidans Teg has been proposed.

  7. Mineralization of linear alkylbenzene sulfonate by a four-member aerobic bacterial consortium

    International Nuclear Information System (INIS)

    Jimenez, L.; Breen, A.; Thomas, N.; Sayler, G.S.; Federle, T.W.

    1991-01-01

    A bacterial consortium capable of linear alkylbenzene sulfonate (LAS) mineralization under aerobic conditions was isolated from a chemostat inoculated with activated sludge. The consortium, designated KJB, consisted of four members, all of which were gram-negative, rod-shaped bacteria that grew in pairs and short chains. Three isolates had biochemical properties characteristic of Pseudomonas spp.; the fourth showed characteristics of the Aeromonas spp. Cell suspensions were grown together in minimal medium with [ 14 C]LAS as the only carbon source. After 13 days of incubation, more than 25% of the [ 14 C]LAS was mineralized to 14 CO 2 by the consortium. Pure bacterial cultures and combinations lacking any one member of the KJB bacterial consortium did not mineralize LAS. Three isolates carried out primary biodegradation of the surfactant, and one did not. This study shows that the four bacteria complemented each other and synergistically mineralized LAS, indicating catabolic cooperation among the four consortium members

  8. Biodegradation by bioaugmentation of dairy wastewater by fungal consortium on a bioreactor lab-scale and on a pilot-scale.

    Science.gov (United States)

    Djelal, Hayet; Amrane, Abdeltif

    2013-09-01

    A fungal consortium including Aspergillus niger, Mucor hiemalis and Galactomyces geotrichum was tested for the treatment of dairy wastewater. The bio-augmentation method was tested at lab-scale (4 L), at pilot scale (110 L) and at an industrial scale in Wastewater Treatment Plants (WWTP). The positive impact of fungal addition was confirmed when fungi was beforehand accelerated by pre-culture on whey (5 g/L lactose) or on the dairy effluent. Indeed, chemical oxygen demand (COD) removal yields increased from 55% to 75% for model medium, diluted milk. While after inoculation of an industrial biological tank from a dairy factory with the fungal consortium accelerated by pre-cultivation in a 1000 L pilot plant, the outlet COD values decreased from values above the standard one (100 mg/L) to values in the range of 50-70 mg/L. In addition, there was a clear impact of fungal addition on the 'hard' or non-biodegradable COD owing to the significant reduction of the increase of the COD on BOD5 ratio between the inlet and the outlet of the biological tank of WWTP. It was in the range of 451%-1111% before adding fungal consortium, and in the range of 257%-153% after bio-augmentation with fungi. An inoculated bioreactor with fungal consortium was developed at lab-scale and demonstrated successfully at pilot scale in

  9. Conceptual design finalisation of the ITER In-Vessel Viewing and Metrology System (IVVS)

    Energy Technology Data Exchange (ETDEWEB)

    Dubus, Gregory, E-mail: gregory.dubus@f4e.europa.eu [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Puiu, Adrian; Damiani, Carlo; Van Uffelen, Marco; Lo Bue, Alessandro; Izquierdo, Jesus; Semeraro, Luigi [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Martins, Jean-Pierre; Palmer, Jim [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France)

    2013-10-15

    The In-Vessel Viewing and Metrology System (IVVS) is a fundamental tool for the ITER machine operations, aiming at performing inspections as well as providing information related to the erosion of in-vessel components. Periodically or on request, the IVVS probes will be deployed into the Vacuum Vessel from their storage positions (still within the ITER primary confinement) in order to perform both viewing and metrology on plasma facing components (blanket, divertor, heating/diagnostic plugs, test blanket modules) and, more generically, to provide information on the status of the in-vessel components. In 2011, the IO proposed to simplify and strengthen the six IVVS port extensions situated at the divertor level. Among other important consequences, such as the relocation of the Glow Discharge Cleaning (GDC) electrodes at other levels of the machine, this major design change implied the need for a substantial redesign of the IVVS plug, which took part to an on-going effort to bring the integrated IVVS concept – including the scanning probe and its deployment system – to the level of maturity suitable for the Conceptual Design Review. This paper gives an overview of the various design and R and D activities in progress: plug design integration, probe concept validation under environmental conditions, development of a metrology strategy, the whole supported by a nuclear analysis.

  10. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Sophie M [Florida State Univ., Tallahassee, FL (United States); Tran, Hy D. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2014-07-01

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  11. Metrology with synchrotron radiation. A short introduction; Metrologie mit Synchrotronstrahlung. Eine kurze Einfuehrung

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Mathias [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Fachbereich ' Radiometrie mit Synchrotronstrahlung' ; Ulm, Gerhard

    2014-09-15

    The beam tubes and measuring places at the Metrology Light Source and BESSY II are listed together with their monochromator types, spectral ranges, spectral resolution powers, photon fluxes, beam sizes, and divergences. (HSI)

  12. Dual frequency comb metrology with one fiber laser

    Science.gov (United States)

    Zhao, Xin; Takeshi, Yasui; Zheng, Zheng

    2016-11-01

    Optical metrology techniques based on dual optical frequency combs have emerged as a hotly studied area targeting a wide range of applications from optical spectroscopy to microwave and terahertz frequency measurement. Generating two sets of high-quality comb lines with slightly different comb-tooth spacings with high mutual coherence and stability is the key to most of the dual-comb schemes. The complexity and costs of such laser sources and the associated control systems to lock the two frequency combs hinder the wider adoption of such techniques. Here we demonstrate a very simple and rather different approach to tackle such a challenge. By employing novel laser cavity designs in a mode-locked fiber laser, a simple fiber laser setup could emit dual-comb pulse output with high stability and good coherence between the pulse trains. Based on such lasers, comb-tooth-resolved dual-comb optical spectroscopy is demonstrated. Picometer spectral resolving capability could be realized with a fiber-optic setup and a low-cost data acquisition system and standard algorithms. Besides, the frequency of microwave signals over a large range can be determined based on a simple setup. Our results show the capability of such single-fiber-laser-based dual-comb scheme to reduce the complexity and cost of dual-comb systems with excellent quality for different dual-comb applications.

  13. Aims, organization and activities of the consortium for underground storage

    International Nuclear Information System (INIS)

    Stucky, G.

    1977-01-01

    The consortium of Swiss authorities interested in underground storage (the petroleum oil and gas industries, for fuel storage; the nuclear industry for radioactive waste disposal), was initiated in 1972. The author outlines the motives behind the formation of the consortium and outlines its structure and objectives. The envisaged projects are outlined. (F.Q.)

  14. Prebiotics Mediate Microbial Interactions in a Consortium of the Infant Gut Microbiome.

    Science.gov (United States)

    Medina, Daniel A; Pinto, Francisco; Ovalle, Aline; Thomson, Pamela; Garrido, Daniel

    2017-10-04

    Composition of the gut microbiome is influenced by diet. Milk or formula oligosaccharides act as prebiotics, bioactives that promote the growth of beneficial gut microbes. The influence of prebiotics on microbial interactions is not well understood. Here we investigated the transformation of prebiotics by a consortium of four representative species of the infant gut microbiome, and how their interactions changed with dietary substrates. First, we optimized a culture medium resembling certain infant gut parameters. A consortium containing Bifidobacterium longum subsp. infantis , Bacteroides vulgatus , Escherichia coli and Lactobacillus acidophilus was grown on fructooligosaccharides (FOS) or 2'-fucosyllactose (2FL) in mono- or co-culture. While Bi. infantis and Ba. vulgatus dominated growth on 2FL, their combined growth was reduced. Besides, interaction coefficients indicated strong competition, especially on FOS. While FOS was rapidly consumed by the consortium, B. infantis was the only microbe displaying significant consumption of 2FL. Acid production by the consortium resembled the metabolism of microorganisms dominating growth in each substrate. Finally, the consortium was tested in a bioreactor, observing similar predominance but more pronounced acid production and substrate consumption. This study indicates that the chemical nature of prebiotics modulate microbial interactions in a consortium of infant gut species.

  15. Prebiotics Mediate Microbial Interactions in a Consortium of the Infant Gut Microbiome

    Directory of Open Access Journals (Sweden)

    Daniel A. Medina

    2017-10-01

    Full Text Available Composition of the gut microbiome is influenced by diet. Milk or formula oligosaccharides act as prebiotics, bioactives that promote the growth of beneficial gut microbes. The influence of prebiotics on microbial interactions is not well understood. Here we investigated the transformation of prebiotics by a consortium of four representative species of the infant gut microbiome, and how their interactions changed with dietary substrates. First, we optimized a culture medium resembling certain infant gut parameters. A consortium containing Bifidobacterium longum subsp. infantis, Bacteroides vulgatus, Escherichia coli and Lactobacillus acidophilus was grown on fructooligosaccharides (FOS or 2′-fucosyllactose (2FL in mono- or co-culture. While Bi. infantis and Ba. vulgatus dominated growth on 2FL, their combined growth was reduced. Besides, interaction coefficients indicated strong competition, especially on FOS. While FOS was rapidly consumed by the consortium, B. infantis was the only microbe displaying significant consumption of 2FL. Acid production by the consortium resembled the metabolism of microorganisms dominating growth in each substrate. Finally, the consortium was tested in a bioreactor, observing similar predominance but more pronounced acid production and substrate consumption. This study indicates that the chemical nature of prebiotics modulate microbial interactions in a consortium of infant gut species.

  16. STAT, GAPS, STRAIN, DRWDIM: a system of computer codes for analyzing HTGR fuel test element metrology data. User's manual

    Energy Technology Data Exchange (ETDEWEB)

    Saurwein, J.J.

    1977-08-01

    A system of computer codes has been developed to statistically reduce Peach Bottom fuel test element metrology data and to compare the material strains and fuel rod-fuel hole gaps computed from these data with HTGR design code predictions. The codes included in this system are STAT, STRAIN, GAPS, and DRWDIM. STAT statistically evaluates test element metrology data yielding fuel rod, fuel body, and sleeve irradiation-induced strains; fuel rod anisotropy; and additional data characterizing each analyzed fuel element. STRAIN compares test element fuel rod and fuel body irradiation-induced strains computed from metrology data with the corresponding design code predictions. GAPS compares test element fuel rod, fuel hole heat transfer gaps computed from metrology data with the corresponding design code predictions. DRWDIM plots the measured and predicted gaps and strains. Although specifically developed to expedite the analysis of Peach Bottom fuel test elements, this system can be applied, without extensive modification, to the analysis of Fort St. Vrain or other HTGR-type fuel test elements.

  17. Elements for successful sensor-based process control {Integrated Metrology}

    International Nuclear Information System (INIS)

    Butler, Stephanie Watts

    1998-01-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended

  18. Elements for successful sensor-based process control {Integrated Metrology}

    Science.gov (United States)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  19. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    International Nuclear Information System (INIS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility. (paper)

  20. Legacy Clinical Data from the Mission Connect Mild TBI Translational Research Consortium

    Science.gov (United States)

    2017-10-01

    AWARD NUMBER: W81XWH-16-2-0026 TITLE: Legacy Clinical Data from the Mission Connect Mild TBI Translational Research Consortium PRINCIPAL...2017 4. TITLE AND SUBTITLE Legacy Clinical Data from the Mission Connect Mild TBI Translational Research 5a. CONTRACT NUMBER Consortium 5b. GRANT...mTBI) Translational Research Consortium was to improve the diagnosis and treatment of mTBI. We enrolled a total of 88 mTBI patients and 73 orthopedic

  1. Evaluation of a laser scanner for large volume coordinate metrology: a comparison of results before and after factory calibration

    International Nuclear Information System (INIS)

    Ferrucci, M; Muralikrishnan, B; Sawyer, D; Phillips, S; Petrov, P; Yakovlev, Y; Astrelin, A; Milligan, S; Palmateer, J

    2014-01-01

    Large volume laser scanners are increasingly being used for a variety of dimensional metrology applications. Methods to evaluate the performance of these scanners are still under development and there are currently no documentary standards available. This paper describes the results of extensive ranging and volumetric performance tests conducted on a large volume laser scanner. The results demonstrated small but clear systematic errors that are explained in the context of a geometric error model for the instrument. The instrument was subsequently returned to the manufacturer for factory calibration. The ranging and volumetric tests were performed again and the results are compared against those obtained prior to the factory calibration. (paper)

  2. Metrology as part and parcel of training programmes for science and engineering

    NARCIS (Netherlands)

    Regtien, Paulus P.L.

    2007-01-01

    At many universities and training institutes education in metrology or measurement science is in strong competition with upcoming disciplines. Its importance for science and engineering remains, however, evident. Advanced instruments make measuring almost a routine activity, but it is shown that a

  3. Statistical metrology - measurement and modeling of variation for advanced process development and design rule generation

    International Nuclear Information System (INIS)

    Boning, Duane S.; Chung, James E.

    1998-01-01

    Advanced process technology will require more detailed understanding and tighter control of variation in devices and interconnects. The purpose of statistical metrology is to provide methods to measure and characterize variation, to model systematic and random components of that variation, and to understand the impact of variation on both yield and performance of advanced circuits. Of particular concern are spatial or pattern-dependencies within individual chips; such systematic variation within the chip can have a much larger impact on performance than wafer-level random variation. Statistical metrology methods will play an important role in the creation of design rules for advanced technologies. For example, a key issue in multilayer interconnect is the uniformity of interlevel dielectric (ILD) thickness within the chip. For the case of ILD thickness, we describe phases of statistical metrology development and application to understanding and modeling thickness variation arising from chemical-mechanical polishing (CMP). These phases include screening experiments including design of test structures and test masks to gather electrical or optical data, techniques for statistical decomposition and analysis of the data, and approaches to calibrating empirical and physical variation models. These models can be integrated with circuit CAD tools to evaluate different process integration or design rule strategies. One focus for the generation of interconnect design rules are guidelines for the use of 'dummy fill' or 'metal fill' to improve the uniformity of underlying metal density and thus improve the uniformity of oxide thickness within the die. Trade-offs that can be evaluated via statistical metrology include the improvements to uniformity possible versus the effect of increased capacitance due to additional metal

  4. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    Science.gov (United States)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  5. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    International Nuclear Information System (INIS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-01-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes. (paper)

  6. Physics colloquium: Single-electron counting in quantum metrology and in statistical mechanics

    CERN Multimedia

    Geneva University

    2011-01-01

    GENEVA UNIVERSITY Ecole de physique Département de physique nucléaire et corspusculaire 24, quai Ernest-Ansermet 1211 Genève 4 Tél.: (022) 379 62 73 Fax: (022) 379 69 92olé   Lundi 17 octobre 2011 17h00 - Ecole de Physique, Auditoire Stueckelberg PHYSICS COLLOQUIUM « Single-electron counting in quantum metrology and in statistical mechanics » Prof. Jukka Pekola Low Temperature Laboratory, Aalto University Helsinki, Finland   First I discuss the basics of single-electron tunneling and its potential applications in metrology. My main focus is in developing an accurate source of single-electron current for the realization of the unit ampere. I discuss the principle and the present status of the so-called single- electron turnstile. Investigation of errors in transporting electrons one by one has revealed a wealth of observations on fundamental phenomena in mesoscopic superconductivity, including individual Andreev...

  7. Ultrasonic transverse velocity calibration of standard blocks for use in non-destructive testing

    International Nuclear Information System (INIS)

    Silva, C E R; Braz, D S; Maggi, L E; Felix, R P B Costa

    2015-01-01

    Standard blocks are employed in the verification of the equipment used in Ultrasound Non-Destructive Testing. To assure the metrology reliability of all the measurement process, it is necessary to calibrate or certify these Standard blocks. In this work, the transverse wave velocity and main dimensions were assessed according to the specifications ISO Standards. For transverse wave velocity measurement, a 5 MHz transverse wave transducer, a waveform generator, an oscilloscope and a computer with a program developed in LabVIEW TM were used. Concerning the transverse wave velocity calibration, only two Standard blocks of the 4 tested is in accordance with the standard

  8. International Lymphoma Epidemiology Consortium (InterLymph)

    Science.gov (United States)

    A consortium designed to enhance collaboration among epidemiologists studying lymphoma, to provide a forum for the exchange of research ideas, and to create a framework for collaborating on analyses that pool data from multiple studies

  9. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform

    Science.gov (United States)

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-01-01

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform’s mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument’s working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform. PMID:27869722

  10. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform.

    Science.gov (United States)

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-11-18

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform's mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument's working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform.

  11. Ophthalmic epidemiology in Europe : the "European Eye Epidemiology" (E3) consortium

    NARCIS (Netherlands)

    Delcourt, Cecile; Korobelnik, Jean-Francois; Buitendijk, Gabrielle H. S.; Foster, Paul J.; Hammond, Christopher J.; Piermarocchi, Stefano; Peto, Tunde; Jansonius, Nomdo; Mirshahi, Alireza; Hogg, Ruth E.; Bretillon, Lionel; Topouzis, Fotis; Deak, Gabor; Grauslund, Jakob; Broe, Rebecca; Souied, Eric H.; Creuzot-Garcher, Catherine; Sahel, Jose; Daien, Vincent; Lehtimaki, Terho; Hense, Hans-Werner; Prokofyeva, Elena; Oexle, Konrad; Rahi, Jugnoo S.; Cumberland, Phillippa M.; Schmitz-Valckenberg, Steffen; Fauser, Sascha; Bertelsen, Geir; Hoyng, Carel; Bergen, Arthur; Silva, Rufino; Wolf, Sebastian; Lotery, Andrew; Chakravarthy, Usha; Fletcher, Astrid; Klaver, Caroline C. W.

    The European Eye Epidemiology (E3) consortium is a recently formed consortium of 29 groups from 12 European countries. It already comprises 21 population-based studies and 20 other studies (case-control, cases only, randomized trials), providing ophthalmological data on approximately 170,000

  12. Welcome to Surface Topography: Metrology and Properties

    Science.gov (United States)

    Leach, Richard

    2013-11-01

    I am delighted to welcome readers to this inaugural issue of Surface Topography: Metrology and Properties (STMP). In these days of citation indexes and academic reviews, it is a tough, and maybe a brave, job to start a new journal. But the subject area has never been more active and we are seeing genuine breakthroughs in the use of surfaces to control functional performance. Most manufactured parts rely on some form of control of their surface characteristics. The surface is usually defined as that feature on a component or device, which interacts with either the environment in which it is housed (or in which the device operates), or with another surface. The surface topography and material characteristics of a part can affect how fluids interact with it, how the part looks and feels and how two bearing parts will slide together. The need to control, and hence measure, surface features is becoming increasingly important as we move into a miniaturized world. Surface features can become the dominant functional features of a part and may become large in comparison to the overall size of an object. Research into surface texture measurement and characterization has been carried out for over a century and is now more active than ever, especially as new areal surface texture specification standards begin to be introduced. The range of disciplines for which the function of a surface relates to its topography is very diverse; from metal sheet manufacturing to art restoration, from plastic electronics to forensics. Until now, there has been no obvious publishing venue to bring together all these applications with the underlying research and theory, or to unite those working in academia with engineering and industry. Hence the creation of Surface Topography: Metrology and Properties . STMP will publish the best work being done across this broad discipline in one journal, helping researchers to share common themes and highlighting and promoting the extraordinary benefits this

  13. The French approach through the SMR consortium (CEA, EDF, AREVA, DCNS)

    International Nuclear Information System (INIS)

    Chenais, J.; Diet, A.; Grondin, Y.; Perrier, S.

    2014-01-01

    The SMR (Small Modular Reactor) is a new range of nuclear reactors characterized by a low output power (less than 300 MWe) and a standardized modular factory-based construction. Moderate capital costs make this type of reactor very attractive for medium-size power utilities or small countries. A consortium made around the CEA and its industrial partners (EDF, AREVA and DCNS) has performed economic and technical feasibility studies. It appears that the competitiveness of SMR require power production costs around 100 euros/MWh and that SMR will be based on PWR technology with a power output of 150 MWe per unit. 2 types of SMR are proposed: a terrestrial unit and an immersed unit. The concept of the immersed SMR, called Flexblue, is based on an unlimited access to a cold sink (the ocean) and a complete modularity. In both types the standard of safety appears to be high. (A.C.)

  14. Zinc bioaccumulation by microbial consortium isolated from nickel smelter sludge disposal site

    Directory of Open Access Journals (Sweden)

    Kvasnová Simona

    2017-06-01

    Full Text Available Heavy metal pollution is one of the most important environmental issues of today. Bioremediation by microorganisms is one of technologies extensively used for pollution treatment. In this study, we investigated the heavy metal resistance and zinc bioaccumulation by microbial consortium isolated from nickel sludge disposal site near Sereď (Slovakia. The composition of consortium was analyzed based on MALDI-TOF MS of cultivable bacteria and we have shown that the consortium was dominated by bacteria of genus Arthrobacter. While consortium showed very good growth in the zinc presence, it was able to remove only 15 % of zinc from liquid media. Selected members of consortia have shown lower growth rates in the zinc presence but selected isolates have shown much higher bioaccumulation abilities compared to whole consortium (up to 90 % of zinc removal for NH1 strain. Bioremediation is frequently accelerated through injection of native microbiota into a contaminated area. Based on data obtained in this study, we can conclude that careful selection of native microbiota could lead to the identification of bacteria with increased bioaccumulation abilities.

  15. Biodegradation of BOD and ammonia-free using bacterial consortium in aerated fixed film bioreactor (AF2B)

    Science.gov (United States)

    Prayitno, Rulianah, Sri; Saroso, Hadi; Meilany, Diah

    2017-06-01

    BOD and Ammonia-free (NH3-N) are pollutants of hospital wastewater which often exceed the quality standards. It is because biological processes in wastewater treatment plant (WWTP) have not been effective in degrading BOD and NH3-N. Therefore, a study on factors that influence the biodegradation of BOD and NH3-N by choosing the type of bacteria to improve the mechanisms of biodegradation processes is required. Bacterial consortium is a collection of several types of bacteria obtained from isolation process, which is known to be more effective than a single bacterial in degrading pollutants. On the other hand, AF2B is a type of reactor in wastewater treatment system. The AF2B contains a filter media that has a large surface area so that the biodegradation process of pollutants by microorganism can be improved. The objective of this research is to determine the effect of volume of starter and air supplies on decreasing BOD and NH3-N in hospital wastewater using bacterial consortium in the AF2B on batch process. The research was conducted in three stages: the making of the growth curve of the bacterial consortium, bacterial consortium acclimatization, and hospital wastewater treatment in the AF2B with batch process. The variables used are the volume of starter (65%, 75%, and 85% in volume) and air supplies (2.5, 5, and 7.5 L/min). Meanwhile, the materials used are hospital wastewater, bacterial consortium (Pseudomonas diminuta, Pseudomonas capica, Bacillius sp, and Nitrobacter sp), blower, and AF2B. AF2B is a plastic basin containing a filter media with a wasp-nest shape used as a medium for growing the bacterial consortium. In the process of making the growth curve, a solid form of bacterial consortium was dissolved in sterilized water, then grown in a nutrient broth (NB). Then, shaking and sampling were done at any time to determine the path growth of bacterial consortium. In the acclimatization process, bacterial isolates were grown using hospital wastewater as a

  16. A primary standard for low-g shock calibration by laser interferometry

    Science.gov (United States)

    Sun, Qiao; Wang, Jian-lin; Hu, Hong-bo

    2014-07-01

    This paper presents a novel implementation of a primary standard for low-g shock acceleration calibration by laser interferometry based on rigid body collision at National Institute of Metrology, China. The mechanical structure of the standard device and working principles involved in the shock acceleration exciter, laser interferometers and virtual instruments are described. The novel combination of an electromagnetic exciter and a pneumatic exciter as the mechanical power supply of the standard device can deliver a wide range of shock acceleration levels. In addition to polyurethane rubber, two other types of material are investigated to ensure a wide selection of cushioning pads for shock pulse generation, with pulse shapes and data displayed. A heterodyne He-Ne laser interferometer is preferred for its precise and reliable measurement of shock acceleration while a homodyne one serves as a check standard. Some calibration results of a standard acceleration measuring chain are shown in company with the uncertainty evaluation budget. The expanded calibration uncertainty of shock sensitivity of the acceleration measuring chain is 0.8%, k = 2, with the peak acceleration range from 20 to 10 000 m s-2 and pulse duration from 0.5 to 10 ms. This primary shock standard can meet the traceability requirements of shock acceleration from various applications of industries from automobile to civil engineering and therefore is used for piloting the ongoing shock comparison of Technical Committee of Acoustics, Ultrasound and Vibration (TCAUV) of Asia Pacific Metrology Program (APMP), coded as APMP.AUV.V-P1.

  17. A primary standard for low-g shock calibration by laser interferometry

    International Nuclear Information System (INIS)

    Sun, Qiao; Wang, Jian-lin; Hu, Hong-bo

    2014-01-01

    This paper presents a novel implementation of a primary standard for low-g shock acceleration calibration by laser interferometry based on rigid body collision at National Institute of Metrology, China. The mechanical structure of the standard device and working principles involved in the shock acceleration exciter, laser interferometers and virtual instruments are described. The novel combination of an electromagnetic exciter and a pneumatic exciter as the mechanical power supply of the standard device can deliver a wide range of shock acceleration levels. In addition to polyurethane rubber, two other types of material are investigated to ensure a wide selection of cushioning pads for shock pulse generation, with pulse shapes and data displayed. A heterodyne He–Ne laser interferometer is preferred for its precise and reliable measurement of shock acceleration while a homodyne one serves as a check standard. Some calibration results of a standard acceleration measuring chain are shown in company with the uncertainty evaluation budget. The expanded calibration uncertainty of shock sensitivity of the acceleration measuring chain is 0.8%, k = 2, with the peak acceleration range from 20 to 10 000 m s −2  and pulse duration from 0.5 to 10 ms. This primary shock standard can meet the traceability requirements of shock acceleration from various applications of industries from automobile to civil engineering and therefore is used for piloting the ongoing shock comparison of Technical Committee of Acoustics, Ultrasound and Vibration (TCAUV) of Asia Pacific Metrology Program (APMP), coded as APMP.AUV.V-P1. (paper)

  18. Bacterial community composition characterization of a lead-contaminated Microcoleus sp. consortium.

    Science.gov (United States)

    Giloteaux, Ludovic; Solé, Antoni; Esteve, Isabel; Duran, Robert

    2011-08-01

    A Microcoleus sp. consortium, obtained from the Ebro delta microbial mat, was maintained under different conditions including uncontaminated, lead-contaminated, and acidic conditions. Terminal restriction fragment length polymorphism and 16S rRNA gene library analyses were performed in order to determine the effect of lead and culture conditions on the Microcoleus sp. consortium. The bacterial composition inside the consortium revealed low diversity and the presence of specific terminal-restriction fragments under lead conditions. 16S rRNA gene library analyses showed that members of the consortium were affiliated to the Alpha, Beta, and Gammaproteobacteria and Cyanobacteria. Sequences closely related to Achromobacter spp., Alcaligenes faecalis, and Thiobacillus species were exclusively found under lead conditions while sequences related to Geitlerinema sp., a cyanobacterium belonging to the Oscillatoriales, were not found in presence of lead. This result showed a strong lead selection of the bacterial members present in the Microcoleus sp. consortium. Several of the 16S rRNA sequences were affiliated to nitrogen-fixing microorganisms including members of the Rhizobiaceae and the Sphingomonadaceae. Additionally, confocal laser scanning microscopy and scanning and transmission electron microscopy showed that under lead-contaminated condition Microcoleus sp. cells were grouped and the number of electrodense intracytoplasmic inclusions was increased.

  19. Metrological Array of Cyber-Physical Systems. Part 11. Remote Error Correction of Measuring Channel

    Directory of Open Access Journals (Sweden)

    Yuriy YATSUK

    2015-09-01

    Full Text Available The multi-channel measuring instruments with both the classical structure and the isolated one is identified their errors major factors basing on general it metrological properties analysis. Limiting possibilities of the remote automatic method for additive and multiplicative errors correction of measuring instruments with help of code-control measures are studied. For on-site calibration of multi- channel measuring instruments, the portable voltage calibrators structures are suggested and their metrological properties while automatic errors adjusting are analysed. It was experimentally envisaged that unadjusted error value does not exceed ± 1 mV that satisfies most industrial applications. This has confirmed the main approval concerning the possibilities of remote errors self-adjustment as well multi- channel measuring instruments as calibration tools for proper verification.

  20. The COPD Biomarker Qualification Consortium (CBQC)

    DEFF Research Database (Denmark)

    Casaburi, Richard; Celli, Bartolome; Crapo, James

    2013-01-01

    Abstract Knowledge about the pathogenesis and pathophysiology of chronic obstructive pulmonary disease (COPD) has advanced dramatically over the last 30 years. Unfortunately, this has had little impact in terms of new treatments. Over the same time frame, only one new class of medication for COPD......, and no interested party has been in a position to undertake such a process. In order to facilitate the development of novel tools to assess new treatments, the Food and Drug Administration, in collaboration with the COPD Foundation, the National Heart Lung and Blood Institute and scientists from the pharmaceutical...... industry and academia conducted a workshop to survey the available information that could contribute to new tools. Based on this, a collaborative project, the COPD Biomarkers Qualification Consortium, was initiated. The Consortium in now actively preparing integrated data sets from existing resources...