WorldWideScience

Sample records for metrology organisations symposium

  1. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  2. International symposium on in situ nuclear metrology as a tool for radioecology INSINUME

    International Nuclear Information System (INIS)

    2008-01-01

    Full Text: This symposium, which is the natural continuation of the previous INSINUME conferences, held in Fleurus-Belgium, Albena-Bulgaria and Kusadasi-Turkey, has a dual purpose. First of all, it wants to bring together Radioecologists, Regulatory Authorities as well as Radiological Monitoring System Operators, in order to allow a wide exchange of information regarding practical experience and difficulties encountered in daily radiological monitoring of environment. On the other hand, the symposium intends to focus on the modern nuclear metrological tools, which could be used nowadays to ease the direct remote surveillance of the radiological status of seas, rivers, lakes and earth surface. In the past, these tools were suffering from a lack of sensitivity and reliability and were for that reason mainly used for health physics control that didn't require such a high accuracy. New systems are now at the disposal of the mathematical model users and radioecologists for investigating radioactive contaminants dispersion in normal conditions as well as in case of incidents. On basis of acquired experience and metrology progress, the final object of the symposium is to help the environment radioprotection world, to harmonise its rules, and thus to perform in the future a realistic and useful radiological monitoring. [fr

  3. Proceedings of the Fifth Symposium of the Croatian Radiation Protection Association

    International Nuclear Information System (INIS)

    Krajcar Bronic, I.; Miljanic, S.; Obelic, B.

    2003-01-01

    Croatian Radiation Protection Association (CRPA) organised symposium with international participation. Co-organisers (Rudjer Boskovic Institute, Zagreb, Croatia and Institute for Medical Research and Occupational Health, Zagreb, Croatia) show importance of this symposium, which was under the auspices of several ministries (Ministry of Economy, Ministry of Environmental Protection and Physical Planning, Ministry of Health, Ministry of Science and Technology of the Republic of Croatia) and State Office for Standardization and Metrology. All topics are of great interest for Croatia. They present recent researches in Croatia and in other almost same oriented countries in Europe.The distribution of topics at the Fifth symposium shows same parts with articles from radioecology and radon, biological and medical topics, as well as professional and public exposure and dosimetry. Also, non-ionising radiations take its share as very interesting topics at present. (S.P.)

  4. Proceedings of the Sixth Symposium of the Croatian Radiation Protection Association

    International Nuclear Information System (INIS)

    Garaj-Vrhovac, V.; Kopjar, N.; Miljanic, S.

    2005-01-01

    Croatian Radiation Protection Association (CRPA) organised symposium with international participation. Co-organisers (Rudjer Boskovic Institute, Zagreb, Croatia and Institute for Medical Research and Occupational Health, Zagreb, Croatia) show importance of this symposium, which was under the auspices of several ministries (Ministry of Economy, Labour and Entrepreneurship, Ministry of Environmental Protection, Physical Planning and Construction, Ministry of Science, Education and Sports of the Republic of Croatia) and State Institute of Radiation Protection, State Office for Standardization and Metrology and APO Ltd. - Hazardous Waste Management Agency. All topics are of great interest for Croatia. They present recent researches in Croatia and in other almost same oriented countries in Europe.The distribution of topics at the Sixth symposium shows same parts with articles from radiation dosimetry and instrumentation, biological effects of radiation, public exposure, radiation protection in medicine, as well as radioecology. Also, non-ionising radiations take their share as very interesting topics at present. (S.P.)

  5. 11. mednarodni simpozij o managementu in družbeni odgovornosti = 11th International Symposium on Organisational Science Development

    Directory of Open Access Journals (Sweden)

    Aleksander Janes

    2008-12-01

    Full Text Available In this paper we present the organisation and execution of the 11th International Symposium on Organisational Science Development, entitled Management and Social Responsibility, which is organised by the Faculty of Organisational Sciences in Belgrade. The Symposium is already a traditional event and it has taken place in Zlatibor for many years, but this year it was held in Belgrade itself in order to celebrate the 200th anniversary of the University of Belgrade. The fact that more than 450 authors and co-authors, coming from Serbia, Bosnia and Herzegovina, the Czech Republic, Montenegro, Croatia, Israel, Macedonia, Germany, Slovakia, Slovenia, the USA, et al., attended the symposium clearly confirms the topicality of conference’s contents in all areas of management, for example in the area of product and service development, finances, quality, informatics, human resources and many others.

  6. Proceedings of the Fifth Symposium of the Croatian Radiation Protection Association; Zbornik radova Petog simpozija Hrvatskog drustva za zastitu od zracenja

    Energy Technology Data Exchange (ETDEWEB)

    Krajcar Bronic, I; Miljanic, S; Obelic, B [eds.

    2003-07-01

    Croatian Radiation Protection Association (CRPA) organised symposium with international participation. Co-organisers (Rudjer Boskovic Institute, Zagreb, Croatia and Institute for Medical Research and Occupational Health, Zagreb, Croatia) show importance of this symposium, which was under the auspices of several ministries (Ministry of Economy, Ministry of Environmental Protection and Physical Planning, Ministry of Health, Ministry of Science and Technology of the Republic of Croatia) and State Office for Standardization and Metrology. All topics are of great interest for Croatia. They present recent researches in Croatia and in other almost same oriented countries in Europe.The distribution of topics at the Fifth symposium shows same parts with articles from radioecology and radon, biological and medical topics, as well as professional and public exposure and dosimetry. Also, non-ionising radiations take its share as very interesting topics at present. (S.P.)

  7. Proceedings of the Sixth Symposium of the Croatian Radiation Protection Association; Zbornik radova Sestog simpozija Hrvatskog drustva za zastitu od zracenja

    Energy Technology Data Exchange (ETDEWEB)

    Garaj-Vrhovac, V; Kopjar, N; Miljanic, S

    2005-07-01

    Croatian Radiation Protection Association (CRPA) organised symposium with international participation. Co-organisers (Rudjer Boskovic Institute, Zagreb, Croatia and Institute for Medical Research and Occupational Health, Zagreb, Croatia) show importance of this symposium, which was under the auspices of several ministries (Ministry of Economy, Labour and Entrepreneurship, Ministry of Environmental Protection, Physical Planning and Construction, Ministry of Science, Education and Sports of the Republic of Croatia) and State Institute of Radiation Protection, State Office for Standardization and Metrology and APO Ltd. - Hazardous Waste Management Agency. All topics are of great interest for Croatia. They present recent researches in Croatia and in other almost same oriented countries in Europe.The distribution of topics at the Sixth symposium shows same parts with articles from radiation dosimetry and instrumentation, biological effects of radiation, public exposure, radiation protection in medicine, as well as radioecology. Also, non-ionising radiations take their share as very interesting topics at present. (S.P.)

  8. Fuel wood symposium; Symposium Energieholz

    Energy Technology Data Exchange (ETDEWEB)

    Wild, C.; Wauer, A. (comps.)

    2001-07-01

    The Bavarian State Institute of Forestry (LWF) organised a 'Fuel Wood Symposium' in Freising-Weihenstephan on 17.11.2000. The purpose of this specialist conference was to give an overview of the use of biomass, especially wood, as an source of energy. (orig.) [German] Die Bayerische Landesanstalt fuer Wald und Forstwirtschaft richtete am 17.11.2000 in Freising-Weihenstephan das 'Symposium Energieholz' aus. Ziel der Fachtagung war es, einen Ueberblick ueber die energetische Nutzung von Biomasse, insbesondere Holz, zu geben. (orig.)

  9. Editorial: 3DIM-DS 2015: Optical image processing in the context of 3D imaging, metrology, and data security

    Science.gov (United States)

    Alfalou, Ayman

    2017-02-01

    Following the first International Symposium on 3D Imaging, Metrology, and Data Security (3DIM-DS) held in Shenzhen during september 2015, this special issue gathers a series of articles dealing with the main topics discussed during this symposium. These topics highlighted the importance of studying complex data treatment systems and intensive calculations designed for high dimensional imaging and metrology for which high image quality and high transmission speed become critical issues in a number of technological applications. A second purpose was to celebrate the International Year of Light by emphasizing the important role of optics in actual information processing systems.

  10. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  11. Collection of abstracts. 6. national symposium on radiation dosimetry

    International Nuclear Information System (INIS)

    1983-08-01

    Abstracts are given of the total of 137 papers presented at the symposium. The papers discussed radiation dosimetry methods, dosemeters and detectors, the metrology and calibration of radiation sources, calibration standards, and radioactivity monitoring. (J.P.)

  12. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  13. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  14. Memorial Symposium for Willibald Jentschke

    CERN Multimedia

    2002-01-01

    Willibald 'Willi' Jentschke, Director General of CERN from 1971 to 1975 and founder of the DESY Laboratory in Hamburg, died last March, just a few months after celebrating his 90th birthday. At that time, the Bulletin dedicated an article to him (Bulletin n°19-20/2002). Now, CERN has organised a Memorial Symposium for next Thursday 31 October, where you are cordially invited. This tribute will include the following speechs: L. Maiani : Welcome E. Lohrmann : Message from DESY H. Schopper : Willi Jentschke M. Veltman and D. Perkins : The Neutral Currents K. Johnsen : The ISR in Jentschke's time K. Winter : Some recollections of Jentschke The Memorial Symposium will take place in the Council Chamber, Thursday 31 October at 15 hrs. Drinks will be served at 17:30 hrs following the symposium.

  15. Symposium "Balans in Duurzaamheid"; 4 juni 2002 Rolzaal, Binnenhof, Den Haag

    NARCIS (Netherlands)

    Duijvenbooden W van; Poolman AM; MNP/Sector 5

    2002-01-01

    The symposium on 'Balance in Sustainability' was organised by the Office for Environmental Assessment (MNP) of the RIVM in The Hague on June 4th 2002. Mr Van Egmond, Director of the MNP started off the symposium with a presentation on the structure and the content of a 'Balance in Sustainability'.

  16. NuPEER Dijon 2005 Symposium. Ageing issues in nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Emond, David (ed.) [BCCN, Autorite de Surete Nucleaire, ASN, 6, place du Colonel Bourgoin, 75572 Paris Cedex 12 (France)

    2005-07-01

    The French Nuclear Safety Authority (ASN) organized an international symposium on regulatory aspects of ageing issues for nuclear pressure equipment. The ageing of nuclear pressure equipment is an issue of growing importance for nuclear regulators and material experts worldwide as age-related degradation of major pressure-retaining components challenges the remaining operating life of nuclear power plants. This symposium aimed at providing a forum for technical exchange among the staffs responsible for nuclear pressure equipment within the safety authorities and the associated expertise organisations. The contents of the symposium is as follows: 1. Control and supervision of safety of nuclear pressure equipment in France and abroad; 1.1. Position of the French Nuclear Safety Authority (1 paper); 1.2. Regulatory practices worldwide (4 papers); 1.3. Licence renewal: Field experience (2 papers); 1.4. Role of international organisations (1 paper); 2. Management of equipment and materials: From design to degradation mechanisms; 2.1. Operation and equipment (4 papers); 2. Evolution of materials (4 papers); 2.3. Fatigue degradation mechanisms (3 papers); 2.4. Contribution of research and development (4 papers); 3. In-service inspection: Evolutions, methods and strategies; 3.1. Methods and evolution (1 paper); 3.2. Qualification of methods (2 papers); 3.3. Surveillance strategies (2 papers); 4. Testimonies and points of view of utilities (3 papers); 5. Ageing issues taken into account in non nuclear fields (2 papers). The symposium began with workshops devoted to: Operation and equipment; Behaviour of materials; Fatigue degradations; Contributions of research and development. The symposium continued with plenary session that addressed the following issues: Control and supervision of safety of nuclear pressure equipment; Role of international organisations; In-service inspection: Objectives, methods and strategies; Point of view of utilities; Technical summary and

  17. XV ESLAB Symposium

    CERN Document Server

    1981-01-01

    The 15th ESLAB symposium was held at the end of June 1981 in Amsterdam with the topic being X-ray astronomy. The aim of this symposium was to bring together the international astrophysical community in order to 1. review the present state of X-ray astronomy in the light of new observations gathered in recent missions and to review data on interesting objects in correlated wavelen8th regions; 2. discuss theoretical models describing the phenomena observed; 3. present ESA's European X-ray Observatory Satellite (EXOSAT) and to discuss future X-ray missions and their associated instrumenta­ tion. These topics seemed to be so interesting for the scientific community that more than 120 contributions were submitted. Of these, 94 were finally accepted and approximately 200 participants attended the 5-day meeting. The symposium was organised in nine sessions covering the whole field. Every main topic was introduced by a review lecture covering the state­ of-the-art. The aim of the meeting was to assess the impact of...

  18. Proceedings of the BRNS-AEACI first symposium on current trends in analytical chemistry: book of abstracts

    International Nuclear Information System (INIS)

    Reddy, A.V.R.

    2015-01-01

    The symposium was very useful for the scientists on various aspects of current trends in analytical chemistry like separation science, speciation, nuclear analytical techniques, thermo analytical techniques, electro analytical techniques, spectrochemical and microscopic techniques, environmental studies, geochemical studies, chemical metrology, analytical instrumentation. Papers relevant to INIS are indexed separately

  19. Indian symposium reviews tsunami response

    Directory of Open Access Journals (Sweden)

    Paula Banerjee

    2005-07-01

    Full Text Available A symposium of academics and human rights activists organised by the Calcutta Research Group assessed the extent to which relief and rehabilitation initiatives in Tamil Nadu and the Andaman and Nicobar islands have recognised the rights of those affected to receive aid without discrimination based on caste, religion or gender.

  20. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  1. Fifth International Symposium on the Natural Radiation Environment

    International Nuclear Information System (INIS)

    Porstendoerfer, J.; Swedjemark, G.A.; Baeverstam, U.; Lowder, W.M.; Miller, K.M.; Fisenne, I.M.

    1993-01-01

    The fifth International Symposium on the Natural Radiation Environment organized a series of tutorial sessions. One of the five major fields concerned with the radon issue. The tutorials dealt with important issues of the radon problem and covered the following aspects: Cosmic and Terrestrial Gamma Radiation Measurement, Properties and Behaviour of Radon and Thoron and Their Decay Products in the Air, Radon and Radon Daughters Metrology: Basic Aspects Long Lived Radionuclides in the Environment, in Food and in Human Beings, Design and Analysis of Radon Surveys with Epidemiological Utility

  2. Memorial Symposium for Victor Weisskopf

    CERN Multimedia

    2002-01-01

    Victor 'Viki' Weisskopf, former Director General of CERN from 1961 to 1965, passed away five months ago. At that time, the Bulletin dedicated its coverpage to this brilliant physicist (19-20/2002). Now, CERN has organised a Memorial Symposium for next Tuesday 17 September, where you are cordially invited. This tribute will include the following speechs: L. Maiani: Welcome J. D. Jackson: Highlights from the career and scientific works of Victor F. Weisskopf M. Hine and K. Johnsen: Working with Viki at CERN M. Jacob: Knowledge and Wonder A member of Viki's family: Reminiscences. The Memorial Symposium will take place in the Main Auditorium at 15h. Drinks will be served in Pas Perdus at 17h 30.

  3. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  4. Ethical Consideration in Livestock Breeding : proceedings of the first lowinputbreeds symposium, Wageningen 15-16 March 2011

    NARCIS (Netherlands)

    Leenstra, F.R.; Jensen, K.K.; Butler, G.; Baker, B.; Willer, H.; Maurer, V.

    2011-01-01

    The aim of the symposium was to discuss the plans and progress in LowInputBreeds in an early stage of the EU-project with stakeholders. For the symposium farmers and policy workers from governmental and non-governmental organisations were invited. Special attention was paid to possible ethical

  5. Symposium 2 of JENAM

    CERN Document Server

    Pasquali, Anna; Environment and the Formation of Galaxies : 30 years later

    2011-01-01

    The publication of the morphology - density relation by Alan Dressler in 1980 brought into the limelight the role played by environment in the formation and evolution of galaxies. The symposium Environment and the Formation of Galaxies: 30 years later, was organised with the purpose of establishing the environmental impact on the evolution of galaxies and its dependence on look-back time. Special emphasis was placed on the physical mechanisms that are responsible for transforming galaxies once they are accreted by a group or a cluster, including the observable imprint left in the galaxy HI distribution. Other major topics of the symposium were the environmental dependence of galaxy properties at z ≥ 1 and the implementation of environmental effects in cosmological models of galaxy formation and evolution. This book presents the edited proceedings of this stimulating meeting.

  6. EMRS Spring Meeting 2014 Symposium D: Phonons and fluctuations in low dimensional structures

    International Nuclear Information System (INIS)

    2014-01-01

    The E-MRS 2014 Spring meeting, held from 26-30th May 2014 in Lille included the Symposium D entitled ''Phonons and Fluctuations in Low Dimensional Structures'', the first edition of its kind. The symposium was organised in response to the increasing interest in the study of phonons in the context of advances in condensed matter physics, electronics, experimental methods and theory and, in particular, the transfer of energy across atomic interfaces and the propagation of energy in the nm-scale. Steering heat by light or vice versa and examining nano-scale energy conversion (as in thermoelectricity and harvesting e.g. in biological systems) are two aspects that share the underlying science of energy processes across atomic interfaces and energy propagation in the nanoscale and or in confined systems. The nanometer scale defies several of the bulk relationships as confinement of electrons and phonons, locality and non-equilibrium become increasingly important. The propagation of phonons as energy carriers impacts not only heat transfer, but also the very concept and handling of temperature in non-equilibrium and highly localised conditions. Much of the needed progress depends on the materials studied and this symposium targeted the interface material aspects as well as the emerging concepts to advance in this field. The symposium had its origins in a series of meetings and seminars including: (1) the first Phonon Engineering Workshop, funded by Catalan Institute for Research and Advanced Studies (ICREA), the then MICINN, the CNRS, VTT, and several EU projects, held in Saint Feliu de Guixols (Girona, Spain) from 24th to 27th of May 2010 with 65 participants from Europe, the USA and Japan; (2) the first Phonons and Fluctuations workshop, held in Paris on 8th and 9th November 2010, supported by French, Spanish and Finnish national projects and EU projects, attended by about 50 researchers; (3) the second Phonon and Fluctuations workshop, held in Paris

  7. EMRS Spring Meeting 2014 Symposium D: Phonons and fluctuations in low dimensional structures

    Science.gov (United States)

    2014-11-01

    The E-MRS 2014 Spring meeting, held from 26-30th May 2014 in Lille included the Symposium D entitled ''Phonons and Fluctuations in Low Dimensional Structures'', the first edition of its kind. The symposium was organised in response to the increasing interest in the study of phonons in the context of advances in condensed matter physics, electronics, experimental methods and theory and, in particular, the transfer of energy across atomic interfaces and the propagation of energy in the nm-scale. Steering heat by light or vice versa and examining nano-scale energy conversion (as in thermoelectricity and harvesting e.g. in biological systems) are two aspects that share the underlying science of energy processes across atomic interfaces and energy propagation in the nanoscale and or in confined systems. The nanometer scale defies several of the bulk relationships as confinement of electrons and phonons, locality and non-equilibrium become increasingly important. The propagation of phonons as energy carriers impacts not only heat transfer, but also the very concept and handling of temperature in non-equilibrium and highly localised conditions. Much of the needed progress depends on the materials studied and this symposium targeted the interface material aspects as well as the emerging concepts to advance in this field. The symposium had its origins in a series of meetings and seminars including: (1) the first Phonon Engineering Workshop, funded by Catalan Institute for Research and Advanced Studies (ICREA), the then MICINN, the CNRS, VTT, and several EU projects, held in Saint Feliu de Guixols (Girona, Spain) from 24th to 27th of May 2010 with 65 participants from Europe, the USA and Japan; (2) the first Phonons and Fluctuations workshop, held in Paris on 8th and 9th November 2010, supported by French, Spanish and Finnish national projects and EU projects, attended by about 50 researchers; (3) the second Phonon and Fluctuations workshop, held in Paris on 8th and 9th

  8. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  9. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  10. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  11. Proceedings. Protection of the natural environment. International symposium on ionising radiation

    International Nuclear Information System (INIS)

    Amiro, B.; Johansson, Gunnar; Larsson, Carl-Magnus; Luening, M.

    1996-01-01

    The symposium was organised jointly by the Swedish Radiation Protection Institute and the Atomic Energy Control Board of Canada. The programme was organised around six major topics: Biological effects of ionising radiation; Ecological effects of ionising radiation; Behaviour and transport of radionuclides in the natural environment; Criteria for environmental protection; Assessment methodology; and Social and economic aspects. All 86 contributions (excluding the opening addresses) have been separately indexed

  12. Scandinavian Symposium on Reactor Waste

    International Nuclear Information System (INIS)

    1981-09-01

    More than 100 delegates forom the Scandinavian countries were gathered for a symposium on September 14-16 1981 at Kungaelv Sweden to discuss nuclear reactor waste. The organisation for the handling of radioactive waste at different countries was presented and the principles of radioactive safety were discussed. The planning of the deposition and storage of waste was described. The proceedings are reproduced on some twenty papers, a number of them written in English. (G.B.)

  13. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  14. VII International Symposium and Young Scientists School “Modern Problems of Laser Physics”

    International Nuclear Information System (INIS)

    2017-01-01

    General Information This volume of the Journal is devoted to the VII International Symposium and Young Scientists School “Modern Problems of Laser Physics” (MPLP-2016). I was held in Novosibirsk Akademgorodok, Russia, 22–28 August 2016. Akademgorodok is the well-known Siberian Scientific Centre of Russian Academy of Sciences (RAS). It was founded in 1957. Since that time it is the place of many international scientific meetings, because it reflects the unique and fruitful symbiosis of many research institutions and Novosibirsk State University at one territory. Since the first MPLP meeting in 1995 the Symposium usually gathers scientists from many countries, carrying out their investigations at the forefront of laser physics, quantum metrology and high-resolution spectroscopy, physics of ultracold atoms, molecules and ions, atom optics, ultrafast phenomena and attoscience, quantum optics and information, nonlinear optics and applications of laser radiation from THz to UV radiation ranges in medicine, geophysics, chemistry and microbiology. Traditionally the Symposium is the place where scientists can discuss new trends in modern laser physics, generate new ideas as well as initiate further collaborations. (paper)

  15. Abstracts book: asia-pacific symposium on radiochemistry-05 (APSORC-05)

    International Nuclear Information System (INIS)

    2005-01-01

    The third asia-pacific symposium on radiochemistry was held in Beijing, China October 17-21, 2005, the major topics of the symposium are as follows: 1. Nuclear reactions (including fission), nuclear decay/structure, and radioactive isotope beams. 2. Sciences of actinide, heavy and superheavy elements. 3. Nuclear analytical techniques using neutrons, ions, X-rays, synchrotron radiation, etc. 4. Applications of nuclear and radiochemical techniques in life sciences; nanomaterial sciences, environmental sciences, archaeological sciences, geo- and cosmo-sciences, isotopic battery, ect. 5. Nuclear probes in new material sciences: Mossbauer, perturbed angular correlations, positron annihilation, etc. 6. Radiopharmaceutical chemistry (including Tc chemistry) and radioisotope production. 7. Nuclear energy chemistry, including fuel reprocessing, nuclear reactor chemistry, uranium conversion, waste management and disposal, etc. 8. Environmental radiochemistry (including nuclides migration) and radioecology. 9. Radiochemical separation methods and chemical speciation; radiation dosimetry and metrology. 10. Issues on education of nuclear and radiochemistry. Total 312 peer-reviewed abstracts were contained in the abstracts book.

  16. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  17. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  18. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  19. Search for life - VSV symposium 2011; an account of the wonderful symposium organised by the VSV 'Leonardo da Vinci'

    NARCIS (Netherlands)

    Noordam, N.

    2011-01-01

    On March 8th, the Search for Life symposium took place in the TU Delft Auditorium. A day full of new visions on the hunt for extraterrestrial life was programmed. The TU Delft Auditorium provided the perfect playground for the 180 attendants to exchange thoughts on this topic

  20. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  1. Symposium on Recent Advances in Experimental Mechanics : in honor of Isaac M. Daniel

    CERN Document Server

    2002-01-01

    This book contains 71 papers presented at the symposium on “Recent Advances in Experimental Mechanics” which was organized in honor of Professor Isaac M. Daniel. The symposium took place at Virginia Polytechnic Institute and State University on th June 23-28, 2002, in conjunction with the 14 US National Congress of Applied Mechanics. The book is a tribute to Isaac Daniel, a pioneer of experimental mechanics and composite materials, in recognition of his continuous, original, diversified and outstanding contributions for half a century. The book consists of invited papers written by leading experts in the field. It contains original contributions concerning the latest developments in experimental mechanics. It covers a wide range of subjects, including optical methods of stress analysis (photoelasticity, moiré, etc.), composite materials, sandwich construction, fracture mechanics, fatigue and damage, nondestructive evaluation, dynamic problems, fiber optic sensors, speckle metrology, digital image process...

  2. Materials of All-Polish Symposium Nuclear Techniques in Industry, Medicine, Agriculture and Environment Protection

    International Nuclear Information System (INIS)

    2005-01-01

    The All-Polish Symposium Nuclear Techniques in Industry, Medicine, Agriculture and Environment Protection is cyclic (in 3 year period) conference being a broad review of state of art and development of all nuclear branches cooperated with industry and other branches of national economy and public life in Poland. The conference has been divided in one plenary session and 6 problem sessions as follow: Environmental protection, earth sciences, protection of cultural objects; Industrial applications; applications in medicine, medical apparatus; measurement methods, simulations, experiment planning; radiation techniques; laboratories, metrology

  3. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  4. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  5. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  6. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  7. Proceedings of the European Symposium on Pressure Equipment - ESOPE 2004

    International Nuclear Information System (INIS)

    2004-01-01

    The symposium on Pressure Equipment Technology, organised every three years by the AFIAP (Association Francaise des Ingenieurs en Appareils a Pression), for the second time is organised at the European level. It is the 'European Symposium on Pressure Equipment, ESOPE 2004'. This symposium is placed under the patronage of the Minister of Industry. The central theme of ESOPE 2001 has been the European Directives for Fixed Equipment (PED) and for Transportable Equipment (TPED). ESOPE 2004 will be a state on the application of these Directives after 3 years of use. The first plenary session will made the comparison of some National codes with EN13445 standard and of the evolution of the Asme code. But the principal theme of the second plenary session and the panel, where European experts will answer to your questions, will be on 'Pressure equipment life management'. It therefore seems important to incorporate this subject into the agenda of this symposium in order to allow widespread dialogue between the industrial members concerned. Our association, AFIAP, has the advantage of regrouping all the industrial partners concerned, from the end user and the administrative body responsible for equipment safety, to the pressure equipment fabricator and his materials suppliers, also including those bodies responsible for design, inspection and certification. To improve availability of equipment and reduce production costs the most efficient way is the development of technology. It is essential to improve competitiveness of European companies. Research is necessary, but the results must be available to everyone, including small and medium-sized industries. ESOPE 2004 has 3 technical sessions in parallel, which will be followed, by many fruitful exchanges of experience: - design/materials - fabrication/welding/inspection - fitness for service. You will find on a CD-Rom all the contributions which have been presented. (authors)

  8. Symposium on operational and environmental issues concerning use of water as a coolant in power plants and industries: proceedings

    International Nuclear Information System (INIS)

    2008-12-01

    The symposium is organised to bring together researchers, plant operators and regulatory agencies working in the area of operational and environmental problems associated with use of water as a coolant in power plants and other allied industries. The symposium targets chemists, biologists, environmental scientists, power plant operating engineers and plant designers working in various academic, governmental and non-governmental organisations. The major themes of the symposium are: water chemistry of coolant systems in power plants and other industries, chemistry of primary and moderator systems in nuclear power plants and research reactors, corrosion issues including Flow-Accelerated Corrosion (FAC) and its control in water coolant systems, chemistry of steam and water at elevated temperature in nuclear power plants, once through steam generator chemistry, industrial fire water systems, ion-exchange purification, innovative water treatment in power and industrial units, chemical cleaning and chemical decontamination, biofouling and biocorrosion, cooling water treatment chemicals and their environmental fate and environmental impact of thermal effluents. Papers relevant to INIS are indexed separately

  9. The Safety Case for Deep Geological Disposal of Radioactive Waste: 2013 State of the Art. Symposium Proceedings, 7-9 October 2013, Paris, France

    International Nuclear Information System (INIS)

    2014-01-01

    In 2007, the Nuclear Energy Agency (NEA), in concert with the International Atomic Energy Agency (IAEA) and the European Commission (EC), organised a Symposium, entitled 'Safety Cases for the Deep Disposal of Radioactive Waste: Where Do We Stand?' (NEA, 2008). Since then, there have been major developments in a number of national geological disposal programmes and significant experience has been obtained in preparing and reviewing cases for the operational and long-term safety of proposed and operating geological repositories. Especially, three national programmes are now, or will shortly be, at the stage of licence application for a deep geological repository for the disposal of spent nuclear fuel or high-level and other long-lived radioactive waste. Thus, the purpose of this Symposium, 'The Safety Case for Deep Geological Disposal of Radioactive Waste: 2013 State of the Art', was to assess the practice, understanding and roles of the safety case, as applied internationally at all stages of repository development, including the interplay of technical, regulatory and societal issues, as they have developed since 2007. In particular, the symposium aims were: - to share experiences on preparing for, developing and documenting a safety case from both the implementer's and reviewer's perspectives; - to share developments in requirements, expectations and experience gained in judging the adequacy of safety cases; - to identify issues that may arise as repository programmes mature; - to understand the importance of a safety case in promoting and gaining societal confidence; - to gain experience from other fields of industry and technology in which concepts similar to the safety case are applied; - to receive indications useful to the future working programme of the NEA and other international organisations. The symposium was organised into main plenary sessions covering: - international activities and experience related to the safety case since 2007, including

  10. Standardization of Radionuclides. Proceedings of a Symposium on Standardization of Radionuclides

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1967-03-15

    Proceedings of a Symposium organized by the IAEA and held in Vienna, 10-14 October 1966. This meeting was a sequel to the Symposium on the same subject held in 1959 (Metrology of Radionuclides, IAEA, Vienna (1960)) and was attended by 135 participants from 28 Member States and 3 international organizations. Contents: Survey papers (3 papers); Liquid scintillation counting (5 papers); 4 {pi} p.c. {gamma}-coincidence counting (11 papers); Other coincidence methods (3 papers); 4 {pi} p.c. {gamma}-coincidence calibration of special nuclides (4 papers); Internal gas counting (2 papers); Calibration of electron capture nuclides (7 papers); Solid angle counting (1 paper); Relative methods (7 papers); Source preparation and associated techniques (10 papers); Calorimetric methods (3 papers); Determination of disintegration parameters (3 papers); Computer techniques (2 papers); Miscellaneous (7 papers). Each paper is in its original language (44 English, 12 French, 10 Russian and 2 Spanish) and is preceded by an abstract in English and one in the original language if this is not English. Discussions are in English. (author)

  11. Standardization of Radionuclides. Proceedings of a Symposium on Standardization of Radionuclides

    International Nuclear Information System (INIS)

    1967-01-01

    Proceedings of a Symposium organized by the IAEA and held in Vienna, 10-14 October 1966. This meeting was a sequel to the Symposium on the same subject held in 1959 (Metrology of Radionuclides, IAEA, Vienna (1960)) and was attended by 135 participants from 28 Member States and 3 international organizations. Contents: Survey papers (3 papers); Liquid scintillation counting (5 papers); 4 π p.c. γ-coincidence counting (11 papers); Other coincidence methods (3 papers); 4 π p.c. γ-coincidence calibration of special nuclides (4 papers); Internal gas counting (2 papers); Calibration of electron capture nuclides (7 papers); Solid angle counting (1 paper); Relative methods (7 papers); Source preparation and associated techniques (10 papers); Calorimetric methods (3 papers); Determination of disintegration parameters (3 papers); Computer techniques (2 papers); Miscellaneous (7 papers). Each paper is in its original language (44 English, 12 French, 10 Russian and 2 Spanish) and is preceded by an abstract in English and one in the original language if this is not English. Discussions are in English. (author)

  12. Rapporteurs report of the symposium

    International Nuclear Information System (INIS)

    Myerscough, Dan

    2014-01-01

    The objective of the symposium was to share current practice, experiences and innovations within the management of contaminated metallic radioactive material. The symposium was a forum for: Learning about current practices, Highlight strategic issues related to metals recycling, Exchange of experiences, Discussion of innovative and new techniques and needs for improvements, Developing and maintenance of networks in the area of metals recycling. The aim was to bring together operators, regulators, decision makers, scientists, consultants, contractors and other stakeholders. A short introduction by representatives from Studsvik, IAEA and OECD/NEA started the symposium followed by presentations by invited speakers from international organisations. Seven topical sessions covered issues relating to: 1 - Regulations and recommendations: - International recommendations and national legislation, - Application of regulations, - Regulator views; 2 - Minimising waste amounts: - Experience in minimising the generation of waste in the form of radioactive or potentially radioactive metals, - Activities to minimise the waste volumes for disposal; 3 - Characterisation and categorisation of metals to be recycled; 4 - Decontamination of metals for clearance; 5 - Melting of metals for clearance, reuse or volume reduction; 6 - Best practice in management of metals for clearance and recycling; 7 - Sustainability and public acceptance. Each session contained three to five presentations and group discussions. Each session was concluded with a short analysis of the presentations and the outcome of the group discussions. Conclusions of each session and outcome of the group discussions were presented on a Summing up the third day. The symposium also held a poster session with topics as above. This report provides a short summary of the various presentations and discussions concentrating on the key messages and outcomes of the sessions

  13. Self-organization in Complex Systems The Past, Present, and Future of Synergetics : International Symposium

    CERN Document Server

    Pelster, Axel

    2016-01-01

    This proceedings volume contains talks and poster presentations from the International Symposium "Self-Organization in Complex Systems: The Past, Present, and Future of Synergetics", which took place at Hanse-Wissenschaftskolleg, an Institute of Advanced Studies, in Delmenhorst, Germany, during the period November 13 - 16, 2012. The Symposium was organized in honour of Hermann Haken, who celebrated his 85th birthday in 2012. With his fundamental theory of Synergetics he had laid the mathematical-physical basis for describing and analyzing self-organization processes in a diversity of fields of research. The quest for common and universal principles of self-organization in complex systems was clearly covered by the wide range of interdisciplinary topics reported during the Symposium. These extended from complexity in classical systems and quantum systems over self-organisation in neuroscience even to the physics of finance. Moreover, by combining a historical view with a present status report the Symposium con...

  14. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  15. 3rd Symposium on Space Optical Instruments and Applications

    CERN Document Server

    Zhang, Guangjun

    2017-01-01

    This volume contains selected and expanded contributions presented at the 3rd Symposium on Space Optical Instruments and Applications in Beijing, China June 28 – 29, 2016. This conference series is organised by the Sino-Holland Space Optical Instruments Laboratory, a cooperation platform between China and the Netherlands. The symposium focused on key technological problems of optical instruments and their applications in a space context. It covered the latest developments, experiments and results regarding theory, instrumentation and applications in space optics. The book is split across five topical sections. The first section covers space optical remote sensing system design, the second advanced optical system design, the third remote sensor calibration and measurement. Remote sensing data processing and information extraction is then presented, followed by a final section on remote sensing data applications. .

  16. Proceedings [of the] symposium on zirconium alloys for reactor components

    International Nuclear Information System (INIS)

    1992-01-01

    A two day symposium on zirconium alloys for reactor components (ZARC-91) was organised during 12-13, 1991. There were 6 invited talks and 43 contributed papers in 10 technical sessions. This symposium, took stock of the progress achieved in the development, design, fabrication and quality assurance of zirconium alloy components and emphasized the R and D efforts required for meeting the challenges posed by the rapid growth of nuclear power in our country. Topics like physical metallurgy, corrosion and irradiation behaviour, and in-service inspection were also covered. The proceedings/papers are arranged under the headings: (1)invited talks, (2)fabrication, (3)design requirement, (4)quality assurance, (5)irradiation damage and PIE, (6)corrosion and hydriding, and (7)in-service inspection. (N.B.). refs., figs., tabs

  17. 8th International Symposium on Unsteady Aerodynamics and Aeroelasticity of Turbomachines

    CERN Document Server

    1998-01-01

    Twenty-one years have passed since the first symposium in this series was held in Paris (1976). Since then there have been meetings in Lausanne (1980), Cambridge (1984), Aachen (1987), Beijing (1989), Notre Dame (1991) and Fukuoka (1994). During this period a tremendous development in the field of unsteady aerodynamics and aeroelasticity in turbomachines has taken place. As steady-state flow conditions become better known, and as blades in the turbomachine are constantly pushed towards lower weight, and higher load and efficiency, the importance of unsteady phenomena appear more clearly. th The 8 Symposium was, as the previous ones, of high quality. Furthermore, it presented the audience with the latest developments in experimental, numerical and theoretical research. More papers than ever before were submitted to the conference. As the organising committee wanted to preserve the uniqueness of the symposium by having single sessions, and thus mingle speakers and audience with different backgrounds in this int...

  18. Proceedings of the third resilience engineering symposium

    International Nuclear Information System (INIS)

    Hollnagel, Erik; Pieri, Francois; Rigaud, Eric

    2008-01-01

    The proceeding from Third Resilience Engineering Symposium collects the papers presented on October 28-30, 2008, in Antibes-Juan-les-Pins, France. The Symposium provided a much appreciated forum for people working within the area of Resilience Engineering to become updated with the latest scientific achievements as well as more practical oriented applications, and exchange views and idea within the area. Resilience Engineering represents a new way of thinking about safety that has already given rise to several practical applications. In contrast to established risk management approaches that are based on hindsight and emphasise error tabulation and calculation of failure probabilities, Resilience Engineering looks for ways to enhance the ability of organisations to create processes that are robust yet flexible, to monitor and revise risk models, and to use resources pro-actively in the face of disruptions or ongoing production and economic pressures. In Resilience Engineering failures do not stand for a breakdown or malfunctioning of normal system functions, but rather represent the converse of the adaptations necessary to cope with the real world complexity. Individuals and organisations must always adjust their performance to the current conditions; and because resources and time are finite it is inevitable that such adjustments are approximate. Success has been ascribed to the ability of groups, individuals, and organisations to anticipate the changing shape of risk before damage occurs; failure is simply the temporary or permanent absence of that. Three papers were selected for INIS, these are: - Resilience and Brittleness in a Nuclear Emergency Response Simulation: Focusing on Team Coordination Activity (Costa, W.S. et al.); - Resilience and the Training of Nuclear Operators - A View from the Shop Floor (Hildebrandt, M. et al.); and - Complexity of Resilient Power Distribution Networks (May, M.)

  19. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  20. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  1. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  2. 3. SEGMITE International Symposium on Sustainable Development of Surface and Ground Water Resources

    International Nuclear Information System (INIS)

    Tabrez, A.R.

    1999-01-01

    The Society of Economic Geologist and Mineral Technologist (SEGMITE), National Institute of Oceanography (NIO) and Association of Geo-scientists for International Development with the collaboration of Export Promotion Bureau, Government of Pakistan has organised this symposium. The third Segmite International Symposium on Sustainable Development of surface and ground water resources was held on 8-10 march 1999 at Karachi, Pakistan. This book gives the conference information, brochure and abstracts of papers presented in the conference. There are about 38 abstracts submitted for the conference and related nature of the materials. Out of these 38 papers 16 are of nuclear oriented which are presented here separately. (A.B.)

  3. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  4. ICAN Symposium | Can the future of accelerators be fibers? | 27-28 June

    CERN Multimedia

    2013-01-01

    The International Coherent Amplification Network (ICAN) will be holding a symposium on new fiber-based accelerator concepts at CERN, from 27 to 28 June 2013.   Concluding its EU-supported feasibility study, the ICAN Consortium reported on a revolutionary laser architecture that could for the first time accelerate particles to very high energy, at high repetition rate with good wall-plug efficiency. To highlight this new possibility - and illustrating the vitality and leadership of European science, the École Polytechnique (France), the Optoelectronics Research Centre (UK), the University of Jena (Germany) and CERN are organising an ICAN symposium where details of this novel laser architecture will be presented. The ICAN symposium will be held at CERN from 27 to 28 June in the presence of colleagues from institutes and industry to discuss the status of the research and prepare the groundwork for a HORIZON 2020 proposal. For the full ICAN meeting schedule, click here. For more informati...

  5. Life cycle assessment of products and technologies. LCA Symposium

    Energy Technology Data Exchange (ETDEWEB)

    Koukkari, H.; Nors, M. (eds.)

    2009-12-15

    VTT Technical Research Centre of Finland organised a Symposium 'Life Cycle Assessment of Products and Technologies' on the 6th of October, 2009. The Symposium gave a good overview of methods, tools and applications of Life Cycle Assessment developed and utilised in several technology fields of VTT. The 12 Symposium papers deal with recent LCA studies on products and technologies. The scope ranges from beverage cups to urban planning, from inventory databases to rating systems. Topical issues relating to climate change concern biorefineries and the overall impacts of the utilisation of biomass. The calculation of carbon footprints is also introduced through paper products and magazines. One example of LCA tools developed at VTT addresses cement manufacturing. VTT's transport emission database, LIPASTO, was introduced in detail. The use of LCA methods and life cycle thinking is described in various contexts: product development in relation to precision instruments; selection of materials and work processes in relation to sediment remediation project; and procedures of sustainability rating through VTT's office building Digitalo. The Climate Bonus project presented a demonstrated ICT support that informs about the greenhouse gas emissions and carbon footprints of households. (orig.)

  6. Proceedings of the 1987 hydrological sciences symposium. V. 1-2

    International Nuclear Information System (INIS)

    Hughes, D.A.; Stone, A.W.

    1987-01-01

    The availability of water has influenced the development of South Africa over the last 300 years. Considerable enterprise, investment, ingenuity and engineering characterise the present use of water resources in the country. In the decades ahead increasing demands on the finite and often unreliable water resources will require careful integration of the country's scientific, technological and managerial skills related to all scales and to all aspects of man's intervention in the hydrological cycle. The 1987 Hydrological Sciences Symposium in Grahamstown is organised in the spirit that expertise and understanding of surface and ground water aspects of hydrology need to be integrated to provide the optimum solution for many of the water resource problems of Southern Africa. The papers in the two volumes of this pre-published proceedings represent a broad spectrum of activity in the areas of hydrological research, planning and practice that is taking place in South Africa today. The three major groups of organisations; consulting engineers, government departments and institutions and universities are all well represented in the authors' affiliations. This broad spectrum of expertise is important because each of these organisations has a vital role to play in the development of techniques and in the practical application of methods designed to solve water resource problems. There is no claim that the Proceedings represent a definitive 'state of the art' of South Africa hydrological sciences; they do however provide an idea of the range of activities currently in progress within the hydrological and hydrogeological community. Highly specialist contributions were specifically excluded from the symposium

  7. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  8. Symposium | Science, technology, innovation & social responsibility | 11 November

    CERN Multimedia

    2015-01-01

    It is widely recognised that science, technology and innovation are among the most powerful forces driving social change and development today. Their impact on the progress of humanity will be discussed at this symposium.   Wednesday, 11 November, 3 p.m. to 6 p.m. Council Chamber This symposium, organised by CERN and the World Academy of Art & Science (WAAS) under the auspices of United Nations Office at Geneva, will survey the potential impact of scientific and technological innovation in different fields on the progress of humanity in the 21st century and the alternative mechanisms available to ensure socially responsible management of these activities by the research community, business and governments. The introduction will be given by Rolf Heuer, CERN Director-General, Michael Møller, UNOG Director-General, and Heitor Gurgulino de Souza, WAAS President. Registration is mandatory for people who do not hold a CERN access card. The talks will be i...

  9. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  10. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  11. PREFACE: 9th National Symposium on Polymeric Materials (NSPM 2009)

    Science.gov (United States)

    Ali, Aidy; Salit, Sapuan

    2010-07-01

    NSPM 2009 is the formal proceedings of the 9th National Symposium on Polymeric Materials held in Residence Hotel Uniten Bangi on 14-16 December 2009. It is also organised with The Plastics and Rubber Institute Malaysia PRIM. The symposium proceedings consists of 94 papers covering a large number of issues on experimental and analytical studies of polymeric materials. The objectives of the symposium are to review the state-of-the art, present and latest findings and exchange ideas among engineers, researchers and practitioners involved in this field. We strongly hope the outcomes of this symposium will stimulate and enhanced the progress of experimental and analytical studies on polymeric materials as well as contribute to the fundamental understanding in related fields. After careful refereeing of all manuscripts, 15 papers were selected for publications in this issue. Another 20 papers were selected for publication in Pertanika Journal of Science and Technology (PJST). The content of the material and its rapid dissemination was considered to be more important than its form. We are grateful to all the authors for their papers and presentations in this symposium. They are also the ones who help make this symposium possible through their hard work in the preparation of the manuscripts. We would also like to offer our sincere thanks to all the invited speakers who came to share their knowledge with us. We would also like to acknowledge the untiring efforts of the reviewers, research assistants and students in meeting deadlines and for their patience and perseverance. We are indeed honoured to associate this event with Department of Mechanical and Manufacturing, and Faculty of Engineering, Universiti Putra Malaysia. Finally, we appreciate the sponsor support provided by Faculty of Engineering, The Plastics and Rubber Institute Malaysia (PRIM) and PETRONAS Malaysia. Thank you all. Editors: Aidy Ali and S M Sapuan

  12. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  13. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  14. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  15. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  16. Proceedings of the symposium on the application of nuclear technology for socio-economic development of Nigeria

    International Nuclear Information System (INIS)

    The Sheda Science And Technology Complex, The Presidency, Abuja, Nigeria.

    1992-01-01

    This volume of the proceedings of The Symposium On The Application Of Nuclear Technology For Socio-Economic Development Of Nigeria (ANTSED) held at Sheraton Hotel And Towers, Abuja from 17-19 November 1992, contains the full texts of about 13 technical papers and speeches of invited dignitaries presented at the conference. It was organised by Sheda Science And Technology Complex (SHETSCO), The Presidency, Abuja. Speakers at the symposium were drawn from the Universities, International Atomic Energy Agency, Vienna under the TOKTEN Programme of the UN, and the Industries. The topics for the symposium were sufficiently broad-based to meet the objectives of the organizers, namely to inform the society about the benefits of the peaceful uses of nuclear technology in the Agriculture, Industry, Energy and Health Sectors. Safety in the operation of nuclear plants was also one of the topics addressed at the symposium. The papers were fully discussed during the conference and the discussions are included in the volume. The organizing committee wishes to thank all authors for their presentation and cooperation in promptly submitting their manuscripts and the participants for their excellent contributions during the symposium

  17. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  18. Radiation Protection Society of Serbia and Montenegro. Proceedings of 26. Symposium

    International Nuclear Information System (INIS)

    Kovacevic, M.

    2011-09-01

    The Proceedings are a collection of papers presented at the 26. Symposium of the Radiation Protection Society of Serbia and Montenegro, held in Tara, Serbia, 12-14 October 2011. The papers are organised into following sessions: General problems and modern concept of Radiation Protection, Radioecology, Radiation Protection in Medicine, Dosimetry, Biodosimetry, Decontamination, Measuring Instrumentation, Other topics. The papers represent the scientific contributions of 162 authors. The Proceedings were printed in 100 hard copies.

  19. PREFACE: Proceedings of the 7th International LISA Symposium, Barcelona, Spain, 16-20 June 2008

    Science.gov (United States)

    Lobo, Alberto; Sopuerta, Carlos F.

    2009-07-01

    Dr Einstein. The Proceedings of the 7th International LISA Symposium are jointly published by Classical and Quantum Gravity (CQG) and Journal of Physics: Conference Series (JPCS). This formula has a precedent in the last Amaldi Conference (Sydney 2007), and was motivated by the impossibility to fit all communications into a single CQG volume. Plenary speakers were invited to submit their contributions to CQG, and so were a number of parallel session authors chosen by the session convenors and the Science Organising Committee (SOC). Authors of the other parallel session presentations and posters were invited to submit to JPCS. All papers have been peer reviwed prior to being accepted for publication in either journal, and the whole set is well representative of the talks we heard during the Symposium. Thanks are accordingly due to all authors for their collaborative attitude and, more generally, to all delegates who came to Barcelona and made of the Symposium a first class scientific event. The LISA community has been steadily growing since the Symposium took off in Chilton, near Oxford (UK) back in 1996. The support of such community strongly endorses a complex mission Project, whose short term future requires such support for a much longer term new era of Gravitational Wave Astronomy and Fundamental Physics. In this sense, the number of attendees and their active interest in the LISA mission sparks optimism. The 7th International LISA Symposium sponsors are also sincerely acknowldged. They are: the Albert Einstein Institute (Hannover), the Spanish Ministry of Science and Innovation, the Generalitat de Catalunya (AGAUR), the Barcelona Institute of High Energy Physics (IFAE), the University of Barcelona (UB), the Polytechnique University of Catalunya (UPC), the Spanish Society of General Relativity and Gravitation (SEGRE), CosmoCaixa, NASA and the European Space Agency (ESA). The latter provided the LISA PathFinder model, a 1:4 scale model whose primer display we

  20. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  1. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  2. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  3. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  4. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  5. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  6. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  7. The fourth international symposium on inhaled particles and vapours, Edinburgh 22-26 September 1975

    International Nuclear Information System (INIS)

    Bailey, M.R.

    1975-11-01

    A brief account is given of the symposium, organised by the British Occupational Hygiene Society in co-operation with the European Communities. Its objective was to present the results of the latest research into the inhalation and retention of dusts and vapours, the way they are handled by the body, and their effects, with emphasis on the basic mechanisms involved. (author)

  8. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  9. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  10. Options for electric power generation and distribution in developing countries: proceedings of the GTDC symposium'95

    International Nuclear Information System (INIS)

    1995-01-01

    This book contains the proceedings of the symposium on options for electric power generation and distribution in developing countries organised by Global Technology Development Centre (GTDC), Vienna in cooperation with Agency for Non-Conventional Energy and Rural Technology (ANERT), Thiruvananthapuram. The focus of the symposium was on problems of electricity generation and distribution mainly in the developing countries of the world and the issues addressed included : 1) an overview of existing electric power services; 2) options on fuel and technology; 3) options on operation of electricity utilities; 4) options on financing investments and planning of capacity extension or replacement. Papers relevant to INIS are indexed separately

  11. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  12. Radiation Protection Society of Serbia and Montenegro. Proceedings of 24. Symposium

    International Nuclear Information System (INIS)

    Kovacevic, M.

    2007-09-01

    The Proceedings are a collection of papers presented at the 24. Symposium of the Radiation Protection Society of Serbia and Montenegro, held in Zlatibor, Serbia, 3-5 October 2007. The papers are organised into following sessions: Radioecology, Radiotoxicology, Radiation Protection in Medicine, Dosimetry, Radiobiology, Radioactive Waste, Measuring Instrumentation, Regulations and Standards, Education and Public Information, Nonionizing radiation, Other topics. The papers represent the scientific contributions of 156 authors. The Proceedings were printed in 120 hard copies

  13. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  14. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  15. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  16. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  17. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  18. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  19. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  20. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  1. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  2. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  3. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  4. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  5. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  6. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  7. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  8. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  9. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  10. Highlights from the HIV Cure and Reservoir Symposium, 11-12 September 2017, Ghent, Belgium.

    Science.gov (United States)

    Kint, Sam; Van Hecke, Clarissa; Cole, Basiel; Vandekerckhove, Linos; Sips, Magdalena

    2018-01-01

    For the second time, the HIV Cure Research Center (HCRC) at Ghent University organised the HIV Cure and Reservoir Symposium, in Ghent, Belgium, where in this two-day conference, virologists, molecular biologists, immunologists and clinicians presented the most recent achievements in the field of HIV cure, including data on therapeutic vaccines, HIV remission strategies such as 'shock and kill' or 'block and lock', benefits of early ART and potential of haematopoietic stem cell transplant in achieving cure. Furthermore, methods to characterise and quantify the HIV reservoir were discussed along with HIV reservoir characterisation in different body parts, including the central nervous system. An HIV activist and representative of a patients' agency also presented the patients' perspective on HIV cure. This report is a summary of all topics discussed during this symposium.

  11. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  12. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  13. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  14. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  15. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  16. Organisational support, organisational identification and organisational citizenship behaviour among male nurses.

    Science.gov (United States)

    Chen, Sheng-Hwang; Yu, Hsing-Yi; Hsu, Hsiu-Yueh; Lin, Fang-Chen; Lou, Jiunn-Horng

    2013-11-01

    The purpose of this study was to explore the relationship between organisational support, organisational identification, and organisational citizenship behaviour and the predictors of organisational citizenship behaviour in Taiwanese male nurses. The turnover rate among male nurses is twice that of female nurses. Organisational citizenship behaviour is the predictor of turnover intention. Little information is available on the relationship between organisational support, organisational identification and organisational citizenship behaviour, particularly for male nurses. Data were collected in 2010 from a questionnaire mailed to 167 male nurses in Taiwan. A cross-sectional survey with simple sampling was used in this study. The results showed that organisational identification and organisational support were correlated with organisational citizenship behaviour. Organisational distinctiveness, organisational support of work conditions and the type of organisation were the main predictors of organisational citizenship behaviour. Together they accounted for 40.7% of the total variation in organisational citizenship behaviour. Organisational distinctiveness was the most critical predictor, accounting for 29.6% of the variation. Organisational support and organisational identification have positive relationships with organisational behaviour. Organisational distinctiveness is an important factor in explaining organisational citizenship behaviour in male nurses. This finding provides concrete directions for managers to follow when providing organisational identification, in particular, the organisational distinctiveness will help male nurses to display increasingly more organisational citizenship behaviour. © 2012 John Wiley & Sons Ltd.

  17. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  18. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  19. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  20. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  1. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  2. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  3. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  4. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  5. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  6. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  7. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  8. Sports and exercise-related tendinopathies : a review of selected topical issues by participants of the second International Scientific Tendinopathy Symposium (ISTS) Vancouver 2012

    NARCIS (Netherlands)

    Scott, Alex; Docking, Sean; Vicenzino, Bill; Alfredson, Hakan; Zwerver, Johannes; Lundgreen, Kirsten; Finlay, Oliver; Pollock, Noel; Cook, Jill L.; Fearon, Angela; Purdam, Craig R.; Hoens, Alison; Rees, Jonathan D.; Goetz, Thomas J.; Danielson, Patrik

    In September 2010, the first International Scientific Tendinopathy Symposium (ISTS) was held in Umea, Sweden, to establish a forum for original scientific and clinical insights in this growing field of clinical research and practice. The second ISTS was organised by the same group and held in

  9. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  10. IUTAM Symposium

    CERN Document Server

    1995-01-01

    The International Union of Theoretical and Applied Mechanics (IUTAM) decided in 1992 to sponsor the fourth Symposium on Laminar-Turbulent Transition, Sendai/Japan, 1994. The objectives of the present Symposium were to deepen the fundamental knowledge of stability and laminar­ turbulent transition in three-dimensional and compressible flows and to contribute to recent developing technologies in the field. This Symposium followed the three previous IUTAM-Symposia (Stuttgart 1979, Novosibirsk 1984 and Toulouse 1989). The Scientific Committee selected two keynote lectures and 62 technical papers. The Symposium was held on the 5th to 9th of September, 1994, at the Sendai International Center in Sendai. The participants were 82 scientists from 10 countries. The keynote lectures have critically reviewed recent development of researches concerning the laminar-to-turbulent transition phenomena from the fundamental and the application aspects. Many papers presented were concerned about the detailed mechanism of the bo...

  11. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  12. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  13. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  14. EDITORIAL: Proceedings of the 7th International LISA Symposium, Barcelona, Spain, 16-20 June 2008 Proceedings of the 7th International LISA Symposium, Barcelona, Spain, 16-20 June 2008

    Science.gov (United States)

    Lobo, Alberto; Sopuerta, Carlos F.

    2009-05-01

    of Dr Einstein. The Proceedings of the 7th International LISA Symposium are jointly published by the journal Classical and Quantum Gravity (CQG) and the Journal of Physics: Conference Series (JPCS). This formula has a precedent in the last Amaldi Conference (Sydney 2007), and was motivated by the impossibility to include all communications into a single CQG volume. Plenary speakers were invited to submit their contributions to CQG, as were a number of parallel session authors chosen by the session convenors and the Science Organising Committee (SOC). Authors of the other parallel session presentations and posters were invited to submit to JPCS. All papers have been peer-reviewed prior to being accepted for publication in either journal, and the whole set is a good representation of the talks we heard during the symposium. Thanks are accordingly due to all of the authors for their collaborative attitude and, more generally, to all of the delegates who came to Barcelona and made the symposium a first-class scientific event. The LISA community has been steadily growing since the symposium launched in Chilton, near Oxford (UK), back in 1996. The support of such community strongly endorses a complex mission project, whose short term future requires such support for a much longer term new era of gravitational wave astronomy and fundamental physics. In this sense, the number of attendees and their active interest in the LISA mission sparks optimism. The 7th International LISA Symposium sponsors are also sincerely acknowledged. They are: the Albert Einstein Institute (Hannover), the Spanish Ministry of Science and Innovation, the Generalitat de Catalunya (AGAUR), the Barcelona Institute of High Energy Physics (IFAE), the University of Barcelona (UB), the Polytechnique University of Catalunya (UPC), the Spanish Society of General Relativity and Gravitation (SEGRE), CosmoCaixa, NASA and the European Space Agency (ESA). The latter provided the LISA PathFinder model, a 1:4 scale

  15. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  16. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  17. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  18. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  19. Introducing organisational heritage: Linking corporate heritage, organisational identity, and organisational memory

    OpenAIRE

    Balmer, JMT; Burghausen, M

    2015-01-01

    In this article we formally introduce and explicate the organisational heritage notion. The authors conclude organisational heritage can be designated in three broad ways as: (1) organisational heritage identity as the perceived and reminisced omni-temporal traits – both formal/normative and utilitarian/societal – of organisational members’ work organisation; (2) organisational heritage identification as organisational members’ identification/self-categorisation vis-à-vis these perceived and ...

  20. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  1. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  2. Proceedings of the Symposium on Recycling of Metals arising from Operation and Decommissioning of Nuclear Facilities

    International Nuclear Information System (INIS)

    2014-04-01

    The Symposium for the Recycling of Metals Arising from Operation and decommissioning of Nuclear Facilities was held in April 2014 at Studsvik's facility in Nykoeping, Sweden. The Symposium, hosted by Studsvik in conjunction with the Nuclear Energy Agency (NEA) of the Organisation for Economic Co-operation and Development (OECD) and the International Atomic Energy Agency (IAEA), covered a wide range of topics concerning current practice, experiences and innovations within the management of contaminated metallic radioactive material. The primary objective was to understand the differing approaches to clearance and recycling of materials from the nuclear industry across Europe in order to appreciate the issues faced from recovering resources once the material meets a country's clearance requirements. The outcome of the symposium has provided some interesting reflections for national and international bodies to consider when developing waste management guidance and policies. Over the three days of the symposium, presentations split into six topical sessions and posters regarding the recycling of contaminated metals were viewed by more than 150 people from 19 different countries. A series of group discussions were also held following each session to promote learning about current practices, highlight strategic issues related to metals recycling and develop professional networks across the industry. To stimulate discussion, a series of questions were posed at each group and the outcomes captured for inclusion within this report

  3. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  4. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  5. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  6. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  7. 4th Abel Symposium

    CERN Document Server

    Friedlander, Eric; Jahren, Björn; Østvær, Paul

    2009-01-01

    The 2007 Abel Symposium took place at the University of Oslo in August 2007. The goal of the symposium was to bring together mathematicians whose research efforts have led to recent advances in algebraic geometry, algebraic K-theory, algebraic topology, and mathematical physics. A common theme of this symposium was the development of new perspectives and new constructions with a categorical flavor. As the lectures at the symposium and the papers of this volume demonstrate, these perspectives and constructions have enabled a broadening of vistas, a synergy between once-differentiated subjects, and solutions to mathematical problems both old and new.

  8. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  9. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  10. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  11. PREFACE: 8th International Symposium of the Digital Earth (ISDE8)

    Science.gov (United States)

    2014-02-01

    Proceedings of the 8th International Symposium of Digital Earth (8th ISDE) 2013 Kuching, Sarawak, Malaysia, 26th-29th August, 2013 Conference logo This proceedings consists of the peer-reviewed papers from 8th International Symposium for Digital Earth (ISDE) held in Kuching, Sarawak, Malaysia during 26th-29th August, 2013. The 8th ISDE was a successful event in the Symposium Series of the International Society of Digital Earth, that was previously held in China (1999), Canada (2001), Czech Republic (2003), Japan (2005), the United States (2007), China (2009), and Australia (2011). The 8th ISDE, with the theme 'Transforming Knowledge into Sustainable Practice' aims to enable digital earth scientists, experts and professionals related to the field of geospatial science and technology to provide a brand new opportunity to share their ideas and insights on how we share knowledge and act together globally. In addition, the ISDE symposium series has been providing a venue for researchers and industry practitioners to discuss new ideas, collaborate to solve complex solutions to various complex problems, and importantly, pave new ways in digital earth environment. This 8th ISDE included 20 technical sessions, workshops and student sessions in various areas of digital earth; ranging from digital earth vision & innovation; earth observation technologies; ICT technologies (including spatial data infrastructures); empowering the community and engaging society; applications and innovation of digital earth for environmental applications such as hazard, pollution, flood, air quality, disaster and health, biodiversity, sustainability, forestry, early warning and emergency management, national security, natural resource management and agriculture; mining, energy and resources development; transformation towards sustainable low carbon society; digital city and green cities: towards urban sustainability; and managing water environment for sustainable development. The success of the 8

  12. Report on the 41st International Symposium Actual Tasks on Agricultural Engineering, 19-22 February 2013, Opatija, Croatia

    OpenAIRE

    Silvio Kosutic; Daniele De Wrachien

    2013-01-01

    The 41st International Symposium Actual Tasks on Agricultural Engineering was held on 19th-22nd February 2013 in Grand Hotel Adriatic Opatija, Republic of Croatia. The principal Organiser, Agricultural Engineering Department, Faculty of Agriculture, University of Zagreb was supported by the following frameworks: Department of Agricultural Engineering, Faculty of Agriculture, University J.J. Strossmayer, Osijek; Department of Bio-systems Engineering, Faculty of Agriculture, University of Marib...

  13. UICC-ARO Symposium at the UICC 2016 World Cancer Congress

    Science.gov (United States)

    Akaza, Hideyuki; Kawahara, Norie; Fukuda, Takashi; Horie, Shigeo; Thabrany, Hasbullah; Nozaki, Shinjiro

    2017-11-26

    The 2016 World Cancer Congress, organised by UICC, was held in Paris in November 2016, under the theme “Mobilizing action – Inspiring Change.” As part of Track 4 presentations on the theme of “Strengthening cancer control: optimizing outcomes of health systems,” UICC-Asian Regional Office (UICC-ARO) held a symposium to discuss the issue of mobilizing action to realize UHC in Asia. Introducing the symposium, Hideyuki Akaza noted that universal health coverage (UHC) is included in the Sustainable Development Goals and one of the key issues for achieving UHC will be how to balance patient needs with the economic burden of cancer. Speakers from Japan and Indonesia addressed various issues, including the current status and challenges for medical economic evaluation in Asia, the importance of resource stratification, prospects for precision medicine, and the outlook for cancer control and UHC in developing and emerging countries in Asia. Key issues raised included how to respond to the rising costs of treating cancer as new and increasingly expensive drugs come to the market. Speakers and participants noted that health technology assessment programs are being developed around Asia in order to evaluate the cost-effectiveness of drugs in the face of budgetary constraints within increasingly pressurized national health systems. The importance of screening and early detection was also noted as effective means that have the potential to reduce reliance on expensive drugs for advanced cancers. The symposium was chaired jointly by Hideyuki Akaza and Shinjiro Nozaki (WHO Kobe Centre). Creative Commons Attribution License

  14. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  15. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  16. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  17. 18. international {mu} symposium - expert meeting on brake systems; 18. Internationales {mu}-Symposium - Bremsen-Fachtagung

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, B. [ed.

    1999-12-01

    The braking systems of passenger cars have become increasingly important for safe driving and driving dynamics (traction control, differential lock, brake assistance, electronic stabilising systems adaptive automatic speed control). At the same time operating-, noise- and vibration performance are expected to improve. Brake-by-wire, the technology of the future, will increase its potential as one component of the more and more strongly ``electrified`` cars. Design of operating characteristics will become important as the designer will have a lot of liberty in designing the effect of foot impact and slowing down of the vehicle and shall have to design the actuating pedal with great care. The is potential for further improvements in the following areas: dynamic cooperation of brakes, tyres and road, components (e.g. tyre sensors), advanced driver assistance systems. The XVIII. symposium held in Bad Neuenahr on October 23. and 24. 1998 dealt with several of the issues. The symposium, organised by BBA Friction GmbH brought together 240 brake experts from many countries. The contents of the conference are contained in this book (orig.) [Deutsch] In den letzten Jahren hat die Bedeutung der Bremsanlagen von Kraftfahrzeugen fuer Fahrdynamik und Fahrsicherheit ausserordentlich stark zugenommen (Traktionskontrolle, Differentialsperre, Bremsassistent, elektronische Fahrdynamikstabilisierung, adaptive automatische Fahrgeschwindigkeitsregelung). Gleichzeitig wachsen die Anforderungen an ihr Betriebs-, Geraeusch- und Schwingungsverhalten. Mit der zukuenftigen elektrischen Betaetigung der Bremse wird ihr Potential als wichtige Komponente im immer staerker `elektroinfizierten` Gesamtsystem Fahrzeug bedeutend erweitert. Hierbei wird auch die zukuenftige Auslegung der Betaetigungscharakteristik sehr wichtig, da der Konstrukteur im Wirkungszusammenhang Fusskraft/Fahrzeugverzoegerung grosse Freiheiten gewinnt und das Stellteil Bremspedal im Hinblick auf Kundenzufriedenheit und

  18. Fourth Tennessee water resources symposium

    International Nuclear Information System (INIS)

    Sale, M.J.; Presley, P.M.

    1991-01-01

    The annual Tennessee Water Resources Symposium was initiated in 1988 as a means to bring together people with common interests in the state's important water-related resources at a technical, professional level. Initially the symposium was sponsored by the American Institute of Hydrology and called the Hydrology Symposium, but the Tennessee Section of the American Water Resources Association (AWRA) has taken on the primary coordination role for the symposium over the last two years and the symposium name was changed in 1990 to water resources to emphasize a more inter-disciplinary theme. This year's symposium carries on the successful tradition of the last three years. Our goal is to promote communication and cooperation among Tennessee's water resources professionals: scientists, engineers, and researchers from federal, state, academic, and private institutions and organizations who have interests and responsibilities for the state's water resources. For these conference proceedings, individual papers are processed separately for the Energy Data Base

  19. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  20. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  1. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  2. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  3. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  4. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  5. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  6. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  7. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  8. COST 516 Tribology Symposium

    Energy Technology Data Exchange (ETDEWEB)

    Ronkainen, H.; Holmberg, K. [eds.

    1998-11-01

    Cost 516 Tribology action is the first joint European research action focusing on tribology, which originates in the approval of its Memorandum of understanding in February 1994. The COST 516 Tribology Symposium took place in Espoo, Finland from 14th to 15th May 1998. This was the first Symposium of the COST 516 Tribology action. The large number of research contributions at the Symposium, altogether almost SO, and their scientific and technical level, is an indication of the importance and significance of tribology research. The symposium proceedings contain papers in a wide variety of subjects, covering the three categories of the COST 516 Tribology action, namely Grease lubrication (GRIT), Tribology of renewable environmentally adapted lubricants (REAL) and Coatings and surface treatments (CAST). (orig.)

  9. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  10. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  11. Seventh International Beaver Symposium

    OpenAIRE

    Yuri A. Gorshkov

    2016-01-01

    The paper presents data on the seventh international Beaver Symposium. Brief historical background about previous Beaver Symposia beaver is shown. Data on the sections of symposium, number of participants and reports are presented.

  12. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  13. Symposium Highlights

    International Nuclear Information System (INIS)

    Owen-Whitred, K.

    2015-01-01

    Overview/Highlights: To begin, I'd like to take a moment to highlight some of the novel elements of this Symposium as compared to those that have been held in the past. For the first time ever, this Symposium was organized around five concurrent sessions, covering over 300 papers and presentations. These sessions were complemented by an active series of exhibits put on by vendors, universities, ESARDA, INMM, and Member State Support Programmes. We also had live demonstrations throughout the week on everything from software to destructive analysis to instrumentation, which provided the participants the opportunity to see recent developments that are ready for implementation. I'm sure you all had a chance to observe - and, more importantly, interact with - the electronic Poster, or ePoster format used this past week. This technology was used here for the first time ever by the IAEA, and I'm sure was a first for many of us as well. The ePoster format allowed participants to interact with the subject matter, and the subject matter experts, in a dynamic, engaging way. In addition to the novel technology used here, I have to say that having the posters strategically embedded in the sessions on the same topic, by having each poster author introduce his or her topic to the assembled group in order to lure us to the poster area during the breaks, was also a novel and highly effective technique. A final highlight I'd like to touch on in terms of the Symposium organization is the diversity of participation. This chart shows the breakdown by geographical distribution for the Symposium, in terms of participants. There are no labels, so don't try to read any, I simply wanted to demonstrate that we had great representation in terms of both the Symposium participants in general and the session chairs more specifically-and on that note, I would just mention here that 59 Member States participated in the Symposium. But what I find especially interesting and

  14. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  15. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  16. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  17. Seventh International Beaver Symposium

    Directory of Open Access Journals (Sweden)

    Yuri A. Gorshkov

    2016-05-01

    Full Text Available The paper presents data on the seventh international Beaver Symposium. Brief historical background about previous Beaver Symposia beaver is shown. Data on the sections of symposium, number of participants and reports are presented.

  18. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  19. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  20. IUTAM Symposium

    CERN Document Server

    Whitelaw, James; Wung, T

    1992-01-01

    A Symposium on Aerothermodynamics of Combustors was held at the Institute of Applied Mechanics of the National Taiwan University from 3 to 5 June 1991 and was attended by 130 delegates from eight countries. The topics of the forty formal presentations included measurements and calculations of isothermal simulations and of combusting flows with one and two phases, and with consideration of configurations ranging from simple diffusion to gas-turbine flows. The discussions inside and outside of the Symposium Hall were lively and an open forum session demonstrated the range of opinions currently and strongly held. The International Union of Theoretical and Applied Mechanics initiated the Symposium under the chairmanship of Professor R S L Lee and with the Scientific Committee listed below. It benefited from sponsorship, again as listed below, and from contributors who presented interesting and up-to-date descriptions of their research. Invited lectures were delivered by Professors R Bilger and F Weinberg and set ...

  1. IUTAM Symposium

    CERN Document Server

    Shioiri, Jumpei

    1996-01-01

    The IUTAM Symposium on Constitutive Relation in High/Very High Strain Rates (CRHVHSR) was held October 16 - 19, 1995, at Seminar House, Science University of Tokyo, under the sponsorship of IUTAM, Japan Society for the Promotion of Science, The Commemorative Association for the Japan World Exposition (1970), Inoue Foundation for Science, The Japan Society for Aeronautical and Space Sciences, and Science University of Tokyo. The proposal to hold the symposium was accepted by the General Assembly of IUT AM held in Haifa, Israel, in August 1992, and the scientists mentioned below were appointed by the Bureau of IUTAM to serve as members of the Scientific Committee. The main object of the symposium was to make a general survey of recent developments in the research of constitutive relations in high and very high strain rates and related problems in high velocity solid mechanics, and to explore further new ideas for dealing with unresolved problems of a fundamental nature as well as of practical importance. The su...

  2. ICRS1, Proceedings of the First Radiation Shielding Symposium, Cambridge, UK 1958

    International Nuclear Information System (INIS)

    Goebelbecker, Hans-Juergen

    2008-01-01

    Description: The papers of the European Atomic Energy Society Symposium VI-58 on radiation shielding (ICRS1) held at Caius College, Cambridge England from 26 to 29 August 1958 are collected here for the first time in electronic form. This symposium was organised in connection with the Second Atoms for Peace Conference held in Geneva Held in Geneva from 1 to 13 September 1958. The Topics discussed covered gamma rays and neutron radiation; the Methods discussed were analytical approaches, semi-empirical Methods, simple computer codes, Monte Carlo method. Little quality nuclear data for shielding calculations was available and the presentations would concentrate on removal cross-sections and build-up factors. Experimental techniques in support to estimate the effective shielding properties of materials were discussed such as general experimental shielding techniques and experiments on neutron attenuation in different materials and on concrete as shield. Foil detectors for spectra measurements and determination of dose rates were mainly used. The typical issues addressed were gamma-heating, gamma spectra, neutron induced gammas, fission products gamma spectra, skyshine radiation and neutron ducts - streaming. Most participants were researchers from the naval and aeronautics sector

  3. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  4. Organisational learning by way of organisational development

    DEFF Research Database (Denmark)

    Elkjær, Bente

    In the paper, the idea is explored of organisational learning as the opening andclosure of organisational space for inquiry or reflective thinking, as a way toconstruct organisational learning as an object for research. This is done by asking thequestion of whether an organisational development...... project contributes toorganisational learning. The point of departure is a municipality in Denmark workingtoward digitalising its administration. The conclusion is that the success of such aprocess very much depends on an organisation's ability to encompass severalunderstandings of organisational...... development and digital administration and tosustain them in a productive form of tension instead of pursuing only one of them....

  5. Proceedings of the TOUGH Symposium 2009

    Energy Technology Data Exchange (ETDEWEB)

    Moridis, George J.; Doughty, Christine; Finsterle, Stefan; Sonnenthal, Eric

    2009-10-01

    Welcome to the TOUGH Symposium 2009. Within this volume are the Symposium Program for eighty-nine papers to be presented in both oral and poster formats. The full papers are available as pdfs linked from the Symposium Program posted on the TOUGH Symposium 2009 website http://esd.lbl.gov/newsandevents/events/toughsymposium09/program.html Additional updated information including any changes to the Program will also be available at the website. The papers cover a wide range of application areas and reflect the continuing trend toward increased sophistication of the TOUGH codes. A CD containing the proceedings papers will be published immediately following the Symposium and sent to all participants. As in the prior Symposium, selected papers will be invited for submission to a number of journals for inclusion in Special Issues focused on applications and developments of the TOUGH codes. These journals include, Transport in Porous Media, Geothermics, Energy Conversion and Management, Journal of Nuclear Science and Technology, and the Vadose Zone Journal.

  6. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  7. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  8. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  9. Symposium Promotes Technological Literacy through STEM

    Science.gov (United States)

    Havice, Bill; Marshall, Jerry

    2009-01-01

    This article describes a symposium which promotes technological literacy through science, technology, engineering, and mathematics (STEM). The three-day symposium titled, "The Anderson, Oconee, Pickens Symposium on Teaching and Learning STEM Standards for the 21st Century," was held August 4-6, 2008 at the Tri-County Technical College…

  10. Symposium report of Inter-COE international symposium on energy systems

    International Nuclear Information System (INIS)

    2006-10-01

    The symposium of the title aims to discuss a comprehensive possibility of energy system technologies for future society utilizing both specialties of five COEs in energy technology field. The symposium topics include, 'Primary energy production', 'Energy conversion, storage and transportation', 'Energy materials', 'Energy system' by specialists from the COEs. Posters were presented by doctoral course students and others in the COEs, in addition special session 'Energy Research and Human Resources Development'. (J.P.N.)

  11. National symposium on commissioning and operating experiences in heavy water plants and associated chemical industries [Preprint volume

    International Nuclear Information System (INIS)

    1992-02-01

    A symposium on commissioning and operating experiences in heavy water plants and associated chemical industries (SCOPEX-92) was organised to share the experience and exchange the ideas among plant operators, designers, consultants and vendors in the areas of operation, commissioning and equipment performance. This pre-print volume has been brought out as an integrated source of information on commissioning and operation of heavy water plants. The following aspects of heavy water plants are covered: commissioning and operation, instrumentation and control, and safety and environment. (V.R.)

  12. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  13. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  14. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  15. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  16. Crispy Cracks Symposium Explores Crispness and Water Management

    NARCIS (Netherlands)

    Hamer, R.J.; Vliet, van T.

    2008-01-01

    This article provides an overview of the first international symposium on crispness creation and retention. The symposium, entitled, ¿Crispy Cracks Symposium,¿ was organized by Cereals & Europe and TI Food and Nutrition. The symposium contained three sessions: 1) Crispiness¿The Fundamentals; 2)

  17. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  18. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  19. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  20. PREFACE: International Symposium on Dynamic Deformation and Fracture of Advanced Materials (D2FAM 2013)

    Science.gov (United States)

    Silberschmidt, Vadim V.

    2013-07-01

    ranged from traditional ones such as metals, alloys, polymers and composites to advanced and emerging materials, such as foams, cellular materials and metallic glasses, as well as bio-materials. Within the framework of the Symposium, a Special Session 'Parametric Resonance, Vibro-impact and Related Phenomena' was organised by partners of the FP7 IAPP project PARM-2: 'Vibro-impact machines based on parametric resonance: Concepts, mathematical modelling, experimental verification and implementation.' The Session focused on the topics, directly related to the project: excitation, stabilization, control and applications of parametric resonance (PR); multiple degrees of freedom of PR-excited systems; basic principles of PR-based macro and micro tools; design and technological aspects of PR-based machines; vibro-assisted machining; fatigue under high-amplitude vibro-impact conditions and corresponding optimal design; localisation near defects in dynamic response of elastic lattices and structures; dispersive waves and dynamic fracture in non-uniform lattice systems; thermally induced surface-breaking cracks, etc. This issue presents a selection of research papers presented at the International Symposium on Dynamic Deformation and Fracture of Advanced Materials D2FAM 2013. The Symposium Organisers would like to acknowledge its sponsors: Institute of Physics, International Centre of Vibro-Impact Systems and Marie Curie Action: Industry-Academia Partnerships and Pathways of the Seventh Framework Programme (FP7) of the European Commission (PARM-2 consortium). The PARM-2 consortium sponsored twenty scholarships for early-stage researchers to participate in this Symposium.

  1. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  2. CONTEXT 2015 Doctorial Symposium

    DEFF Research Database (Denmark)

    Eklund, Peter; wegener, rebekah

    2015-01-01

    What is the CONTEXT 2015 Doctoral Symposium? The CONTEXT 2015 Doctoral Symposium is an opportunity for doctoral researchers to showcase their work and discuss problems, challenges, and ideas in an open and collegial environment with expert feedback. The Doctoral Symposium is a workshop for doctoral...... feedback and general advice in a constructive atmosphere. Doctoral researchers will present and discuss their research in a supportive atmosphere with other doctoral researchers and an international panel of established researchers that provide expert feedback. The workshop will take place on a single full...... day, Monday November 2, 2015, the day prior to the start of the main CONTEXT 2015 conference....

  3. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  4. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  5. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  6. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  7. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  8. A Real-Time Systems Symposium Preprint.

    Science.gov (United States)

    1983-09-01

    Real - Time Systems Symposium Preprint Interim Tech...estimate of the occurence of the error. Unclassii ledSECUqITY CLASSIF’ICA T" NO MI*IA If’ inDI /’rrd erter for~~ble. ’Corrputnqg A REAL - TIME SYSTEMS SYMPOSIUM...ABSTRACT This technical report contains a preprint of a paper accepted for presentation at the REAL - TIME SYSTEMS SYMPOSIUM, Arlington,

  9. International Symposium on Nuclear Safety

    International Nuclear Information System (INIS)

    2013-03-01

    Nuclear Regulatory Authority of the Slovak Republic and the Embassy of Japan in the Slovak Republic, under the auspices of the Deputy Prime Minister and Minister of Foreign and European Affairs Mr Lajcak organized International Symposium on Nuclear Safety on 14 and 15 March 2013. The symposium took place almost exactly two years after the occurrence of accidents at the Japanese nuclear power plant Fukushima Daichi. The main mission of the symposium was an attempt to contribute to the improvement of nuclear safety by sharing information and lessons presented by Japanese experts with experts from the region, the International Atomic Energy Agency (IAEA) and the European Commission. The aim of the symposium, unlike many other events organized in connection with the events in Fukushima Daichi NPP, was a summary of the results of stress tests and measures update adopted by the international community, especially within Europe. Panel discussion was included to the program of the symposium for this aim was, mainly focused on the current state of implementation of the National Action Plan of the Slovak Republic, the Czech Republic, Poland, Ukraine and Switzerland and the IAEA Action Plan.

  10. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  11. XX international {mu}-symposium - Brake conference. Papers; XX Internationales {mu}-Symposium - Bremsen-Fachtagung. Betraege

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, B. [ed.] [Verein Deutscher Ingenieure (VDI), Darmstadt (Germany); Bauer, E. (comp.) [TMD Friction GmbH, Leverkusen (Germany)

    2000-07-01

    This year, more brake experts from the international automotive community than ever will take part in the XXth {mu}-Symposium upon the invitation of TMD Friction and learn about the latest developments in their professional fields, discuss with each other, and listen in the opening speech to the viewpoints of a behavioural scientist on braking as the main focus of {mu}-Symposium and {mu}-Club. The contents of this {mu}-Symposium will be the following: Braking from the behavioural scientist's viewpoint/research on tribological characteristics between Al-MMC brake disc and friction material/SBC - the electro-hydraulic brake system from Mercedes-Benz/total chassis management - heading for the intelligent chassis/TMD Friction - a company profile. (orig./AKF) [German] Bremsenexperten der internationalen Automobilwelt trafen sich im Herbst 2000 zum zwanzigsten Mal auf Einladung von TMD Friction in Bad Neuenahr. Dieser Bericht fasst - jeweils in deutsch und englisch - die Vortraege ueber neueste Entwicklungen aus Industrie und Hochschule zusammen. Im Einfuehrungsvortrag berichtet ein Verhaltenswissenschaftler ueber seine Gedanken zum Bremsen als dem Hauptgegenstand von {mu}-Symposium und {mu}-Club. Ferner gehoeren die Praesentation des elektrohydraulischen Bremssystems ebenso zum Programm des Symposiums wie die Vorstellung von Forschungsergebnissen neuer Reibpaarungen und der Blick auf die Zukunft des Fahrwerks im Verbund mit Fahrzeugregelsystemen. (orig./AKF)

  12. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  13. Symposium on neutron scattering

    International Nuclear Information System (INIS)

    Lehmann, M.S.; Saenger, W.; Hildebrandt, G.; Dachs, H.

    1984-01-01

    Extended abstracts of the named symposium are presented. The first part of this report contains the abstracts of the lectures, the second those of the posters. Topics discussed on the symposium include neutron diffraction and neutron scattering studies in magnetism, solid state chemistry and physics, materials research. Some papers discussing instruments and methods are included too. (GSCH)

  14. Symposium 'Methodology in Medical Education Research' organised by the Methodology in Medical Education Research Committee of the German Society of Medical Education May, 25th to 26th 2013 at Charité, Berlin.

    Science.gov (United States)

    Schüttpelz-Brauns, Katrin; Kiessling, Claudia; Ahlers, Olaf; Hautz, Wolf E

    2015-01-01

    In 2013, the Methodology in Medical Education Research Committee ran a symposium on "Research in Medical Education" as part of its ongoing faculty development activities. The symposium aimed to introduce to participants educational research methods with a specific focus on research in medical education. Thirty-five participants were able to choose from workshops covering qualitative methods, quantitative methods and scientific writing throughout the one and a half days. The symposium's evaluation showed participant satisfaction with the format as well as suggestions for future improvement. Consequently, the committee will offer the symposium again in a modified form in proximity to the next annual Congress of the German Society of Medical Education.

  15. The process of organisational adaptation through innovations, and organisational adaptability

    OpenAIRE

    Tikka, Tommi

    2010-01-01

    This study is about the process of organisational adaptation and organisational adaptability. The study generates a theoretical framework about organisational adaptation behaviour and conditions that have influence on success of organisational adaptation. The research questions of the study are: How does an organisation adapt through innovations, and which conditions enhance or impede organisational adaptation through innovations? The data were gathered from five case organisations withi...

  16. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  17. [New Approaches for Young Surgeons - Students' Symposium on Minimally Invasive Surgery].

    Science.gov (United States)

    Roch, Paul Jonathan; Friedrich, Mirco; Kowalewski, Karl-Friedrich; Schmidt, Mona Wanda; Herrera, Javier De la Garza; Müller, Philip Christoph; Benner, Laura; Romero, Philipp; Müller-Stich, Beat Peter; Nickel, Felix

    2017-12-01

    Background Physician shortage is particularly striking in surgical specialities. Umbrella organisations are making an effort to recruit medical students. Students' symposia during congresses seem to provide a promising approach to developing motivation and promoting interest. An exemplary students' symposium took place at the three nations meeting for minimally invasive surgery (MIS). Our aim was to evaluate the students' symposium from the students' perspective, in order to give recommendations for the future. Methods Of a total of 60 participants, half (30/60) completed the survey. Using a 5-point Likert scale, students evaluated items on the agenda, increase in interests, personal benefit and likelihood of future participation. Results Sixty percent (18/30) of the participants in the student forum reported enhanced interest in MIC - the largest increase found. For surgery in general and for robotic surgery in particular, an increase was reported by 57% (17/30) of the students. Of all the items on the agenda, laparoscopic hands-on experience was rated best - with a positive rating from 90% (27/30) of the students. Students expressed the wish for improved personal exchange with experts and professionals. Two thirds (40/60) of the students stated that future participation was definite or very likely. Discussion The increase in interest in MIS and surgery in general demonstrated the success of the students' symposium. Hands-on experiences was very popular. Future events should focus on personal exchange between students and experts. This seems necessary to reduce prejudice in the debate on a well-adjusted work-life balance. With a view to physician shortage in surgical specialties, students' symposia are a valuable option that should be firmly established and consistently developed. Georg Thieme Verlag KG Stuttgart · New York.

  18. Organisational values and organisational commitment: do nurses' ethno-cultural differences matter?

    Science.gov (United States)

    Hendel, Tova; Kagan, Ilya

    2014-05-01

    To examine the association between perceived organisational values and organisational commitment among Israeli nurses in relation to their ethno-cultural background. Differences and the discrepancy between individuals' organisational values and those of their organisational culture are a potential source of adjustment difficulties. Organisational values are considered to be the bond of the individual to their organisation. In multicultural societies, such as Israel, the differences in perception of organisational values and organisational commitment may be reflected within workgroups. Data were collected using a questionnaire among 106 hospital nurses. About 59.8% of the sample were Israeli-born. A positive correlation was found between organisational values and organisational commitment. Significant differences were found in organisational values and organisational commitment between Israeli-born-, USSR-born- and Ethiopian-born nurses. The socio-demographic profile modified the effect of organisational values on organisational commitment: when the nurse was male, Muslim, religiously orthodox and without academic education, the effect of organisational values on organisational commitment was higher. Findings confirm the role of culture and ethnicity in the perception of organisational values and the level of organisational commitment among nurses. Assessing ethno-cultural differences in organisational values and organisational commitment provides a fuller understanding of nurses' ability to adjust to their work environment and helps nurse managers devise means to increase nurses' commitment. © 2012 John Wiley & Sons Ltd.

  19. Notes of a symposium on heavy ion fusion, held at the Cosener's House, Abingdon on 16 June 1978

    International Nuclear Information System (INIS)

    Gray, D.E.

    1979-01-01

    This Symposium on Heavy Ion Fusion was held to inform the relevant University Departments and other interested organisations of the developments and prospects in this field, and to encourage them to take up problems relevant to their research fields. Three papers were presented, on 'The Heavy Ion Fusion Concept', 'Target Design for Heavy Ion Fusion', and 'Problem Areas in Heavy Ion Fusion', with open discussion after each presentation. The meeting ended with a final period of discussion, several University groups expressing their interest in pursuing some of the problems outlined. (author)

  20. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  1. Abel Symposium 2015

    CERN Document Server

    Larsen, Nadia; Neshveyev, Sergey; Skau, Christian

    2016-01-01

    Like the first Abel Symposium, held in 2004, the Abel Symposium 2015 focused on operator algebras. It is interesting to see the remarkable advances that have been made in operator algebras over these years, which strikingly illustrate the vitality of the field. A total of 26 talks were given at the symposium on a variety of themes, all highlighting the richness of the subject. The field of operator algebras was created in the 1930s and was motivated by problems of quantum mechanics. It has subsequently developed well beyond its initial intended realm of applications and expanded into such diverse areas of mathematics as representation theory, dynamical systems, differential geometry, number theory and quantum algebra. One branch, known as “noncommutative geometry”, has become a powerful tool for studying phenomena that are beyond the reach of classical analysis. This volume includes research papers that present new results, surveys that discuss the development of a specific line of research, and articles ...

  2. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  3. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  4. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  5. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  6. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  7. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  8. Organisational Art

    DEFF Research Database (Denmark)

    Ferro-Thomsen, Martin

    creation of a practical utopia (?heterotopia?) in the organisational context. The case study makes use of both art- and organisational theory. The thesis concludes with an outline of a framework for OA that is derived from contemporary theory of mainly Relational Aesthetics (Bourriaud), Conceptual Art......University of Copenhagen / Learning Lab Denmark. 2005 Kort beskrivelse: Organisational Art is a tentative title for an art form that works together with organisations to produce art. This is most often done together with non-artist members of the organisation and on-site in their social context. OA...... is characterised as socially engaged, conceptual, discursive, site-specific and contextual. Abstract: This investigation is about Organisational Art (OA), which is a tentative title for an art form that works together with organisations (companies, institutions, communities, governments and NGOs) to produce art...

  9. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  10. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  11. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  12. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  13. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  14. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  15. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  16. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  17. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  18. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  19. Organising aspects of the Levels Added Organisation (LAO

    Directory of Open Access Journals (Sweden)

    Duško Uršič

    2002-01-01

    Full Text Available How to achieve a more efficient, more successful, and above all more competitive organisation in the given environment and equal spare time in everything? One of responses is evidently hidden in the levels added organisation concept, which has already proved its advantages in some domains practice, and now we also try to define and explain them from the organisational and scientific aspect. As briefly presented in this paper, the nucleus is hidden in the evolutionary development of the organisation that adopts innovations, then tests and evaluates them, combines and upgrades them, and consequently it efficiently resolves difficulties of existent organisational concepts.

  20. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  1. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  2. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  3. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  4. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  5. Spin symposium

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1989-01-15

    The recent 8th International Symposium on High Energy Spin Physics at the University of Minnesota in Minneapolis, Minnesota, opened with a bang when L. Pondrom (Wisconsin), donning a hard hat borrowed from construction workers, ventured that 'spin, the notorious inessential complication of hadronic physics, is finally telling us what real QCD (quantum chromodynamics, the field theory of quarks and gluons) looks like.' He was referring to an animated discussion on the meaning of the recent spin oriented (polarized) scattering results from the European Muon Collaboration (EMC) at CERN and reported at the Symposium by R. Garnet (Liverpool) and P. Schuler (Yale) which show that the proton spin is not simply a reflection of the spins of its constituent quarks.

  6. 43rd Aerospace Mechanisms Symposium

    Science.gov (United States)

    Boesiger, Edward A.

    2016-01-01

    The Aerospace Mechanisms Symposium (AMS) provides a unique forum for those active in the design, production and use of aerospace mechanisms. A major focus is the reporting of problems and solutions associated with the development and flight certification of new mechanisms. Sponsored and organized by the Mechanisms Education Association, responsibility for hosting the AMS is shared by the National Aeronautics and Space Administration and Lockheed Martin Space Systems Company (LMSSC). Now in its 43rd symposium, the AMS continues to be well attended, attracting participants from both the U.S. and abroad. The 43rd AMS was held in Santa Clara, California on May 4, 5 and 6, 2016. During these three days, 42 papers were presented. Topics included payload and positioning mechanisms, components such as hinges and motors, CubeSats, tribology, and mechanism testing. Hardware displays during the supplier exhibit gave attendees an opportunity to meet with developers of current and future mechanism components. The high quality of this symposium is a result of the work of many people, and their efforts are gratefully acknowledged. This extends to the voluntary members of the symposium organizing committee representing the eight NASA field centers, LMSSC, and the European Space Agency. Appreciation is also extended to the session chairs, the authors, and particularly the personnel at ARC responsible for the symposium arrangements and the publication of these proceedings. A sincere thank you also goes to the symposium executive committee who is responsible for the year-to-year management of the AMS, including paper processing and preparation of the program. The use of trade names of manufacturers in this publication does not constitute an official endorsement of such products or manufacturers, either expressed or implied, by the National Aeronautics and Space Administration.

  7. Proceedings of the symposium on networking

    International Nuclear Information System (INIS)

    Karita, Yukio; Abe, Fumio

    1989-06-01

    The first symposium on networking was held on February 6-7, 1989. Due to the increase of the needs of computer networks, several networks which connect among universities and laboratories are going to be constructed in Japan. However, the techniques in networking are not familiar to most people, and the information about these techniques is sometimes difficult to get, especially in multivendor connection. In such situation, an opportunity to hold a symposium on networking at the National Laboratory for High Energy Physics (KEK) was given. More than 200 people took part in this symposium, and the local area networks in institutions, the networks among institutions, the networks for high energy physics, the application of networks, the networks for academic research and so on are reported. The valuable discussion about networks was carried out, including the plans for near future. At the time of this symposium, the construction of the Gakujo-net and the Pacific network project of University of Hawaii were in progress, and many Japanese universities are going to construct their campus LANs, so the symposium seemed to be very timely. (K.I.)

  8. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  9. SYMPOSIUM ON PLANT PROTEIN PHOSPHORYLATION

    Energy Technology Data Exchange (ETDEWEB)

    JOHN C WALKER

    2011-11-01

    Protein phosphorylation and dephosphorylation play key roles in many aspects of plant biology, including control of cell division, pathways of carbon and nitrogen metabolism, pattern formation, hormonal responses, and abiotic and biotic responses to environmental signals. A Symposium on Plant Protein Phosphorylation was hosted on the Columbia campus of the University of Missouri from May 26-28, 2010. The symposium provided an interdisciplinary venue at which scholars studying protein modification, as it relates to a broad range of biological questions and using a variety of plant species, presented their research. It also provided a forum where current international challenges in studies related to protein phosphorylation could be examined. The symposium also stimulated research collaborations through interactions and networking among those in the research community and engaged students and early career investigators in studying issues in plant biology from an interdisciplinary perspective. The proposed symposium, which drew 165 researchers from 13 countries and 21 States, facilitated a rapid dissemination of acquired knowledge and technical expertise regarding protein phosphorylation in plants to a broad range of plant biologists worldwide.

  10. 6th interventional MRI symposium. Abstracts

    International Nuclear Information System (INIS)

    2006-01-01

    The ongoing progress in the field of interventional MRI and the great success of our last symposium 2004 in Boston have stimulated us to organize the 6th Interventional MRI Symposium to be held September 15-16, 2006 in Leipzig. This meeting will highlight ground-breaking research as well as cutting-edge reports from many groups. The symposium also provides a forum to network with leaders and innovators in the field. Session topics are: intraoperative MRI, vascular applications, targeted drug delivery, cryotherapy, thermometry, pulse sequences, LITT, percutaneous procedures, navigation, robotics, focused ultrasound. (uke)

  11. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  12. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  13. Toward human organ printing: Charleston Bioprinting Symposium.

    Science.gov (United States)

    Mironov, Vladimir

    2006-01-01

    The First Annual Charleston Bioprinting Symposium was organized by the Bioprinting Research Center of the Medical University of South Carolina (MUSC) and convened July 21, 2006, in Charleston, South Carolina. In broad terms, bioprinting is the application of rapid prototyping technology to the biomedical field. More specifically, it is defined as the layer by layer deposition of biologically relevant material. The 2006 Symposium included four sessions: Computer-aided design and Bioprinting, Bioprinting Technologies; Hydrogel for Bioprinting and, finally, a special session devoted to ongoing research projects at the MUSC Bioprinting Research Center. The Symposium highlight was the presentation of the multidisciplinary Charleston Bioengineered Kidney Project. This symposium demonstrated that bioprinting or robotic biofabrication is one of the most exciting and fast-emerging branches in the tissue engineering field. Robotic biofabrication will eventually lead to industrial production of living human organs suitable for clinical transplantation. The symposium demonstrated that although there are still many technological challenges, organ printing is a rapidly evolving feasible technology.

  14. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  15. Proceedings of a joint OECD/NEA-IAEA symposium on human factors and organisation in NPP maintenance outages: impact on safety

    International Nuclear Information System (INIS)

    1995-01-01

    The sessions of this conference dealt with outage strategy and methods (in Sweden, France and United States), the organisation and management of outages (organisation during refuelling shutdowns in France, safety approaches in France, in the USA, in Canada, in the United Kingdom and in Sweden), case studies and lessons learned (in France, Korea, Sweden, UK, USA), regulatory aspects of outages (UK, Germany, Mexico, France), the development of outage techniques

  16. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  17. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  18. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  19. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  20. 4th International Language Management Symposium

    Czech Academy of Sciences Publication Activity Database

    Prošek, Martin

    2016-01-01

    Roč. 77, č. 3 (2016), s. 233-240 ISSN 0037-7031. [international language management symposium] Institutional support: RVO:68378092 Keywords : language management theory * international language symposium * language management Subject RIV: AI - Linguistics OBOR OECD: Linguistics Impact factor: 0.625, year: 2016

  1. CONFERENCE NOTE: Sixth Symposium on Temperature Scheduled for March 1982

    Science.gov (United States)

    1981-07-01

    The call for papers for the 6th Symposium on Temperature, Its Measurement and Control in Science and Industry has been issued. The Symposium is scheduled to take place in Washington, DC, USA during the week of March 14 18, 1982. Like its predecessors held in the years 1919, 1939, 1954, 1961, and 1971, the 6th Symposium will stress advances in the measurement of thermodynamic values of temperature, in temperature reference points, in temperature sensors and instruments for the control of temperature, and in the development and use of temperature scales. For the first time, an exhibit of thermometry will be a part of the Symposium. Manuscripts to be submitted for inclusion in the Symposium should be sent to the 6th Temperature Symposium Program Chairman, National Bureau of Standards, by September 15, 1981. Those papers accepted for the Symposium will be due in camera-ready form by February 15, 1982. Original papers on all of the topics listed above, as well as reviews of the past decade's progress in thermometry and temperature control, are solicited by the Symposium organizers. The Symposium arrangements and registration are in the care of the Instrument Society of America (represented on the Symposium General Committee by Mr C T Glazer, 67 Alexander Drive, PO Box 12277, Research Triangle Park, North Carolina, 27709, USA). Questions regarding the instrument exhibits should also be addressed to the ISA. The technical program for the Symposium is the responsibility of a committee headed by Dr J F Schooley, Room B-128 Physics Building, National Bureau of Standards, Washington, DC, 20234, USA. The Symposium proceedings will be published by the American Institute of Physics.

  2. Welcome and introduction to symposium

    OpenAIRE

    humanities, Symposium on Information and technology in the arts and; McLaughlin, Jeremy Lee; Matusiak, Krystyna; Hirsh, Sandra

    2015-01-01

    Welcome and introduction slides used for presentation at the Virtual Symposium on Information and Technology in the Arts and Humanities, held April 22 and 23, 2015. The Symposium was co-sponsored by the ASIS&T (Association for Information Science and Technology) Special Interest Group for Arts and Humanities (SIG AH) and the Special Interest Group for Visualization, Images, and Sound (SIG VIS).

  3. MEMO Organisation Modelling Language (1): Focus on organisational structure

    OpenAIRE

    Frank, Ulrich

    2011-01-01

    Organisation models are at the core of enterprise model, since they represent key aspects of a company's action system. Within MEMO, the Organisation Modelling Language (OrgML) supports the construction of organisation models. They can be divided into two main abstractions: a static abstraction is focusing on the structure of an organisation that reflects the division of labour with respect to static responsibilities and a dynamic abstraction that is focusing on models of business processes. ...

  4. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  5. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  6. 10th German nuclear law symposium

    International Nuclear Information System (INIS)

    Koch, H.J.; Rossnagel, A.

    2000-01-01

    This 10th symposium on nuclear law in Germany was held eight years after the 9th symposium. Due to the change of government after the last general elections, there had been a turnaround in Germany's energy policy. 'Phasing out nuclear energy' was the major strategy of the new Federal Government. The topics of the papers presented at the symposium therefore focus on: a new time frame for NPP shutdown and termination of operating licences; ensuring the safe operation of nuclear power plants for the remaining operating periods; new concepts for radwaste management and ultimate disposal. (orig./CB) [de

  7. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  8. Symposium on the geometrical and geomechanical classification of working coal panels in underground mines. Simposio sobre caracterizacion geometrica y geomecanica de paneles de explotacion de carbon en la mineria subterranea

    Energy Technology Data Exchange (ETDEWEB)

    1990-01-01

    Includes the papers, discussions and conclusions of the above symposium held at the Oviedo School of Mines from 3 to 4 July 1989. The following organisations took part: Spanish Geological/Mining Institute; Ocicarbon; North-West School for Mining Engineers; Higher Council of Schools for Mining Engineers; Department of Mineral Exploration and Mining of the University of Oviedo; and Asturian Council for Industry, Commerce and Tourism.

  9. Proceedings of the seventh symposium on laser spectroscopy

    International Nuclear Information System (INIS)

    1999-01-01

    This proceedings contains articles of the 7th symposium on laser spectroscopy and this symposium is held on Nov. 5-6, 1999 by KAERI. Both the laser and laser beams are critically used in many most precise measurements in science and technology. We discussed about the recently developed subjects in detail during the this symposium. This proceedings is composed of two major parts. One is the invitational lectures and the other is the research papers. And we have a number of invited speakers from several advanced countries. Their talks are the highlights of this symposium. (Cho, G. S.)

  10. Proceedings of the eighth symposium on laser spectroscopy

    International Nuclear Information System (INIS)

    2000-01-01

    This proceedings contains articles of the 8th symposium on laser spectroscopy and this symposium is held on Nov. 3-4, 2000 by KAERI. Both the laser and laser beams are critically used in many most precise measurements in science and technology. We discussed about the recently developed subjects in detail during the this symposium. This proceedings is composed of two major parts. One is the invitational lectures and the other is the research papers. And we have a number of invited speakers from several advanced countries. Their talks are the highlights of this symposium. (Yi, J. H.)

  11. Sixth BHD Symposium and First International Upstate Kidney Cancer Symposium: latest scientific and clinical discoveries

    OpenAIRE

    Bratslavsky, Gennady; Woodford, Mark R.; Daneshvar, Michael; Mollapour, Mehdi

    2016-01-01

    The Sixth BHD Symposium and First International Upstate Kidney Cancer Symposium concluded in September 2015, in Syracuse, NY, USA. The program highlighted recent findings in a variety of areas, including drug development, therapeutics and surgical management of patients with BHD and multi-focal renal tumors, as well as multidisciplinary approaches for patients with localized, locally advanced and metastatic renal cell carcinoma.

  12. Sixth BHD Symposium and First International Upstate Kidney Cancer Symposium: latest scientific and clinical discoveries.

    Science.gov (United States)

    Bratslavsky, Gennady; Woodford, Mark R; Daneshvar, Michael; Mollapour, Mehdi

    2016-03-29

    The Sixth BHD Symposium and First International Upstate Kidney Cancer Symposium concluded in September 2015, in Syracuse, NY, USA. The program highlighted recent findings in a variety of areas, including drug development, therapeutics and surgical management of patients with BHD and multi-focal renal tumors, as well as multidisciplinary approaches for patients with localized, locally advanced and metastatic renal cell carcinoma.

  13. International symposium 'Energetics 2004'. Symposium proceedings. Book 1

    International Nuclear Information System (INIS)

    2004-01-01

    The holding of this Symposium was initiated by ZEMAK - Macedonian Energy Association that for the last tens of years established itself in the national and international scientific and professional circles as a competent association of professionals with the main goal to follow, promote and improve the energy sector in the country. Having in mind the fact that the power energy sector as a fundamental sector plays a main role in the development and the improvement of the rest of the industry, and in the same time has a tremendous impact on the sustainable development of the entire economy of a country, power energy sector in fact has the paramount importance in the business environment of our country. Thus, ZEMAK has historically important role as a responsible and permanent driver, promoter and initiator for resolving of all-important questions directly or indirectly interconnected with the power energy sector in the country, and therefore the entire economy in the country as well. Following the past, ZEMAK had and would always have needs of organizing national and international symposiums and workshops as a panel where directly at one place national and international power energy experts could initiate, debate and resolve all up-to-date questions in the present energy sector in Macedonia, could analyze the modern world trends in the energy sector and try to find appropriate models for their application for further development and improvement of the energy sector in our country. This international symposium has the main goal to concentrate on a single place everybody who has power energy as a permanent preoccupation and/or vocation and professional interest. To aggregate on one place various experts, from the Academy and Universities, from the business circles and companies who are preoccupied with power energy directly or indirectly in their everyday life and work. During the Symposium more than 90 scientific and/or professional papers were presented and discussed

  14. International symposium 'Energetics 2004'. Symposium proceedings. Book 2

    International Nuclear Information System (INIS)

    2004-01-01

    The holding of this Symposium was initiated by ZEMAK - Macedonian Energy Association that for the last tens of years established itself in the national and international scientific and professional circles as a competent association of professionals with the main goal to follow, promote and improve the energy sector in the country. Having in mind the fact that the power energy sector as a fundamental sector plays a main role in the development and the improvement of the rest of the industry, and in the same time has a tremendous impact on the sustainable development of the entire economy of a country, power energy sector in fact has the paramount importance in the business environment of our country. Thus, ZEMAK has historically important role as a responsible and permanent driver, promoter and initiator for resolving of all-important questions directly or indirectly interconnected with the power energy sector in the country, and therefore the entire economy in the country as well. Following the past, ZEMAK had and would always have needs of organizing national and international symposiums and workshops as a panel where directly at one place national and international power energy experts could initiate, debate and resolve all up-to-date questions in the present energy sector in Macedonia, could analyze the modern world trends in the energy sector and try to find appropriate models for their application for further development and improvement of the energy sector in our country. This international symposium has the main goal to concentrate on a single place everybody who has power energy as a permanent preoccupation and/or vocation and professional interest. To aggregate on one place various experts, from the Academy and Universities, from the business circles and companies who are preoccupied with power energy directly or indirectly in their everyday life and work. During the Symposium more than 90 scientific and/or professional papers were presented and discussed

  15. The 12th International Symposium on Spermatology

    Science.gov (United States)

    Aitken, R John; Cummins, Jim M; Nixon, Brett

    2015-01-01

    The 12th International Symposium of Spermatology continued the excellent tradition of this meeting since its inception in 1969 when the first Symposium was held in Italy under the Chairmanship of Professor Baccio Baccetti. This unique Symposium is held every 4 years and serves as a beacon for sperm cell biologists from all over the world, regardless of which species, animal or plant, they are working on. This willingness to embrace the fundamental biology of this distinctive cell type without species limitations is one of the hallmarks of this Symposium. For sperm biologists – it is our Olympics. The meeting in Newcastle, NSW brought together around 300 biologists from more than 22 different countries covering North and South America, Africa, Europe, Asia and Australia. Given the considerable distances and high cost involved in travelling to the East Coast of NSW, this was an outstanding outcome. The Symposium featured a series of 31 plenary lectures culminating in the prestigious Thaddeus Mann Memorial Lecture, which was delivered with typical grace and brilliance by Professor Masaru Okabe. PMID:25994646

  16. Understanding human and organisational factors - Nuclear safety and at-risk organisations

    International Nuclear Information System (INIS)

    Bernard, Benoit

    2014-01-01

    This book addresses human and organisational factors which are present at different moments of the lifetime of an at-risk installation (from design to dismantling). At-risk organisations are considered as firstly human systems, and the objective is then to highlight individual and collective mechanisms in these organisations. Several questions are addressed, notably the origins of at-risk behaviour, and the reasons of the repetition of errors by these organisations. A first chapter, while referring to examples, addresses the human dimension of safety: human and organisational factors as obstacles, normal accidents (Three Mile Island), accidents in high-reliability organisations (Chernobyl), identification of root causes (Tokai-mura), and social-technical approach to safety (Fukushima). By also referring to examples, the second chapter addresses how to analyse at-risk organisations: individual behaviours (case of naval and air transport accidents), team coordination (a fire, the Challenger accident), and organisational regulation (organisations forms and routines, explosion of BP Texas City, explosion of Columbia)

  17. LHC Nobel Symposium Proceedings

    Science.gov (United States)

    Ekelöf, Tord

    2013-12-01

    In the summer of 2012, a great discovery emerged at the Large Hadron Collider (LHC) at CERN in Geneva. A plethora of new precision data had already by then been collected by the ATLAS and CMS experiments at LHC, providing further extensive support for the validity of the Standard Model of particle physics. But what now appeared was the first evidence for what was not only the last unverified prediction of the Standard Model, but also perhaps the most decisive one: the prediction made already in 1964 of a unique scalar boson required by the theory of François Englert and Peter Higgs on how fundamental particles acquire mass. At that moment in 2012, it seemed particularly appropriate to start planning a gathering of world experts in particle physics to take stock of the situation and try to answer the challenging question: what next? By May 2013, when the LHC Nobel Symposium was held at the Krusenberg Mansion outside Uppsala in Sweden, the first signs of a great discovery had already turned into fully convincing experimental evidence for the existence of a scalar boson of mass about 125 GeV, having properties compatible with the 50-year-old prediction. And in October 2013, the evidence was deemed so convincing that the Swedish Royal Academy of Sciences awarded the Nobel Prize in Physics to Englert and Higgs for their pioneering work. At the same time the search at the LHC for other particles, beyond those predicted by the Standard Model, with heavier masses up to—and in some cases beyond—1 TeV, had provided no positive result. The triumph of the Standard Model seems resounding, in particular because the mass of the discovered scalar boson is such that, when identified with the Higgs boson, the Standard Model is able to provide predictions at energies as high as the Planck mass, although at the price of accepting that the vacuum would be metastable. However, even if there were some feelings of triumph, the ambience at the LHC Nobel Symposium was more one of

  18. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  19. Organisational Structure

    Science.gov (United States)

    National Centre for Vocational Education Research (NCVER), 2006

    2006-01-01

    An understanding of organisational structure can provide guidance for organisations that want to change and innovate. Many writers agree that this understanding allows organisations to shape how their work is done to ultimately achieve their business goals--and that too often structure is given little consideration in business strategy and…

  20. The Abel Symposium 2013

    CERN Document Server

    Irgens, Marius; Wold, Erlend

    2015-01-01

    This book focuses on complex geometry and covers highly active topics centered around geometric problems in several complex variables and complex dynamics, written by some of the world’s leading experts in their respective fields. This book features research and expository contributions from the 2013 Abel Symposium, held at the Norwegian University of Science and Technology Trondheim on July 2-5, 2013. The purpose of the symposium was to present the state of the art on the topics, and to discuss future research directions.

  1. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  2. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  3. 2016 AMS Mario J. Molina Symposium

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Renyi [Texas A & M Univ., College Station, TX (United States)

    2016-11-29

    A named symposium to honor Dr. Mario J. Molina was held 10–14 January 2016, as part of the 96th American Meteorological Society (AMS) Annual Meeting in New Orleans, Louisiana. Dr. Molina first demonstrated that industrially produced chlorofluorocarbons (CFCs) decompose in the stratosphere and release chlorine atoms, leading to catalytic ozone destruction. His research in stratospheric chemistry was instrumental to the establishment of the 1987 United Nations Montreal Protocol to ban ozone-depleting substances worldwide. Dr. Molina’s contributions to preserving the planet Earth not only save the atmospheric ozone layer, but also protect the climate by reducing the emissions of greenhouse gases. He was awarded the 1995 Nobel Prize in Chemistry for his pioneering research in understanding the stratospheric ozone loss mechanism. In 2013, President Barack Obama announced Dr. Molina as a recipient of the Presidential Medal of Freedom. The 2016 AMS Molina Symposium honored Dr. Molina’s distinguished contributions to research related to atmospheric chemistry. The symposium contained an integrated theme related to atmospheric chemistry, climate, and policy. Dr. Molina delivered a keynote speech at the Symposium. The conference included invited keynote speeches and invited and contributed oral and poster sessions, and a banquet was held on Tuesday January 12, 2016. The symposium covered all aspects of atmospheric chemistry, with topics including (1) Stratospheric chemistry, (2) Tropospheric chemistry, (3) Aerosol nucleation, growth, and transformation, (4) Aerosol properties, (5) Megacity air pollution, and (6) Atmospheric chemistry laboratory, field, and modeling studies. This DOE project supported 14 scientists, including graduate students, post docs, junior research scientists, and non-tenured assistant professors to attend this symposium.

  4. Materials of All-Polish Symposium Nuclear Techniques in Industry, Medicine, Agriculture and Environment Protection

    International Nuclear Information System (INIS)

    1998-01-01

    The All-Polish Symposium Nuclear Techniques in Industry, Medicine, Agriculture and Environment Protection is cyclic (in 3 year period) conference being a broad review of state of art and development of all nuclear branches cooperated with industry and other branches of national economy and public life in Poland. The conference has been divided in one plenary session and 8 problem sessions as follow: Radiation technologies of flue gas purification; radiation technologies in food and cosmetic industry; application of nuclear techniques in environmental studies and earth science; radiometric methods in material engineering; isotope tracers in biological studies and medical diagnostics; radiometric industrial measuring systems; radiation detectors and device; nuclear methods in cultural objects examination. The poster section as well as small exhibition have been also organised

  5. The Impact of Organisational Learning on Organisational Performance

    Directory of Open Access Journals (Sweden)

    Anna Zgrzywa-Ziemak

    2015-12-01

    Full Text Available Purpose: The aim of this article is to analyse the theoretical views and results of empirical research concerning the relation between organisational learning (OL and organisational performance (OP. Methodology: The study was carried out through extensive literature research, including relevant literature review from databases such as ProQuest, Elsevier, Emerald and EBSCO (the phrases: “organisational learning”, “learning organisation” and “organisational performance” were searched in the keywords, titles or abstracts. Findings: From a theoretical point of view, the relation between OL and OP is neither obvious nor clear, but the analysis of the empirical studies allows one to assume that OL has an essential impact on OP. However, differences in the strength of the relation were shown and some contradictions related to the presence of the relation between OL and selected (mostly financial performance aspects identified. Furthermore, the article discusses the significant differences and inconsistencies in the methods of measuring OL, measuring OP, selecting contextual factors and adopted methods of data analysis. Implications: Inconsistencies and gaps found in the studies of the relationship between OL and OP made it possible to designate the direction for promising further research. Value: The article presents valuable insight through its in-depth, critical analysis of the organisational learning and organisational outcomes. First and foremost, this indicates that the formula of the previous empirical studies does not allow for the development of precise solutions pertaining to organisational learning management for the benefit of OP improvement.

  6. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  7. A Symposium.

    Science.gov (United States)

    Rachal, John R.

    2003-01-01

    Uses the framework of a symposium to present an imagined discussion by historical figures about whether and how knowledge might be acquired. Discussants include Democritus, Protagoras, Heraclitus, Socrates, Jesus, Gorgias, Nietzsche, Buddha, and Kierkegaard. (Contains 40 endnotes.) (SK)

  8. India Symposium

    Indian Academy of Sciences (India)

    JNCASR

    Impact of Women's research in Science and Technology in the new millennium'. The. Symposium will showcase the work done by young Indian Women Scientists in different branches of Science and Engineering, at a wide spectrum of Research ...

  9. Intra-organisational accounting during negotiation processes for inter-organisational control

    DEFF Research Database (Denmark)

    Jakobsen, Morten

    . The study concludes that an important role of management accounting is to reveal the intra-organisational cost consequences of proposals made by suppliers during negotiation processes. Thereby cost information becomes an integrated part of the counter-proposals generated and actively used during......To date the literature on management and management accounting within inter-organisational relationships has mainly focussed on managing the interface between the supplier and the buyer. In contrast to most previous research, this study examines the internal practices of a company engaged in inter......-organisational relationships. It addresses the question of how intra-organisational management accounting practices affect the ability to conduct inter-organisational relationships. A qualitative case study is used to gather information from an electronics company. The company enters its inter-organisational relationships...

  10. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  11. 1984 Statistical symposium on national energy issues: proceedings

    International Nuclear Information System (INIS)

    Kinnison, R.; Doctor, P.

    1985-07-01

    The 1984 Statistical Symposium on National Energy Issues was the tenth in a series of annual symposia bringing together statisticians and other interested parties who are actively engaged in the pursuit of solving the nation's energy problems. Initially the symposium was sponsored by US Department of Energy (DOE) and named the DOE Statistical Symposium. The symposium is organized by a steering committee made up of representatives from the national laboratories. The 1984 symposium was hosted by Pacific Northwest Laboratory, and it was organized around four special topical sessions: (1) assessing and assuring high reliability, (2) spatial statistical, (3) quantification of informed opinion, and (4) health effects of energy technologies. These were chosen by the steering committee as topics currently of high importance in energy research and data analysis. Several contributed papers were also presented. Separate abstracts have been prepared for 17 papers for inclusion in the Energy Data Base

  12. International symposium 'Energetics 2006'. Symposium proceedings

    International Nuclear Information System (INIS)

    2006-01-01

    ZEMAK as a civil association, created in the term positive legitimate regulations of our country, presents non party, non political and non profitable association, which primary goal is animation of eperts and other scientific and non scientific workers in the function of permanent following, studying and giving directives for solve the energy problems for a long temporal period. Behind us are fourteen successful years of fertile and wealthy work, which is bringing maimal penetration in domestic as well as foreign scientific field. This successful work of ZEMAK deserves by all members which professional work is in the institutions like: MANU (Macedonian academy of science and art), Technical faculties from the Universities, state and private company from energy field and other civil persons. The main goal of this 9-th International Symposium traditionally is to collect all engineers and eperts from the field of energy, and those which professional life is energy. During this International Symposium will be present, analyze and discuss about 100 incoming papers, prepared by 100th or more authors and coauthors, divided in the following topics: Basic energy and ecology, Renewable energy sources, Energy efficiency and energy saving and Management in energy and regulations.

  13. The impact of organisational change and fiscal restraint on organisational culture.

    Science.gov (United States)

    Dark, Frances; Whiteford, Harvey; Ashkanasy, Neal M; Harvey, Carol; Harris, Meredith; Crompton, David; Newman, Ellie

    2017-01-01

    Strategies to implement evidence-based practice have highlighted the bidirectional relationship of organisational change on organisational culture. The present study examined changes in perceptions of organisational culture in two community mental health services implementing cognitive therapies into routine psychosis care over 3 years. During the time of the study there were a number of shared planned and unplanned changes that the mental health services had to accommodate. One service, Metro South, had the additional challenge of embarking on a major organisational restructure. A survey of organisational culture was administered to clinical staff of each service at yearly intervals over the 3 years. At baseline assessment there was no significant difference between the two services in organisational culture. At the midpoint assessment, which was conducted at the time the Metro South restructure was operationalized, there were less positive ratings of organisational culture recorded in Metro South compared to the other service. Organisational culture returned to near-baseline levels at endpoint assessment. These findings are consistent with the literature that organisational culture is relatively robust and resilient. It is also consistent with the literature that, at any one time, a service or organisation may have a finite capacity to absorb change. Consequently this limitation needs to be taken into account in the timing and planning of major service reform where possible. The results also extend the literature, insofar as external factors with a high impact on the operation of an organisation may impact upon organisational culture albeit temporarily.

  14. Space 2000 Symposium

    Science.gov (United States)

    1999-01-01

    The purpose of the Space 2000 Symposium is to present the creativity and achievements of key figures of the 20th century. It offers a retrospective discussion on space exploration. It considers the future of the enterprise, and the legacy that will be left for future generations. The symposium includes panel discussions, smaller session meetings with some panelists, exhibits, and displays. The first session entitled "From Science Fiction to Science Facts" commences after a brief overview of the symposium. The panel discussions include talks on space exploration over many decades, and the missions of the millennium to search for life on Mars. The second session, "Risks and Rewards of Human Space Exploration," focuses on the training and health risks that astronauts face on their exploratory mission to space. Session three, "Messages and Messengers Informing and Inspire Space Exploration and the Public," focuses on the use of TV medium by educators and actors to inform and inspire a wide variety of audiences with adventures of space exploration. Session four, "The Legacy of Carl Sagan," discusses the influences made by Sagan to scientific research and the general public. In session five, "Space Exploration for a new Generation," two student speakers and the NASA Administrator Daniel S. Goldin address the group. Session six, "Destiny or Delusion? -- Humankind's Place in the Cosmos," ends the symposium with issues of space exploration and some thought provoking questions. Some of these issues and questions are: what will be the societal implications if we discover the origin of the universe, stars, or life; what will be the impact if scientists find clear evidence of life outside the domains of the Earth; should there be limits to what humans can or should learn; and what visionary steps should space-faring people take now for future generations.

  15. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  16. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  17. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  18. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  19. COMPUTING: International symposium

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    Recent Developments in Computing, Processor, and Software Research for High Energy Physics, a four-day international symposium, was held in Guanajuato, Mexico, from 8-11 May, with 112 attendees from nine countries. The symposium was the third in a series of meetings exploring activities in leading-edge computing technology in both processor and software research and their effects on high energy physics. Topics covered included fixed-target on- and off-line reconstruction processors; lattice gauge and general theoretical processors and computing; multiprocessor projects; electron-positron collider on- and offline reconstruction processors; state-of-the-art in university computer science and industry; software research; accelerator processors; and proton-antiproton collider on and off-line reconstruction processors

  20. 6{sup th} interventional MRI symposium. Abstracts

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2006-07-01

    The ongoing progress in the field of interventional MRI and the great success of our last symposium 2004 in Boston have stimulated us to organize the 6th Interventional MRI Symposium to be held September 15-16, 2006 in Leipzig. This meeting will highlight ground-breaking research as well as cutting-edge reports from many groups. The symposium also provides a forum to network with leaders and innovators in the field. Session topics are: intraoperative MRI, vascular applications, targeted drug delivery, cryotherapy, thermometry, pulse sequences, LITT, percutaneous procedures, navigation, robotics, focused ultrasound. (uke)

  1. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  2. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  3. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  4. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  5. The fifth Finnish national aerosol symposium

    International Nuclear Information System (INIS)

    Mikkanen, P.; Haemeri, K.; Kauppinen, E.

    1993-01-01

    The Fifth Finnish Aerosol Symposium was held June 1-3, 1993. Symposium is jointly organized by FAAR, Aerosol Technology Group of Technical Research Centre of Finland and Helsinki University, Department of Physics. Aerosols, the suspensions of solid and liquid particles and gases, are receiving increasing importance in many areas of science and technology. These include industrial hygiene, ambient and indoor air pollution, pollution control technologies, cloud physics, nuclear safety engineering, combustion science and engineering, clean manufacturing technologies and material processing. The importance of aerosol issues during the development of advanced fuel conversion and material processing technologies can be realized when looking at the numerous papers presented on these topics at the Symposium

  6. Nuclear third party liability and insurance - Status and prospects. Proceedings of the Munich symposium, 10th-14th September 1984

    International Nuclear Information System (INIS)

    1985-01-01

    A symposium on Nuclear Third Party Liability and Insurance, organised by the OECD Nuclear Energy Agency and the International Atomic Energy Agency in 1984 reviewed the fundamental principles of the nuclear third party liability regime and discussed the relationship of the insurance market with the international Conventions in this field. It also examined the concept of nuclear damage and a number of new issues raised by technical developments such as long-term radioactive waste management and decommissioning of nuclear installations. These proceedings reproduce the papers presented, in English or French, as well as the ensuing discussions and panel discussions. (NEA) [fr

  7. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  8. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  9. Organisation

    DEFF Research Database (Denmark)

    Sørensen, Henrik B.; Hansen, Kaj; Heide, Asbjørn

    Bogen giver en indføring i de centrale emner indenfor organisation og ledelse. Bogen henvender sig især til akademi- og diplomuddannelserne samt uddannelser til professionsbachelorer, der alle har et anvendelsesorienteret formål. Endvidere henvender bogen sig til uddannelser og kurser, der...... beskæftiger sig med ledelse og organisation på et videregående niveau. Til bogen er der udarbejdet en lang række supplerende materialer til undervisere og studerende i form af opgaver og cases, test med tilhørende svar, vejledninger i opgaveløsning og projektarbejde. dette univers kan findes på bogens...... hjemmeside på www.organisation.academica.dk...

  10. IUTAM Symposium

    CERN Document Server

    Stefanou, George

    2014-01-01

    This book contains the proceedings of the IUTAM Symposium on Multiscale Modeling and Uncertainty Quantification of Materials and Structures that was held at Santorini, Greece, September 9 – 11, 2013. It consists of 20 chapters which are divided in five thematic topics: Damage and fracture, homogenization, inverse problems–identification, multiscale stochastic mechanics and stochastic dynamics. Over the last few years, the intense research activity at microscale and nanoscale reflected the need to account for disparate levels of uncertainty from various sources and across scales. As even over-refined deterministic approaches are not able to account for this issue, an efficient blending of stochastic and multiscale methodologies is required to provide a rational framework for the analysis and design of materials and structures. The purpose of this IUTAM Symposium was to promote achievements in uncertainty quantification combined with multiscale modeling and to encourage research and development in this grow...

  11. Organisation

    DEFF Research Database (Denmark)

    Lindkvist, Pia

    Du vil som læser få et indblik i, hvordan omgivelsernes ændrede krav til virksomhederne ændrer på organisations- og ledelsesteorien. Baggrunden for ”Organisation – videregående uddannelser” er, at give dig egenskaberne til at analysere og vurdere ledelsesmæssige og organisatoriske problemer...

  12. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  13. The 20th Hadron Collider Physics Symposium in Evian

    CERN Multimedia

    Ludwik Dobrzynski and Emmanuel Tsesmelis

    The 20th Hadron Collider Physics Symposium took place in Evian from 16 to 20 November 2009. The Hadron Collider Physics Symposium series has been a major forum for presentations of physics at the Tevatron over the past two decades. The merger of the former Topical Conference on Hadron Collider Physics with the LHC Symposium in 2005 brought together the Tevatron and LHC communities in a single forum. The 20th Hadron Collider Physics Symposium took place in Evian, on the shores of Lake Geneva, from 16-20 November 2009, some 17 years after the historic ECFA-CERN Evian meeting in March 1992 when Expressions of Interest for LHC detectors were presented for the first time. The 2009 event was organized jointly by CERN and the French high-energy physics community (CNRS-IN2P3 and CEA-IRFU). More than 170 people registered for this symposium. This year’s symposium was held at an important time for both the Tevatron and the LHC. It stimulated the completion of analyses for a significant Tevatron data sam...

  14. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  15. Organising to Enable Innovation

    DEFF Research Database (Denmark)

    Brink, Tove

    2016-01-01

    The purpose of this conceptual paper is to reveal how organising can enable innovation across organisational layers and organisational units. This approach calls for a cross-disciplinary literature review. The aim is to provide an integrated understanding of innovation in an organisational approach....... The findings reveal a continous organising process between individual/ team creativity and organisational structures/control to enable innovation at firm level. Organising provides a dynamic approach and contains the integrated reconstruction of creativity, structures and boundaries for enhanced balance...... of explorative and exploitative learning in uncertain environments. Shedding light on the cross-disciplinary theories to organise innovation provides a contribution at the firm level to enable innovation....

  16. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  17. Coal economics and taxation discussed at symposium

    Energy Technology Data Exchange (ETDEWEB)

    1978-06-01

    Some of the highlights from the Symposium on Coal Economics and Taxation Symposium, Regina Saskatchewan May 7-9, 1978, sponsored by the Coal Association of Canada are presented. Investment, provincial policy, sources of funds, uncertainty, tax policies, and operating costs are discussed.

  18. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  19. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  20. Symposium on unsaturated flow and transport modeling

    International Nuclear Information System (INIS)

    Arnold, E.M.; Gee, G.W.; Nelson, R.W.

    1982-09-01

    This document records the proceedings of a symposium on flow and transport processes in partially saturated groundwater systems, conducted at the Battelle Seattle Research Center on March 22-24, 1982. The symposium was sponsored by the US Nuclear Regulatory Commission for the purpose of assessing the state-of-the-art of flow and transport modeling for use in licensing low-level nuclear waste repositories in partially saturated zones. The first day of the symposium centered around research in flow through partially saturated systems. Papers were presented with the opportunity for questions following each presentation. In addition, after all the talks, a formal panel discussion was held during which written questions were addressed to the panel of the days speakers. The second day of the Symposium was devoted to solute and contaminant transport in partially saturated media in an identical format. Individual papers are abstracted

  1. Symposium on unsaturated flow and transport modeling

    Energy Technology Data Exchange (ETDEWEB)

    Arnold, E.M.; Gee, G.W.; Nelson, R.W. (eds.)

    1982-09-01

    This document records the proceedings of a symposium on flow and transport processes in partially saturated groundwater systems, conducted at the Battelle Seattle Research Center on March 22-24, 1982. The symposium was sponsored by the US Nuclear Regulatory Commission for the purpose of assessing the state-of-the-art of flow and transport modeling for use in licensing low-level nuclear waste repositories in partially saturated zones. The first day of the symposium centered around research in flow through partially saturated systems. Papers were presented with the opportunity for questions following each presentation. In addition, after all the talks, a formal panel discussion was held during which written questions were addressed to the panel of the days speakers. The second day of the Symposium was devoted to solute and contaminant transport in partially saturated media in an identical format. Individual papers are abstracted.

  2. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  3. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  4. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  5. Researching enterprises between organisation and organising

    DEFF Research Database (Denmark)

    Elkjær, Bente; Brandi, Ulrik

    : State University of New York Press. Orlikowski, W. J. (1996). Improvising organizational transformation over time: A situated change perspective. Information Systems Research, 7(1), 63-92. Park, R. E. (1952). Human Communities: The City and Human Ecology Glencoe, IL: Free Press. Rosenthal, S. (1998......RESEARCHING ENTERPRISES BETWEEN ORGANISATION AND ORGANISING Ulrik Brandi & Bente Elkjaer, Department of Learning, University of Aarhus, Danish School of Education, Tuborgvej 164, 2400 Copenhagen NV, Denmark Short paper submission to the 26th European Group of Organization Studies Colloquium, Waves...... philosophy and pragmatism followed by an elaboration of the transactional relationship between subject and world and the notion of social worlds. The final element in the paper is an empirical illustration - researching organisational learning in the midst of change that illustrates the rhythm...

  6. Organisational Learning: Conceptual Links to Individual Learning, Learning Organisation and Knowledge Management

    OpenAIRE

    Siu Loon Hoe

    2007-01-01

    Organisational learning has over the years been subject of much study by scholars and managers. In the process, the organisational learning concept has been linked to many other knowledge concepts such as individual learning, learning organisation, and knowledge management. This paper draws from existing literature in organisational behaviour, human resource management, marketing, and information management, to further develop the conceptual links between organisational learning and these kno...

  7. IAEA symposium on international safeguards

    International Nuclear Information System (INIS)

    1999-01-01

    The eighth IAEA Symposium on International Safeguards was organized by the IAEA in cooperation with the Institute of Nuclear Materials Management and the European Safeguards Research and Development Association. It was attended by over 350 specialists and policy makers in the field of nuclear safeguards and verification from more than 50 countries and organizations. The purpose of the Symposium was to foster a broad exchange of information on concepts and technologies related to important developments in the areas of international safeguards and security. For the first time in the history of the symposia, the IAEA is issuing proceedings free of charge to participants on CD-ROM. The twenty-two plenary, technical, and poster sessions featured topics related to technological and policy aspects from national, regional and global perspectives. The theme of the Symposium: Four Decades of Development - Safeguarding into the New Millennium set the stage for the commemoration of a number of significant events in the annals of safeguards. 1997 marked the Fortieth Anniversary of the IAEA, the Thirtieth Anniversary of the Tlatelolco Treaty, and the Twentieth Anniversary of the Department of Safeguards Member State Support Programmes. There were special events and noted presentations featuring these anniversaries and giving the participants an informative retrospective view of safeguards development over the past four decades. The proceedings of this symposium provide the international community with a comprehensive view of where nuclear safeguards and verification stood in 1997 in terms of the growing demands and expectations. The Symposium offered thoughtful perspectives on where safeguards are headed within the broader context of verification issues. As the world of international nuclear verification looks towards the next millennium, the implementation of the expanding and strengthened safeguards system presents formidable challenges

  8. Proceedings of the sixth symposium on laser spectroscopy

    International Nuclear Information System (INIS)

    1998-11-01

    This proceedings contains articles of the 6th symposium on laser spectroscopy and this symposium is held on Nov. 3-4, 1998 by KAERI. Laser spectroscopy is one of the most important areas in optical science and engineering and we discussed about the recently developed subjects in detail during the this symposium. This proceedings is composed of two major parts. One is the invitational lectures and the other is the research papers. This have a very important and very valuable lecture by Dr. William Phillips who is the 1997 Nobel Laureate in Physics. His special lecture was very wonderful and fruitful. And we have a number of invited speakers from several advanced countries. Their talks are the highlights of this symposium. (Cho, G. S.)

  9. 6th European symposium on uroradiology

    International Nuclear Information System (INIS)

    Bujlov, V.M.

    1999-01-01

    Materials of the 6th European symposium on uroradiology held in Strasbourg (France) in September, 1998. Symposium topics included problems of radiological diagnosis of kidneys, renovascular hypertension, man and woman sterility, pelvis organs of men and women, functional studies of lower urinary tract, pediatric and interventional uroradiology. Great attention is paid to magnetic resonance tomography, ultrasonography and conventional biomedical radiography [ru

  10. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  11. Intergovernmental organisation activities

    International Nuclear Information System (INIS)

    2016-01-01

    This section treats of the following Intergovernmental organisation activities: 1 - European Atomic Energy Community: Non-legally binding instruments (Commission Recommendation on the application of Article 103 of the Euratom Treaty; Communication from the Commission on a Nuclear Illustrative Program; Report from the Commission to the European Parliament and the Council on the implementation of the work under the nuclear decommissioning assistance program to Bulgaria, Lithuania and Slovakia in 2015 and previous years); International Atomic Energy Agency (IAEA): Joint Convention on the Safety of Spent Fuel Management and on the Safety of Radioactive Waste Management; 60. Regular Session of the IAEA General Conference (Resolutions of the Conference, Measures to strengthen international cooperation in nuclear, radiation, transport and waste safety (GC(60)/RES/9): conventions, regulatory frameworks and supporting non-legally-binding instruments for safety, Nuclear installation safety, Safe management of radioactive sources, Nuclear Security (GC(60)/RES/10)); IAEA Treaty Event; Legislative assistance activities; OECD Nuclear Energy Agency (NEA): New member of the Generation IV International Forum; New signatories to the extension of the GIF Framework Agreement; International Framework for Nuclear Energy Cooperation (IFNEC) 'Latin American Nuclear Energy Stakeholders Conference', 25-26 October 2016, Buenos Aires, Argentina; 10. national workshop of the NEA Forum on Stakeholder Confidence (FSC); Symposium on the Fukushima Nuclear Power Plant Accident and Law and Policy, 24-25 September 2016, Tokyo, Japan; Nuclear Law Committee meeting; NEA publications of interest; Regulatory and Institutional Framework for Nuclear Activities; 16. Session of the International School of Nuclear Law (ISNL); 2017 session of the International Nuclear Law Essentials (INLE); Table on Nuclear Operator Liability Amounts and Financial Security Limits

  12. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  13. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  14. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  15. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  16. Highlights from the 2015 WIN Symposium: novel targets, innovative agents, and advanced technologies-a WINning strategy?

    Science.gov (United States)

    Schilsky, Richard L

    2015-01-01

    The worldwide innovative networking (WIN) consortium comprises a global alliance of 28 academic and clinical cancer centres, 11 pharmaceutical and technology companies and five charitable or health payer organisations. Since its inception the consortium has striven to provide a forum for all of its members to network, share information and experience, and perform clinical trials with the overarching goal of advancing the care of patients with cancer through the use of precision medicine. The annual 2-day WIN Symposium is the most visible output of the consortium and provides an opportunity for around 400 experts and other delegates to meet and discuss the latest research and initiatives in personalised cancer medicine. The seventh WIN Symposium, held in Paris, France, 29-30 June 2015, consisted of nine plenary and eight poster sessions that covered the overarching theme of novel targets, innovative agents, and advanced technologies being a winning strategy. Highlights included discussions of immune mechanisms and ways to target the cancer immunome and systems biology approaches to supporting personalised cancer. The latest data from the BATTLE-2 and WINther trials were discussed, and round table discussions were held that focused on how best to design the next generation of clinical trials, which included SPRING, SUMMER, and BOOSTER being initiated by the WIN Consortium.

  17. International Symposium: “Scientific School of L.S. Vygotsky: Traditions and Innovations” and International ISCAR Summer University for PhD Students

    Directory of Open Access Journals (Sweden)

    Baykovskaya N.A.,

    2016-12-01

    Full Text Available The article represents a brief report on the work of the International Symposium: «Scientific School of L.S. Vygotsky: Traditions and Innovations» and VI th International ISCAR Summer University for PhD Students and young scholars, that were held in Moscow State University of Psychology & Education on June, 28 — July, 3 in 2016 in commemoration of the 120th anniversary of the great Russian psychologist L.S. Vygotsky. The main goals of the events organised by MSUPE include: analysis of the basic principles and the system of concepts of L.S. Vygotsky’s scientific school, discussion of the current state and the prospect for the development of the cultural-historical theory in Russia and abroad, integration of the ideas of the cultural-historical psychology and activity approach in various kinds of social and educational practices, as well as conducting research in the international scientific space. Symposium gathered the world’s leading experts and young scholars in the field of cultural-historical theory and activity approach from 19 countries, including United Kingdom, Australia, Switzerland, Greece, Brasil and USA.

  18. 7th International Symposium on Gaseous Dielectrics

    CERN Document Server

    James, David

    1994-01-01

    The Seventh International Symposium on Gaseous Dielectrics was held in Knoxville, Tennessee, U. S. A. , on April 24-28, 1994. The symposium continued the interdisciplinary character and comprehensive approach of the preceding six symposia. Gaseous DielecIries VII is a detailed record of the symposium proceedings. It covers recent advances and developments in a wide range of basic, applied and industrial areas of gaseous dielectrics. It is hoped that Gaseous DielecIries VII will aid future research and development in, and encourage wider industrial use of, gaseous dielectrics. The Organizing Committee of the Seventh International Symposium on Gaseous Dielectrics consisted of G. Addis (U. S. A. ), L. G. Christophorou (U. S. A. ), F. Y. Chu (Canada), A. H. Cookson (U. S. A. ), O. Farish (U. K. ), I. Gallimberti (Italy) , A. Garscadden (U. S. A. ), D. R. James (U. S. A. ), E. Marode (France), T. Nitta (Japan), W. Pfeiffer (Germany), Y. Qiu (China), I. Sauers (U. S. A. ), R. J. Van Brunt (U. S. A. ), and W. Zaengl...

  19. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  20. NATO Symposium on Human Detection and Diagnosis of System Failures

    CERN Document Server

    Rouse, William

    1981-01-01

    This book includes all of the papers presented at the NATO Symposium on Human Detection and Diagnosis of System Failures held at Roskilde, Denmark on August 4-8, 1980. The Symposium was sponsored by the Scientific Affairs Division of NATO and the Rise National Laboratory of Denmark. The goal of the Symposium was to continue the tradition initiated by the NATO Symposium on Monitoring Behavior and Supervisory Control held in Berchtesgaden, F .R. Germany in 1976 and the NATO Symposium on Theory and Measurement of Mental Workload held in Mati, Greece in 1977. To this end, a group of 85 psychologists and engineers coming from industry, government, and academia convened to discuss, and to generate a "state-of-the-art" consensus of the problems and solutions associated with the human IS ability to cope with the increasing scale of consequences of failures within complex technical systems. The Introduction of this volume reviews their findings. The Symposium was organized to include brief formal presentations of pape...

  1. Exploring corporate social responsibility and organisational commitment within a retail organisation

    OpenAIRE

    Jerelene Soobramoney; Ophillia Ledimo

    2016-01-01

    Organisations have difficulty retaining employees who have the necessary talent, skills and knowledge to give the company a competitive edge in a global market, thus emphasising the need for organisational commitment. The objective of the study was to explore the relationship between corporate social responsibility and organisational commitment within a South African retail organisation. Corporate social responsibility has a positive influence on consumer behaviour and can contribute to corpo...

  2. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  3. Persuasive Writing and the Student-Run Symposium

    Science.gov (United States)

    Mayer, James C.

    2007-01-01

    High school teacher James C. Mayer explains how a student-run symposium can promote "risk-taking and participation" and help students practice effective persuasion skills before demonstrating them in writing. The symposium places students in roles that encourage responsibility and ownership for discussion and learning, shifting the classroom…

  4. Organisational commitment and turnover intentions in humanitarian organisations

    NARCIS (Netherlands)

    Wijnmaalen, Julia; Heyse, Liesbet; Voordijk, Johannes T.

    2016-01-01

    Unwanted staff turnover is a prominent HRM problem in humanitarian organisations. In the profit sector, HRM tools such as pay, benefits, socialisation and training have proven to be effective in increasing organisational commitment and decreasing staff turnover. This study explores whether such

  5. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  6. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  7. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  8. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  9. Stress and organisational citizenship behaviours in Indian business process outsourcing organisations

    Directory of Open Access Journals (Sweden)

    Ajay K. Jain

    2012-09-01

    Full Text Available This study investigates the direct effect of organisational stress (as measured through ASSET on organisational citizenship behaviours (OCBs. A sample of 402 operators was taken from business process outsourcing (BPO organisations located in northern India. The authors hypothesised that there is a negative relationship between organisational stress and OCBs. Results of multiple regression analysis showed that stress had significant negative impact on OCBs. The implications for managers are discussed.

  10. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  11. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  12. Organisational LCA

    DEFF Research Database (Denmark)

    Martínez-Blanco, Julia; Finkbeiner, Matthias

    2018-01-01

    environmental performance over time, supporting strategic decisions, and informing corporate sustainability reporting. Several initiatives are on the way for the LCA of organisations: the UNEP/SETAC Life Cycle Initiative published the ‘Guidance on organizational LCA’, using ISO/TS 14072 as a backbone; moreover......, when the unit of analysis and the system boundaries are defined. Also, the approach for data collection needs to be fixed. Organisational LCA is a compilation and evaluation of the inputs, outputs and potential environmental impacts of the activities associated with the organisation adopting a life...... cycle perspective. It includes not only the facilities of the organisation itself, but also the activities upstream and downstream the value chain. This methodology is capable of serving multiple goals at the same time, like identifying environmental hotspots throughout the value chain, tracking...

  13. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  14. Symposium on Differential Geometry and Differential Equations

    CERN Document Server

    Berger, Marcel; Bryant, Robert

    1987-01-01

    The DD6 Symposium was, like its predecessors DD1 to DD5 both a research symposium and a summer seminar and concentrated on differential geometry. This volume contains a selection of the invited papers and some additional contributions. They cover recent advances and principal trends in current research in differential geometry.

  15. The effect of organisational context on organisational development (OD) interventions

    OpenAIRE

    Sanjana Brijball Parumasur

    2012-01-01

    Orientation: Systematic and congruent organisational structures, systems, strategies and designs are necessary for the successful implementation of organisational development (OD) interventions. Research purpose: This article examines national and international OD practices. It assesses the effect of diverse cultures and cultural values for determining the effectiveness of OD interventions. Motivation for the study: Most organisational change and development programmes fail and only a ...

  16. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  17. Exploring corporate social responsibility and organisational commitment within a retail organisation

    Directory of Open Access Journals (Sweden)

    Jerelene Soobramoney

    2016-11-01

    Full Text Available Organisations have difficulty retaining employees who have the necessary talent, skills and knowledge to give the company a competitive edge in a global market, thus emphasising the need for organisational commitment. The objective of the study was to explore the relationship between corporate social responsibility and organisational commitment within a South African retail organisation. Corporate social responsibility has a positive influence on consumer behaviour and can contribute to corporate success because CSR activities enhance an organisation’s image. Research has indicated that corporate social responsibility is related to an employee’s commitment. The Corporate Social Responsibility Scale and the Organisational Commitment Scale were administered to a non-probability sample of 171 employees from a population of 268 employees in the human resources department of a retail company. Person’s correlation analysis was used to determine the relationship between corporate social responsibility and organisational commitment. This study provided insight into the corporate social responsibility of the organisation. Managers and practitioners in the human resources may use these findings for the development of corporate social responsibility policies and practices in order to build employee commitment

  18. 8th International symposium on transport phenomena in combustion

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-31

    The 8th International Symposium on Transport Phenomena in Combustion will be held in San Francisco, California, U.S.A., July 16-20, 1995, under the auspices of the Pacific Center of Thermal-Fluids Engineering. The purpose of the Symposium is to provide a forum for researchers and practitioners from around the world to present new developments and discuss the state of the art and future directions and priorities in the areas of transport phenomena in combustion. The Symposium is the eighth in a series; previous venues were Honolulu 1985, Tokyo 1987, Taipei 1988, Sydney 1991, Beijing 1992, Seoul 1993 and Acapulco 1994, with emphasis on various aspects of transport phenomena. The current Symposium theme is combustion. The Symposium has assembled a balanced program with topics ranging from fundamental research to contemporary applications of combustion theory. Invited keynote lecturers will provide extensive reviews of topics of great interest in combustion. Colloquia will stress recent advances and innovations in fire spread and suppression, and in low NO{sub x} burners, furnaces, boilers, internal combustion engines, and other practical combustion systems. Finally, numerous papers will contribute to the fundamental understanding of complex processes in combustion. This document contains abstracts of papers to be presented at the Symposium.

  19. Organisational commitment and turnover intentions: evidence from Nigerian paramilitary organisation

    Directory of Open Access Journals (Sweden)

    Dotun Olaleye Faloye

    2014-09-01

    Full Text Available This study explores the empirical link between different dimensions of organizational commitment and turnover intentions on Nigeria paramilitary organisation. A literature review of organizational commitment and employee turnover provides the basis for the research hypotheses. Four research hypotheses were formulated and tested at 95% and 99% confidence level. The study adopted a survey research design. A self-administered questionnaire was used, involving 144 respondents from selected paramilitary organisation in Akure, Nigeria to collect data and testing the existing theory. Data collected were analysed using both descriptive and inferential statistical techniques. In contrary to theory, the study revealed a weak positive relationship between organizational commitment dimensions (affective, continuance and normative and turnover intentions. The relationships are statistically significant expect the one between turnover intention and normative commitment which is statistically insignificant. The study concluded that the commitment of an employee to organisational goals, missions, and values is not enough to predict his/her stay in the organisation. There are other variables apart from organisational commitment that are predictor of employees’ intentions to quit. Thus, organisations should look beyond forces in their internal environment, when considering reduction in employee’s turnover intentions and the actual employee’s turnover.

  20. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  1. Verslag symposium : "M€€r welzijn zonder pijn"

    NARCIS (Netherlands)

    Driesse, M.; Kluivers-Poodt, M.

    2010-01-01

    Op 27 april 2010 hield men in Lelystad het symposium 'Meer welzijn zonder pijn' over het thema welzijn bij landbouwhuisdieren, georganiseerd door Wageningen UR Livestock Research in samenwerking met Boehringer Ingelheim. Hoewel het symposium primair bedoeld was voor rundvee- en varkensdierenartsen,

  2. Highlight: IDRC sponsors Caribbean symposium on impact of ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    12 mai 2015 ... An IDRC-sponsored symposium exploring the impact of the Internet on economic ... Le symposium commandité par le CRDI, qui a eu lieu à Saint Andrew, ... Une nouvelle recherche fait état d'arguments convaincants pour ...

  3. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  4. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    Science.gov (United States)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  5. Proceedings of the international nuclear power plant aging symposium

    International Nuclear Information System (INIS)

    Beranek, A.

    1989-03-01

    This report presents the proceedings of the International Nuclear Power Plant Aging Symposium that was held at the Hyatt Regency Hotel in Bethesda, Maryland, on August 30-31 and September 1, 1988. The Symposium was presented in cooperation with the American Nuclear Society, the American Society of Civil Engineers, the American Society of Mechanical Engineers, and the Institute of Electrical and Electronics Engineers. There were approximately 550 participants from 16 countries at the Symposium

  6. [Proceedings of the VII international symposium 'Cultural heritage in geosciences, mining and metallurgy : libraries, archives, museums' : "Museums and their collections" held at the Nationaal Natuurhistorisch Museum Leiden (The Netherlands), 19-23 May, 2003 / Cor F. Winkler Prins and Stephen K. Donovan (editors)]: The 2003 Peter Schmidt award presented to Joanne Lerud

    NARCIS (Netherlands)

    Winkler Prins, C.F.

    2004-01-01

    It was decided 'en petit comite' to present the Peter Schmidt award for 2003 to Joanne Lerud, a dear friend who attended many of the 'Erbe Symposia' and made valuable contributions to them. The award is especially for organising in such an excellent way the Fifth International Symposium 'Cultural

  7. Organisational intelligence and distributed AI

    OpenAIRE

    Kirn, Stefan

    1995-01-01

    The analysis of this chapter starts from organisational theory, and from this it draws conclusions for the design, and possible organisational applications, of Distributed AI systems. We first review how the concept of organisations has emerged from non-organised black-box entities to so-called computerised organisations. Within this context, organisational researchers have started to redesign their models of intelligent organisations with respect to the availability of advanced computing tec...

  8. Organisational Structure & Change

    Science.gov (United States)

    National Centre for Vocational Education Research (NCVER), 2006

    2006-01-01

    Structural change is seen as a way to meet the challenges of the future that face many organisations. While some writers agree that broad-ranging structural change may not always transform an organisation or enhance its performance, others claim that innovation will be a major source of competitive advantage to organisations, particularly when…

  9. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  10. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  11. Introduction and Overview of the Symposium Anil Kumar (Indian ...

    Indian Academy of Sciences (India)

    First page Back Continue Last page Overview Graphics. Introduction and Overview of the Symposium Anil Kumar (Indian Institute of Science, Bangalore). Symposium on Quantum Computing and Quantum Information. Notes:

  12. Organisational learning in professional discourses.

    Directory of Open Access Journals (Sweden)

    Martin Sedláček

    2012-06-01

    Full Text Available Authors of the paper discuss the concept of organisational learning. Theyrefer to a wide range of definitions and concepts, point out some differences from related or analogous concepts used, such as knowledge management and learning organisation. They note the discussions that relate to key topics. These discussions concern levels at which organisational learning can take place, the effect on behaviour organisational performance. The following section of this article presents organisational learning as a process and describes the stages of organisational learning. The purpose of the article is to provide an overview of efforts to define organisational learning, including criticism of the results of this effort. The last partis devoted to selected theories and empirical findings on organisational learning atschool. The authors stress the importance of organisational learning for schools asspecific organisations and express request to examine the processes of organisational learning in this context.

  13. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  14. Conserving biodiversity on native rangelands: Symposium proceedings

    Science.gov (United States)

    Daniel W. Uresk; Greg L. Schenbeck; James T. O' Rourke

    1997-01-01

    These proceedings are the result of a symposium, "Conserving biodiversity on native rangelands" held on August 17, 1995 in Fort Robinson State Park, NE. The purpose of this symposium was to provide a forum to discuss how elements of rangeland biodiversity are being conserved today. We asked, "How resilient and sustainable are rangeland systems to the...

  15. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  16. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  17. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  18. IUTAM Symposium

    CERN Document Server

    Pedley, Timothy

    2003-01-01

    The IUTAM Symposium on Flow in Collapsible Tubes and Past Other Highly Compliant Boundaries was held on 26-30 March, 2001, at the University of Warwick. As this was the first scientific meeting of its kind we considered it important to mark the occasion by producing a book. Accordingly, at the end of the Symposium the Scientific Committee met to discuss the most appropriate format for the book. We wished to avoid the format of the conventional conference book consisting of a large number of short articles of varying quality. It was agreed that instead we should produce a limited number of rigorously refereed and edited articles by selected participants who would aim to sum up the state of the art in their particular research area. The outcome is the present book. Peter W. Ca rpenter, Warwick Timothy J. Pedley, Cambridge May, 2002. VB SCIENTIFIC COMMITTEE Co-Chair: P.W. Carpenter, Engineering, Warwiek, UK Co-Chair: TJ. Pedley, DAMTP, Cambridge, UK V.V. Babenko, Hydromechanics, Kiev, Ukraine R. Bannasch, Bionik...

  19. Trust in management, communication and organisational commitment: Factors influencing readiness for change management in organisation

    Science.gov (United States)

    Ahmad, Mohd Hafis; Ismail, Syuhaida; Rani, Wan Nurul Mardiah Wan Mohd; Wahab, Mohammad Hussaini

    2017-10-01

    Organisational change occurs when an organisation makes a transition from its current state to some desired future state in minimising employee resistance and cost to the organisation while simultaneously maximising the effectiveness of the change effort. This paper, aims at appraising the change management of organisation in Malaysia since limited research has been done to examine readiness for change by the employees in the organisation. This paper is materialising its objectives of (1) investigating the current practice of organisation and employees in the organisation towards change management and (2) assessing the factors influencing readiness of organisation and employees in the organisation towards change management. It is found via literature review that change management is a structured approach for ensuring that changes are thoroughly and smoothly implemented to transitioning individuals, teams, and organisations to a desired future state by focusing on the wider impacts of change, particularly on people, where change does not happen in isolation and it impacts the whole organisation. Furthermore, it is found that current practice of organisation and employees in the organisation towards change management involved in three main factors, namely trust in management, communication and organisational commitment; with the factor for trust in management is the positive vision for the future by management team, meanwhile for communication, it is found that there is good communication between supervisors and employees about the organisation's policy toward the changes. The factor found in organisational commitment is employees enjoying discussing about their organisation with outsiders. The findings of this paper provide a positive impact on change management planning, which ultimately help in ensuring more effective change programme implementation in the organisation in Malaysia.

  20. Organisational change: Deliberation and modification

    NARCIS (Netherlands)

    Jonker, C.M.; Schut, M.C.; Treur, J.

    2003-01-01

    For an information-agent-based system to support virtual (Internet-supported) organisations, changes in environmental conditions often demand changes in organisational behaviour, i.e., organisational changes. As organisational behaviour relates to organisational structure, rethinking the structure

  1. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  2. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  3. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  4. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  5. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  6. Organising integration

    DEFF Research Database (Denmark)

    Axelsson, Runo

    2013-01-01

    Background: In Sweden, as in many other countries, there has been a succession of trends in the organisation of health care and other welfare services. These trends have had different implications for the integration of services in the health and welfare system. Aims: One aim is to discuss...... the implications of different organisational trends for the integration of health and welfare services. Another aim is to introduce a Swedish model of financial coordination as a flexible way to organise integration. Organisational trends: In the 1960’s there was an expansion of health and welfare services leading...... an increasing lack of integration in the health and welfare system. In the 2000’s, there has been a re-centralisation through mergers of hospitals, regions and state agencies. It has become clear, however, that mergers do not promote integration but rather increase the bureaucratisation of the system. Model...

  7. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  8. 78 FR 10180 - Annual Computational Science Symposium; Conference

    Science.gov (United States)

    2013-02-13

    ...] Annual Computational Science Symposium; Conference AGENCY: Food and Drug Administration, HHS. ACTION... Pharmaceutical Users Software Exchange (PhUSE), is announcing a public conference entitled ``The FDA/PhUSE Annual Computational Science Symposium.'' The purpose of the conference is to help the broader community align and...

  9. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  10. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  11. EVALUATION OF THE PROJECT MANAGEMENT ORGANISATIONAL ABILITY OF PUBLIC ORGANISATIONS

    OpenAIRE

    Florescu Margareta

    2012-01-01

    The quality of the results of a project or a public programme, as well as the quality of project management consist in management process performance.This analysis tool promotes the idea of initiating a new organisational/functional policy – organisational tools regarding project management, a new standard concerning the complexity of the project and the associated risk, as well as a new standard concerning the project management organisational/functional ability. Political decision makers,...

  12. 30th International Acoustical Imaging Symposium

    CERN Document Server

    Jones, Joie; Lee, Hua

    2011-01-01

    The International Symposium on Acoustical Imaging is a unique forum for advanced research, covering new technologies, developments, methods and theories in all areas of acoustics. This interdisciplinary Symposium has been taking place every two years since 1968. In the course of the years the proceedings volumes in the Acoustical Imaging Series have become a reference for cutting-edge research in the field. In 2009 the 30th International Symposium on Acoustical Imaging was held in Monterey, CA, USA, March 1-4. Offering both a broad perspective on the state-of-the-art as well as  in-depth research contributions by the specialists in the field, this Volume 30 in the Series contains an excellent collection of forty three papers presented in five major categories: Biomedical Imaging Acoustic Microscopy Non-Destructive Evaluation Systems Analysis Signal Analysis and Image Processing Audience Researchers in medical imaging and biomedical instrumentation experts.

  13. Proceedings of the second ERDA statistical symposium

    Energy Technology Data Exchange (ETDEWEB)

    Tietjen, G.; Campbell, K. (comps.)

    1977-04-01

    The Second ERDA Statistical Symposium, sponsored by the Energy Research and Development Administration, was held at Oak Ridge, Tennessee, October 25-27, 1976. This was the second annual symposium designed to promote interlaboratory communications among ERDA statisticians as well as contacts with statisticians from other institutions. The proceedings of the first symposium, held at Los Alamos in November, 1975, have been published by Batelle Pacific Northwest Laboratories (BNWL-1986). Separate abstracts were prepared for seven of the papers in this proceeding, all going in ERDA Energy Research Abstracts (ERA) and two in Energy Abstracts for Policy Analysis (EAPA). The remaining four have already been cited in ERA and can be found by referring to CONF-761023-- in the report number index. (RWR)

  14. NIFS symposium: toward the research of fusion burning plasmas

    International Nuclear Information System (INIS)

    Itoh, Sanae

    1993-07-01

    NIFS symposium, entitled 'Toward the research of Fusion Burning Plasmas - Present status and Future Strategy' was held at NIFS on July 15th 1992. This NIFS symposium covers various topics related to burning plasma, e.g., JET DT experiment, Plan for DT experiment on TFTR as well as the future trends among researchers. To study the critical issues and trends of future research, a questionnaire was sent to about 100 researchers. This report presents such activities in the NIFS symposium. (author)

  15. Proceedings of the 2012 symposium on nuclear data

    International Nuclear Information System (INIS)

    Nakajima, Ken; Hori, Jun-ichi

    2013-10-01

    The 2012 symposium on nuclear data organized by the Nuclear Data Division of Atomic Energy Society of Japan (AESJ) and Research Reactor Institute, Kyoto University (KURRI) was held at Kumatori, KURRI on Nov. 15th and 16th, 2012 in cooperation with Nuclear Science and Engineering Directorate of Japan Atomic Energy Agency. The symposium was devoted for presentations and discussions on the four topics: 'Nuclear Power after Fukushima Nuclear Plant Accident', 'Application of Nuclear Data', 'How Should We Deal with Covariances of Nuclear Data?' and 'Validation of JENDL-4.0 and Future', as well as poster sessions on various research fields. Tutorials on neutron resonance capture and transmission analysis, international trend of nuclear data research were also given in the symposium. Talks as well as posters presented at the symposium aroused lively discussions among approximately 83 participants. This report consists of total 35 papers including 13 oral presentations and 22 poster presentations. (author)

  16. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  17. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  18. 3rd International Symposium on Innovative Management, Information and Production

    CERN Document Server

    Xu, Bing; Wu, Berlin

    2014-01-01

    Innovative Management in Information and Production is based on the proceedings of the Third International Symposium on Innovative Managemet, Information and Production. This symposium is held by International Society of Management Engineers. The symposium took place on October 8-10, in Ho Chi Minh City, Vietnam. This book examines recent innovative management of information and productions such as digital collection management and operations planning, scheduling and control.

  19. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  20. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  1. Celebrity-led development organisations

    DEFF Research Database (Denmark)

    Budabin, Alexandra Cosima; Rasmussen, Louise Mubanda; Richey, Lisa Ann

    2017-01-01

    The past decade has seen a frontier open up in international development engagement with the entrance of new actors such as celebrity-led organisations. We explore how such organisations earn legitimacy with a focus on Madonna’s Raising Malawi and Ben Affleck’s Eastern Congo Initiative. The study...... for funding, endorsements, and expertise. We argue that the ways in which celebrity-led organisations establish themselves as legitimate development actors illustrate broader dynamics of the machinery of development.......The past decade has seen a frontier open up in international development engagement with the entrance of new actors such as celebrity-led organisations. We explore how such organisations earn legitimacy with a focus on Madonna’s Raising Malawi and Ben Affleck’s Eastern Congo Initiative. The study...... draws from organisational materials, interviews, mainstream news coverage, and the texts of the celebrities themselves to investigate the construction of authenticity, credibility, and accountability. We find these organisations earn legitimacy and flourish rapidly amid supportive elite networks...

  2. Organisational Learning and Organisational Memory for SMS and FRMS

    NARCIS (Netherlands)

    Koornneef, F.; Stewart, S.; Akselsson, R.; Ward, M.

    2009-01-01

    Chapter 1: Organisational Learning and Organisational Memory for SMS and FRMS The European Commission HILAS project (Human Integration into theLifecycle of Aviation Systems - a project supported by the European Commission’s 6th Framework between 2005-2009) was focused on using human factors

  3. Case studies on age-management in organisations: report on organisational case studies

    NARCIS (Netherlands)

    Punte, E.; Conen, W.S.; Schippers, J.; Henkens, C.J.I.M.

    2011-01-01

    The acquisition of case studies was thwarted by the economic crisis and the feeling of being ‘over-researched’ by potential organisations. Although organisations in some sectors (e.g. chemical manufacturing) reported the worst part of the economic crisis was behind, many organisations indicated

  4. Proceedings of the European Symposium on Pressure Equipment - ESOPE 2007; Actes des Journees d'Etudes Europeennes Equipements sous Pression - ESOPE 2007

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2007-07-01

    orientations retained for pressure equipments used in the nuclear industry, the European standards and the international collaboration, the Chinese standards and finally the recent developments of the codes and standards of the ASME in the USA. ESOPE 2007 also includes a Poster Session organized in the context of the international exhibition, which allows the companies to present their industrial realizations in parallel to the Symposium. (author) [French] Tous les trois ans, et depuis maintenant trente ans, l AFIAP organise un symposium ou les experts et acteurs oeuvrant dans le domaine des equipements sous pression, qu'ils soient fixes ou transportables, peuvent se rencontrer et echanger sur tous les developpements touchant a leur activite. Ce qui etait dans un premier temps denomme 'Congres national sur la technologie des appareils a pression', puis 'Journees d'etude sur les appareils a pression', est devenu depuis 2001 les 'Journees d'etude Europeennes sur les equipements sous pression' ou encore 'European Symposium on Pressure Equipment' (ESOPE), marquant ainsi une volonte d'ouverture a l'Europe et a l'international dans un contexte marque par la mise en oeuvre des directives europeennes applicables a ces equipements. Les journees 2001 furent plus particulierement consacrees a la mise en oeuvre des directives harmonisant la construction des equipements, et les journees 2004 ont ete plus particulierement axees sur la gestion des equipements en service. Pour le symposium ESOPE 2007, le comite d organisation a souhaite reprendre ces deux themes sous les aspects de la securite et de la competitivite, ainsi que de l'innovation. La seance pleniere du dernier jour sera entierement consacree a ces themes et comportera une Table Ronde ou s'exprimeront des representants nationaux et europeens des pouvoirs publics, des representants des fabricants et utilisateurs, ainsi que des experts engages dans la

  5. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  6. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  7. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  8. The 2002 Starting Artificial Intelligence Researchers Symposium

    OpenAIRE

    Vidal, Thierry

    2003-01-01

    During the 2002 European Conference on Artificial Intelligence (ECAI-02) was introduced the Starting Artificial Intelligence Researchers Symposium STAIRS), the first-ever international symposium specifically aimed at Ph.D. students in AI. The outcome was a thorough, high-quality, and successful event, with all the features one usually finds in the best international conferences: large international committees, comprehensive coverage, published proceedings, renowned speakers and panelists, sub...

  9. Welcome and introduction to symposium - Day 2

    OpenAIRE

    humanities, Symposium on Information and technology in the arts and; McLaughlin, Jeremy Lee; Matusiak, Krystyna

    2015-01-01

    Welcome and introduction slides used for presentation at Day 2 of the Virtual Symposium on Information and Technology in the Arts and Humanities, held April 22 and 23, 2015. The Symposium was co-sponsored by the ASIS&T (Association for Information Science and Technology) Special Interest Group for Arts and Humanities (SIG AH) and the Special Interest Group for Visualization, Images, and Sound (SIG VIS).

  10. Nagasaki symposium on Chernobyl: Update and future. Proceedings

    International Nuclear Information System (INIS)

    Nagataki, Shigenobu

    1994-01-01

    This publication contains valuable, up-to-date scientific information on the health effects of the Chernobyl accident, obtained from almost all the leading international and national organizations. The purpose of the Nagasaki symposium on Chernobyl was to present and discuss the available data from all over the world independent of psychological, social, economic, and political bias, and thus to make conclusions that would further medical science. To this end, the symposium consisted of two sessions, 'Chernobyl update' and 'Chernobyl in the future'. Along with the proceedings of these two sessions, the proceedings of the Japan-NIS Chernobyl thyroid symposium, held in December 1993 are included in this book. (orig.)

  11. The ILL millennium symposium and European user meeting

    International Nuclear Information System (INIS)

    Carlile, C.J.; Frick, B.; Radaelli, P.G.; Alba-Simionesco, C.; Chauty, A.; Niss, K.; Casas, F.; Sokolov, A.; Lequeux, F.; Montes, H.; Harrison, A.; Schurtenberger, P.; Dubbers, D.; Frank, A.; Gudel, H.U.; Wagner, R.; Vettier, C.; Gahler, R.; Protassov, K.; Geltenbort, P.; Plonka, C.; Simpson, G.; Pinston, J.A.; Genevey, J.; Urban, W.; Scherillo, A.; Orlandi, R.; Smith, A.G.; Mana, G.; Abele, H.; Van der Grinten, M.; Steuwer, A.; Klotz, S.; Hamel, G.; Strassle, C.Th.; Kervananois, N.; Koza, M.M.; Nishiyama, Y.; Langan, P.; Wada, M.; Sugiyama, J.; Chanzy, H.; Gunter, M.M.; Lerch, M.; Boysen, H.; Korte, C.; Suard, E.; Blanco, J.A.; Fernandez-Rodriguez, J.; Brown, P.J.; Stunault, A.; Katsumata, K.; Lovesey, S.W.; Iga, F.; Michimura, S.; Kremer, R.K.; Banks, M.; Capogna, L.; Enderle, M.; Gibson, B.J.; McIntyre, G.J.; Ouladdiaf, B.; Pujol, S.; Raggazzoni, J.L.; Rheinstadter, M.; Schefer, J.; Boehm, M.; Roessli, B.; Wills, A.S.; Ouladdiaf, B.; Lelievre-Berna, E.; Goff, J.P.; Toader, A.M.; Skoulatos, M.; Enderle, M.; Stewart, J.R.; Murani, A.; Roger, M.; Shannon, N.; Kaul, E.E.; Geibel, C.; Simonet, V.; Lhotel, E.; Paulsen, C.; Ressouche, E.; Staub, U.; Amato, A.; Baines, C.; Petrakovskii, G.A.; Bramwell, S.; Fukuhara, N.; Ebert, J.; Lindner, D.; Dauvergne, M.T.; Hartlein, M.; Timmins, P.; Conti, E.; Svergun, D.; Budayova-Spano, M.; Bonnete, F.; El Hajji, M.; Blakeley, M.P.; Meilleur, F.; Castro, B.; Gabel, F.; Ferrand, M.; Chenal, A.; Forge, V.; Fragneto, G.; Haertlein, M.; Gillet, D.; Haertlein, M.

    2006-01-01

    Five years ago the ILL (Institute Laue-Langevin) convened the first Millennium symposium in order to launch an ambitious modernization program of instruments and infrastructure known as the ILL Millennium Program. After 5 years of activity we have decided to run a second such symposium. The scientific program of this Millennium Symposium will address the following points: -) instrumental and scientific achievements made possible by the Millennium Program, -) trends in science and engineering and the implications for the ILL, -) scenarios for future instrumentation and user support facilities, and -) the scientific priorities of the user community. This document gathers the abstracts of 63 contributions and 73 posters

  12. The ILL millennium symposium and European user meeting

    Energy Technology Data Exchange (ETDEWEB)

    Carlile, C.J.; Frick, B.; Radaelli, P.G.; Alba-Simionesco, C.; Chauty, A.; Niss, K.; Casas, F.; Sokolov, A.; Lequeux, F.; Montes, H.; Harrison, A.; Schurtenberger, P.; Dubbers, D.; Frank, A.; Gudel, H.U.; Wagner, R.; Vettier, C.; Gahler, R.; Protassov, K.; Geltenbort, P.; Plonka, C.; Simpson, G.; Pinston, J.A.; Genevey, J.; Urban, W.; Scherillo, A.; Orlandi, R.; Smith, A.G.; Mana, G.; Abele, H.; Van der Grinten, M.; Steuwer, A.; Klotz, S.; Hamel, G.; Strassle, C.Th.; Kervananois, N.; Koza, M.M.; Nishiyama, Y.; Langan, P.; Wada, M.; Sugiyama, J.; Chanzy, H.; Gunter, M.M.; Lerch, M.; Boysen, H.; Korte, C.; Suard, E.; Blanco, J.A.; Fernandez-Rodriguez, J.; Brown, P.J.; Stunault, A.; Katsumata, K.; Lovesey, S.W.; Iga, F.; Michimura, S.; Kremer, R.K.; Banks, M.; Capogna, L.; Enderle, M.; Gibson, B.J.; McIntyre, G.J.; Ouladdiaf, B.; Pujol, S.; Raggazzoni, J.L.; Rheinstadter, M.; Schefer, J.; Boehm, M.; Roessli, B.; Wills, A.S.; Ouladdiaf, B.; Lelievre-Berna, E.; Goff, J.P.; Toader, A.M.; Skoulatos, M.; Enderle, M.; Stewart, J.R.; Murani, A.; Roger, M.; Shannon, N.; Kaul, E.E.; Geibel, C.; Simonet, V.; Lhotel, E.; Paulsen, C.; Ressouche, E.; Staub, U.; Amato, A.; Baines, C.; Petrakovskii, G.A.; Bramwell, S.; Fukuhara, N.; Ebert, J.; Lindner, D.; Dauvergne, M.T.; Hartlein, M.; Timmins, P.; Conti, E.; Svergun, D.; Budayova-Spano, M.; Bonnete, F.; El Hajji, M.; Blakeley, M.P.; Meilleur, F.; Castro, B.; Gabel, F.; Ferrand, M.; Chenal, A.; Forge, V.; Fragneto, G.; Haertlein, M.; Gillet, D.; Haertlein, M

    2006-07-01

    Five years ago the ILL (Institute Laue-Langevin) convened the first Millennium symposium in order to launch an ambitious modernization program of instruments and infrastructure known as the ILL Millennium Program. After 5 years of activity we have decided to run a second such symposium. The scientific program of this Millennium Symposium will address the following points: -) instrumental and scientific achievements made possible by the Millennium Program, -) trends in science and engineering and the implications for the ILL, -) scenarios for future instrumentation and user support facilities, and -) the scientific priorities of the user community. This document gathers the abstracts of 63 contributions and 73 posters.

  13. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  14. 9th International Symposium on Lactic Acid Bacteria

    NARCIS (Netherlands)

    Kuipers, Oscar P.; Poolman, Berend; Hugenholtz, Jeroen

    What’s new in the field of lactic acid bacteria? The 9th International Symposium on Lactic Acid Bacteria (LAB9) will take place 31 August to 4 September 2008 in Egmond aan Zee, The Netherlands. Traditionally, the triannual LAB symposium focuses on the themes of genetics, physiology, and applications

  15. 28th International Acoustical Imaging Symposium

    CERN Document Server

    André, Michael P; Andre, Michael; Arnold, Walter; Bamber, Jeff; Burov, Valentin; Chubachi, Noriyoshi; Erikson, Kenneth; Ermert, Helmut; Fink, Mathias; Gan, Woon S; Granz, Bernd; Greenleaf, James; Hu, Jiankai; Jones, Joie P; Khuri-Yakub, Pierre; Laugier, Pascal; Lee, Hua; Lees, Sidney; Levin, Vadim M; Maev, Roman; Masotti, Leonardo; Nowicki, Andrzej; O’Brien, William; Prasad, Manika; Rafter, Patrick; Rouseff, Daniel; Thijssen, Johan; Tittmann, Bernard; Tortoli, Piero; Steen, Anton; Waag, Robert; Wells, Peter; Acoustical Imaging

    2007-01-01

    The International Acoustical Imaging Symposium has been held continuously since 1968 as a unique forum for advanced research, promoting the sharing of technology, developments, methods and theory among all areas of acoustics. The interdisciplinary nature of the Symposium and the wide international participation are two of its main strengths. Scientists from around the world present their papers in an informal environment conducive to lively discussion and cross-fertilization. The fact that a loyal community of scientists has supported this Series since 1968 is evidence of its impact on the field. The Symposium Series continues to thrive in a busy calendar of scientific meetings without the infrastructure of a professional society. It does so because those who attend and those who rely on the Proceedings as a well-known reference work acknowledge its value. This Volume 28 of the Proceedings likewise contains an excellent collection of papers presented in six major categories, offering both a broad perspective ...

  16. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  17. PREFACE: E-MRS 2012 Spring Meeting, Symposium M: More than Moore: Novel materials approaches for functionalized Silicon based Microelectronics

    Science.gov (United States)

    Wenger, Christian; Fompeyrine, Jean; Vallée, Christophe; Locquet, Jean-Pierre

    2012-12-01

    material systems piezoelectric films and nanostructures Atomic Layer Deposition (ALD) of oxides and nitrides characterization and metrology of very thin oxide layers We would like to take this opportunity to thank the Scientific Committee and Local Committee for bringing together a coherent and high quality Symposium at E-MRS 2012 Spring Meeting. Christian Wenger, Jean Fompeyrine, Christophe Vallée and Jean-Pierre Locquet Organizing Committee of Symposium M September 2012

  18. Organisational skills and tools.

    Science.gov (United States)

    Wicker, Paul

    2009-04-01

    While this article mainly applies to practitioners who have responsibilities for leading teams or supervising practitioners, many of the skills and tools described here may also apply to students or junior practitioners. The purpose of this article is to highlight some of the main points about organisation, some of the organisational skills and tools that are available, and some examples of how these skills and tools can be used to make practitioners more effective at organising their workload. It is important to realise that organising work and doing work are two completely different things and shouldn't be mixed up. For example, it would be very difficult to start organising work in the middle of a busy operating list: the organisation of the work must come before the work starts and therefore preparation is often an important first step in organising work. As such, some of the tools and skills described in this article may need to be used hours or even days prior to the actual work taking place.

  19. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  20. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  1. Proceedings of DAE-BRNS national symposium on Limnology

    International Nuclear Information System (INIS)

    Venkataramani, B.; Puranik, V.D.; Apte, S.K.; Gour, H.N.; Sharma, S.K.; Sharma, L.L.; Sharma, B.K.; Gupta, H.C.L.; Verma, P.C.

    2007-01-01

    The present symposium NSL addresses both the basic and applied aspects of limnology. The outcome of the symposium will help in the formulation of water policy that will result in the sustained availability of healthy fresh water resources and also benefit the industrial end user. Papers relevant to INIS are indexed separately

  2. International RILEM Symposium

    CERN Document Server

    Birgisson, Björn; Frost, David; Wang, Linbing

    2013-01-01

    The micro- and nano-modification of infrastructure materials and the associated multi-scale characterization and simulation has the potential to open up whole new uses and classes of materials, with wide-ranging implications for society. The use of multi-scale characterization and simulation brings the ability to target changes at the very small scale that predictably effect the bulk behavior of the material and thus allowing for the optimization of material behavior and performance.   The International RILEM Symposium on Multi-Scale Modeling and Characterization of Infrastructure Materials (Stockholm, June 10-12, 2013) brought together key researchers from around the world to present their findings and ongoing research in this field in a focused environment with extended discussion times. From asphalt to concrete, from chemistry to mechanics, from nano- to macro-scale: the collection of topics covered by the Symposium represents the width and depth of the currently ongoing efforts of developing more sustain...

  3. International symposium on the history of mining and metallurgy. Internationales Symposium zur Geschichte des Bergbaus und Huettenwesens

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, E; Engewald, G R [eds.

    1980-01-01

    The VII. International Symposium took place in Freiberg and Reinsberg, in the German Democratic Republic, from September 4-8, 1978. The Symposium was organized by the Executive Committee of the International Committee on the History of Technology (ICOHTEC) and was attended by 77 participants from 14 countries in Eastern and Western Europe as well as USA and Canada. A total of 51 papers were published and 30 talks were held and analyzed in discussions. The papers dealt with selected topics on the history of the mining and metallurgical industries, primarily from the fifteenth century to the twentieth century. The topics concern the development of science, of new mining and metallurgical equipment and processes, innovation in mines, increase in productivity, improvement in working conditions, economic progress and economic crisis, social aspects of the labor force and trade union movements in major mining countries. An excursion to a brown coal surface mine was organized in conjunction with the Symposium. (In German)

  4. Learning organisations

    Directory of Open Access Journals (Sweden)

    Sabina Jelenc Krašovec

    2000-12-01

    Full Text Available A vast array of economical, social, political, cultural and other factors influences the transformed role of learning and education in the society, as well as the functioning of local community and its social and communication patterns. The influences which are manifested as global problems can only be successfully solved on the level of local community. Analogously with the society in general, there is a great need of transforming a local community into a learning, flexible and interconnected environment which takes into account different interests, wishes and needs regarding learning and being active. The fundamental answer to changes is the strategy of lifelong learning and education which requires reorganisation of all walks of life (work, free time, family, mass media, culture, sport, education and transforming of organisations into learning organisations. With learning society based on networks of knowledge individuals are turning into learning individuals, and organisations into learning organisations; people who learn take the responsibility of their progress, learning denotes partnership among learning people, teachers, parents, employers and local community, so that they work together to achieve better results.

  5. Investigating cyberloafing, organisational justice, work engagement and organisational trust of South African retail and manufacturing employees

    Directory of Open Access Journals (Sweden)

    Adele Oosthuizen

    2018-05-01

    Full Text Available Orientation: Understanding cyberloafing, organisational justice, work engagement and organisational trust will lead organisations to develop strategies to counter the consequences of cyberloafing. Research purpose: This research explored the relationships between cyberloafing, organisational justice, work engagement and organisational trust among South African office workers in the retail and manufacturing industry. Motivation for the study: Cyberloafing, a prevalent way for office employees to engage in non-work-related activities during work time, is considered harmful to organisations. Limited research exists about the relationship between cyberloafing and organisational justice, organisational trust and work engagement within South Africa. Research design, approach and method: A quantitative research design was followed. Questionnaires were administered in the South African retail and manufacturing industry; a convenient sample of N = 224 was obtained. Descriptive statistics, Cronbach’s alpha coefficients, structural equation modelling and bootstrapping were used for data analysis. Main findings: Organisational justice was positively related to organisational trust while organisational trust was positively related to work engagement; work engagement related negatively to cyberloafing. Organisational trust mediated the relationship between organisational justice and work engagement while work engagement mediated the relationship between organisational trust and cyberloafing. Practical and managerial implications: Strategies can be developed to enhance and warrant perceptions of organisational justice and fairness that will increase trust levels, leading to higher work engagement and decreased cyberloafing behaviour and resulting in higher productivity. Contribution or value-add: The research revealed that when employees perceive their organisations as being fair, organisational trust will increase, leading to heightened work

  6. Mining and Reclamation Technology Symposium

    Energy Technology Data Exchange (ETDEWEB)

    None Available

    1999-06-24

    The Mining and Reclamation Technology Symposium was commissioned by the Mountaintop Removal Mining/Valley Fill Environmental Impact Statement (EIS) Interagency Steering Committee as an educational forum for the members of the regulatory community who will participate in the development of the EIS. The Steering Committee sought a balanced audience to ensure the input to the regulatory community reflected the range of perspectives on this complicated and emotional issue. The focus of this symposium is on mining and reclamation technology alternatives, which is one of eleven topics scheduled for review to support development of the EIS. Others include hydrologic, environmental, ecological, and socio-economic issues.

  7. Proceedings of the 3rd NINS symposium on engineering and technology

    International Nuclear Information System (INIS)

    2008-10-01

    The 3rd NINS Symposium on Engineering and Technology was held on 24-25, July 2008 at National Institute for Fusion Science (NIFS), organized by Department of Engineering and Technical Services, NIFS. This Symposium is continuation of an annual series. The 1st one was held on 25-26 July, 2006 organized by National Astronomical Observatory of Japan. The 2nd one was held on 25-26 June, 2007 organized by Institute for Molecular Science. This proceedings is a compilation of papers presented at the symposium. 49 participants from 5 institutes of NINS attended this symposium and 23 papers were presented. (author)

  8. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  9. Organisational support, role clarity, job insecurity and organisational commitment of employees in a petrochemical organisation / Rirhandzu Milder Nqubane

    OpenAIRE

    Nqubane, Rirhandzu Milder

    2008-01-01

    Organisations have been under enormous pressure due to the changes that they are constantly faced with. Most organisations have at some stage been involved in restructuring, laying-off of employees, and outsourcing of non-core business activities with the aim of coping with the change process. When organisations go through these changes, they still need to support their employees. They must ensure that the employees' roles are clarified, and that they feel secure in their jobs in order to imp...

  10. International Symposium for the Promotion of APEC Environmental Technology Exchange; APEC kankyo gijutsu koryu sokushin symposium

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-11-11

    The International Symposium for the Promotion of APEC Environmental Technology Exchange was held under the theme `The function and role expected of the APEC Virtual Center,` with the objectives of clarifying the need for future intra-regional environmental technological exchange, defining the types of information and personnel exchange, and promoting the use of interactive character of the APEC Virtual Center for Environmental Technology Exchange by encouraging access to and participation in the Virtual Center project. It was held in the period of 11th and 12th, November in 1996, at the venue of Rinku International Convention Center in Osaka. The symposium was attended by 477 persons from nine countries, i.e., Australia, Canada, China, Indonesia, Korea, Philippines, Thailand, the US, and Japan, comprising staff members of intra-regional environment-related organizations. After the keynote speech, `Current status and tasks of environmental technology exchange`, and `Expected roles of the Virtual Center for Environmental Technology Exchange` were discussed. During the plenary session, the chairman summarized the symposium. This summary was carried on the Virtual Center homepage of the Internet

  11. 2013 International Symposium on Optomechatronic Technologies

    CERN Document Server

    Cho, Young-June; Wang, Wei-Chih; Cho, Hyungsuck

    2014-01-01

    Optomechatronics, as a fusion of optical and mechatronic engineering, have played a key role in developing innovative products such as high precision instruments, defence, photonic systems, measurements, diagnostics, semiconductors, and so on. And optomechatronics technologies have greatly contributed to the state of the art industries in optics design, manufacturing, optical imaging, metrology, and other applications. This book covers a multitude of optomechatronics advantages and solutions. It includes 20 contributions featuring laser and fiber optics, nitride semiconductors, LIDAR technology, machine vision, optical imaging, micro optoelectro mechanical systems, optical metrology, optical-based sensors and actuators, optomechatronics for microscopes, optical pattern and fiber, optomechatronics for bio-medical applications, optomechatronics for manufacturing applications, robotics for micro and nano scales, and other applications. As revised and extended versions, the contributed articles are selected from ...

  12. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  13. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  14. Army symposium: Electrical energy engineering today; Wehrtechnisches Symposium: Moderne elektrische Energietechnik

    Energy Technology Data Exchange (ETDEWEB)

    Busse, H. (ed.) [Bundesakademie fuer Wehrverwaltung und Wehrtechnik, Mannheim (Germany). Fachgebiet Elektrotechnik und Elektroenergiewesen

    2000-05-01

    This symposium was held in Mannheim on May 20/21, 2000. All aspects of energy engineering were discussed, including electrochemical energy sources like accumulator batteries and fuel cells. The proceedings volume contains 26 papers which reflect the state of the art and current trends in electrical energy engineering in the German army. [German] Das Wehrtechnische Symposium 'Moderne elektrische Energietechnik' wurde von der Lehrabteilung Wehrtechnik der Bundesakademie fuer Wehrverwaltung und Wehrtechnik in Mannheim in der Zeit vom 20.05.-21.05.2000 durchgefuehrt. Das Programm enthaelt die aktuellen Themen der elektrischen Energietechnik. Die elektroschemischen Energiequellen (Batterien und Brennstoffzellen) wurden in das Programm integriert. Dadurch konnte das gesamte Spektrum der Energietechnik vielschichtig dargestellt und in der Diskussion unter z.T. sehr verschiedenen Gesichtspunkten beleuchtet werden. Die im vorliegenden Tagungsband abgedruckten 26 Skripte wurden weder gekuerzt noch ergaenzt. Sie zeigen insgesamt den aktuellen Stand und die erfolgversprechenden Entwicklungstendenzen der elektrischen Energietechnik in der Bundeswehr auf. (orig.)

  15. 77 FR 4568 - Annual Computational Science Symposium; Public Conference

    Science.gov (United States)

    2012-01-30

    ...] Annual Computational Science Symposium; Public Conference AGENCY: Food and Drug Administration, HHS... with the Pharmaceutical Users Software Exchange (PhUSE), is announcing a public conference entitled ``The FDA/PhUSE Annual Computational Science Symposium.'' The purpose of the conference is to help the...

  16. International Ocean Symposium (IOS) 1996; Kokusai kaiyo symposium 1996

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-02-18

    This is a proceedings of the International Ocean Symposium 1996. On the first day of the symposium, the following were given with a theme `The Ocean, Can She Save Us`: Underwater research and future of mankind as a commemorative speech; The ocean, can she save us -- trying to discover the true figure of the ocean as a keynote speech. Panel discussion was held on The global environment and the infinite potential of the ocean. On the second day, an approach was made mostly from a cultural aspect with a theme `The Ocean and the Japanese.` The following were given: Human links between east and west as a commemorative speech; The ocean and Japanese culture as a keynote speech; Civilization spanning across oceans as a panel discussion. The Japanese have been developing their individual technologies in shipbuilding, shipping, and ocean development, have been raised by Mother Ocean, and have lived together. Ocean has been supplying humans food, water, oxygen, marine routes, and even dream and hope. The environmental pollution is the result of the human greediness. It is fear and friendship between humans and ocean that can save humans and ocean.

  17. Three domains of project organising

    OpenAIRE

    Winch, Graham M.

    2014-01-01

    It has become axiomatic in research on project organising that projects are temporary organisations. Yet there are a number of challenges to this axiom: research on matrix organisation, the embeddedness of projects in project ecologies, and projectification all emphasise the relationship of the project to permanent organisations. Similarly, research on project-based firms and owner organisations which are relatively permanent challenges this axiom. This paper develops a conceptual framework w...

  18. 28th International Symposium on Shock Waves

    CERN Document Server

    2012-01-01

    The University of Manchester hosted the 28th International Symposium on Shock Waves between 17 and 22 July 2011. The International Symposium on Shock Waves first took place in 1957 in Boston and has since become an internationally acclaimed series of meetings for the wider Shock Wave Community. The ISSW28 focused on the following areas: Blast Waves, Chemically Reacting Flows, Dense Gases and Rarefied Flows, Detonation and Combustion, Diagnostics, Facilities, Flow Visualisation, Hypersonic Flow, Ignition, Impact and Compaction, Multiphase Flow, Nozzle Flow, Numerical Methods, Propulsion, Richtmyer-Meshkov, Shockwave Boundary Layer Interaction, Shock Propagation and Reflection, Shock Vortex Interaction, Shockwave Phenomena and Applications, as well as Medical and Biological Applications. The two Volumes contain the papers presented at the symposium and serve as a reference for the participants of the ISSW 28 and individuals interested in these fields.

  19. Symposium on coal organised by the Spanish mining club. El carbon. Simposio organizado por el Club Espanol de la Mineria

    Energy Technology Data Exchange (ETDEWEB)

    1986-01-01

    Round table organised by the Spanish Mining Club. The participants discussed the effect of energy policy on research and on the future for coal in Spain, pointing out that production levels were exceeding the prediction made under the National Energy Plan.

  20. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  1. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  2. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  3. Innovation and organisational performance: A critical review of the instruments used to measure organisational performance

    Directory of Open Access Journals (Sweden)

    Tebogo Sethibe

    2016-10-01

    Full Text Available Background: Innovation is recognised as one of the most important determinant of organisational performance. Yet, the results of studies that investigate the relationship between innovation and organisational performance are inconclusive. The inconsistency has been attributed to a number of factors, which include, among others, the measures used to evaluate organisational performance. Aim: This study was set out to identify, categorise and critically analyse the instruments used to assess organisational performance when investigating the relationship between innovation and organisational performance. Setting: The study focuses on all scientific publications reporting on organisational performance, inclusive of both financial and non-financial indicators of performance, and are not limited to any specific country or industry. Methods: The systematic literature review methodology was used to identify studies which investigated the relationship between innovation and organisational performance. Once identified, articles were analysed on the way organisational performance was measured. Classification was done with reference to financial and non-financial indicators, accounting and market-based, as well as objective and subjective measures. Results: The findings show that profitability, sales growth and return on assets (ROA are the most preferred accounting-based financial measures of organisation performance. In addition, Tobin’s Q was found to be the most favoured market-based financial measure of organisational performance. The study further reveals that market share, customer satisfaction and productivity are the most popular non-financial-based measures of organisational performance. Conclusion: The use of measures of organisational performance is often left to the discussion of the researcher, which is not implicitly wrong, but does little to contribute to the body of knowledge on this important topic. Researchers are firstly urged to

  4. XXth symposium neuroradiologicum 2014

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2014-09-15

    The volume includes abstracts from lectures and poster presentations during the XXth symposium neuroradiologicum 2014 covering the following issues: Stroke, head and neck, pediatric diagnostic neuroradiology, spine and interventional neuroradiology, adult diagnostic neuroradiology, intravascular interventional neuroradiology.

  5. Fibrosing organising pneumonia.

    Science.gov (United States)

    Beardsley, Brooke; Rassl, Doris

    2013-10-01

    Organising pneumonia (otherwise referred to as bronchiolitis obliterans organising pneumonia) is characterised histologically by plugs of granulation tissue, which are present predominantly within small airways, alveolar ducts and peri-bronchiolar alveoli. This pattern is not specific for any disorder or cause, but is one type of inflammatory response to pulmonary injury, which may be seen in a wide variety of clinical conditions. Typically, organising pneumonia responds very well to corticosteroid treatment; however, a small percentage of patients appear to develop progressive fibrosis.

  6. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  7. Proceedings of the sixteenth biennial low-rank fuels symposium

    International Nuclear Information System (INIS)

    1991-01-01

    Low-rank coals represent a major energy resource for the world. The Low-Rank Fuels Symposium, building on the traditions established by the Lignite Symposium, focuses on the key opportunities for this resource. This conference offers a forum for leaders from industry, government, and academia to gather to share current information on the opportunities represented by low-rank coals. In the United States and throughout the world, the utility industry is the primary user of low-rank coals. As such, current experiences and future opportunities for new technologies in this industry were the primary focuses of the symposium

  8. Proceedings of the first internet symposium on nuclear data

    International Nuclear Information System (INIS)

    Fukahori, Tokio; Iwamoto, Osamu; Nakagawa, Tsuneo

    1997-03-01

    The First Internet Symposium on Nuclear Data (ISND-1) was held on the WWW home page of Nuclear Data Center at Tokai Research Establishment, Japan Atomic Energy Research Institute (JAERI), from April 8 to June 15, 1996. This symposium was organized by Japanese Nuclear Data Committee and Nuclear Data Center, JAERI and was the first trial to use 'Internet' for the symposium in a nuclear data field. In ISND-1, presented were 25 papers on various topics of nuclear data. Those presented papers are compiled in this proceedings as well as brief description about management of ISND-1. (author)

  9. Proceedings of the first internet symposium on nuclear data

    Energy Technology Data Exchange (ETDEWEB)

    Fukahori, Tokio; Iwamoto, Osamu; Nakagawa, Tsuneo [eds.] [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1997-03-01

    The First Internet Symposium on Nuclear Data (ISND-1) was held on the WWW home page of Nuclear Data Center at Tokai Research Establishment, Japan Atomic Energy Research Institute (JAERI), from April 8 to June 15, 1996. This symposium was organized by Japanese Nuclear Data Committee and Nuclear Data Center, JAERI and was the first trial to use `Internet` for the symposium in a nuclear data field. In ISND-1, presented were 25 papers on various topics of nuclear data. Those presented papers are compiled in this proceedings as well as brief description about management of ISND-1. (author)

  10. Proceedings of the 3rd Symposium on Engineering Sciences

    International Nuclear Information System (INIS)

    Ahmed, J.; Rizvi, S.Z.H.; Ahmad, R.; Saleem, M.

    2010-01-01

    The 3rd symposium on engineering sciences was held from March 10-12, 2010 in Lahore, Pakistan. More than twenty academic institutions and six industries participated in this conference. The foreign and Pakistani experts delivered their keynotes talk, contributor lectures and poster presentation on the conference topics. In three days of the symposium, Fifty four papers presented on different topics of Engineering Sciences including chemical engineering, energy engineering, metallurgy engineering, material engineering and electrical engineering. This symposium provided an ideal opportunity for exchange of information amongst scientists, engineers and researchers from all over Pakistan and other countries of the world. (A.B)

  11. Proceedings of the sixteenth biennial low-rank fuels symposium

    Energy Technology Data Exchange (ETDEWEB)

    1991-01-01

    Low-rank coals represent a major energy resource for the world. The Low-Rank Fuels Symposium, building on the traditions established by the Lignite Symposium, focuses on the key opportunities for this resource. This conference offers a forum for leaders from industry, government, and academia to gather to share current information on the opportunities represented by low-rank coals. In the United States and throughout the world, the utility industry is the primary user of low-rank coals. As such, current experiences and future opportunities for new technologies in this industry were the primary focuses of the symposium.

  12. PREFACE: XXXV Symposium on Nuclear Physics

    Science.gov (United States)

    Padilla-Rodal, E.; Bijker, R.

    2012-09-01

    Conference logo The XXXV Symposium on Nuclear Physics was held at Hotel Hacienda Cocoyoc, Morelos, Mexico from January 3-6 2012. Conceived in 1978 as a small meeting, over the years and thanks to the efforts of various organizing committees, the symposium has become a well known international conference on nuclear physics. To the best of our knowledge, the Mexican Symposium on Nuclear Physics represents the conference series with longest tradition in Latin America and one of the longest-running annual nuclear physics conferences in the world. The Symposium brings together leading scientists from all around the world, working in the fields of nuclear structure, nuclear reactions, physics with radioactive ion beams, hadronic physics, nuclear astrophysics, neutron physics and relativistic heavy-ion physics. Its main goal is to provide a relaxed environment where the exchange of ideas, discussion of new results and consolidation of scientific collaboration are encouraged. To celebrate the 35th edition of the symposium 53 colleagues attended from diverse countries including: Argentina, Australia, Canada, Japan, Saudi Arabia and USA. We were happy to have the active participation of Eli F Aguilera, Eduardo Andrade, Octavio Castaños, Alfonso Mondragón, Stuart Pittel and Andrés Sandoval who also participated in the first edition of the Symposium back in 1978. We were joined by old friends of Cocoyoc (Stuart Pittel, Osvaldo Civitarese, Piet Van Isacker, Jerry Draayer and Alfredo Galindo-Uribarri) as well as several first time visitors that we hope will come back to this scientific meeting in the forthcoming years. The scientific program consisted of 33 invited talks, proposed by the international advisory committee, which nicely covered the topics of the Symposium giving a balanced perspective between the experimental and the theoretical work that is currently underway in each line of research. Fifteen posters complemented the scientific sessions giving the opportunity

  13. Proceedings of the international symposium on materials testing reactors

    International Nuclear Information System (INIS)

    Ishihara, Masahiro; Kawamura, Hiroshi

    2009-01-01

    This report is the Proceedings of the International Symposium on Materials Testing Reactors hosted by Japan Atomic Energy Agency (JAEA). The symposium was held on July 16 to 17, 2008, at the Oarai Research and Development Center of JAEA. This symposium was also held for the 40th anniversary ceremony of Japan Materials Testing Reactor (JMTR) from achieving its first criticality. The objective of the symposium is to exchange the information on current status, future plan and so on among each testing reactors for the purpose of mutual understanding. There were 138 participants from Argentina, Belgium, France, Indonesia, Kazakhstan, Korea, the Russian Federation, Sweden, the United State, Vietnam and Japan. The symposium was divided into four technical sessions and three topical sessions. Technical sessions addressed the general topics of 'status and future plan of materials testing reactors', 'material development for research and testing reactors', irradiation technology (including PIE technology)' and 'utilization with materials testing reactors', and 21 presentations were made. Also the topical sessions addressed 'establishment of strategic partnership', 'management on re-operation work at reactor trouble' and 'basic technology for neutron irradiation tests in MTRs', and panel discussion was made. The 21 of the presented papers are indexed individually. (J.P.N.)

  14. Reaching the hip-hop generation: Final (symposium proceedings) report

    Energy Technology Data Exchange (ETDEWEB)

    1993-05-01

    The goal of this final (closing) report is to capture the flavor of the symposium held March 1 and 2, 1993 in New York City convened by Motivational Educational Entertainment, Inc. (MEE), a black-owned communications research, consulting, and video production company based in Philadelphia, Pennsylvania. The mission of MEE is to understand, reach, and positively affect inner-city youth. Traditional communication approaches from mainstream sources to at-risk youth often don`t account for the unique way youth communicate among themselves and how they relate to the media. This understanding, however, is crucial. To understand youth communication, the people who create and send both entertaining and educational messages to urban youth must be brought into the dialogue. The meeting in New York was intended to provide an important opportunity for senders to meet and evaluate the appropriateness and effectiveness of their messages. In addition, the MEE symposium provided a forum for the continuing public debate about what needs to be done to reach today`s urban teens. Included in this document is a description of symposium goals/objectives, symposium activities, the reaction to and analysis of the symposium, recommendations for future MEE courses of action, and an appendix containing copies of press articles.

  15. The Compassionate Organisation

    DEFF Research Database (Denmark)

    Jørgensen, Poul Erik Flyvholm; Isaksson, Maria

    2015-01-01

    – The research shows that the public sector sticks to its guns in maintaining a web-transmitted values discourse which forefronts goodwill towards its clients. It also shows that the public and private sectors take different approaches to goodwill. Originality/value – Strategists and communication specialists......Structured Abstract: Purpose – This paper tests whether organisations in the public domain have embraced a corporate type of discourse, mirroring the private sector’s preferred orientation towards expertise, or whether they maintain their traditional discourse of goodwill towards the publics...... a detailed analysis of organisational value statements posted on the websites of public and private organisations. The research considers the value priorities of fifty organisations in the UK and Scandinavia in order to gauge the extent of convergence between the two sectors’ preferred discourses. Findings...

  16. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  17. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  18. 1st Jagiellonian Symposium on Fundamental and Applied Subatomic Physics

    CERN Document Server

    2016-01-01

    Following the success of two meetings "II Symposium on applied nuclear physics and innovative technologies" and "II Symposium on Positron Emission Tomography" organized in 2014, this event will start a new series of conferences which will bring together scientists from the physics, nuclear medicine and healthcare. One of the main purposes of the symposium is to exchange experience and and expertise gained by various institutions in the field of applied and fundamental nuclear as well as particle physics, medical imaging, radiotherapy and healthcare.

  19. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  20. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  1. INTRODUCTION: Physics of Low-dimensional Systems: Nobel Symposium 73

    Science.gov (United States)

    Lundqvist, Stig

    1989-01-01

    The physics of low-dimensional systems has developed in a remarkable way over the last decade and has accelerated over the last few years, in particular because of the discovery of the new high temperature superconductors. The new developments started more than fifteen years ago with the discovery of the unexpected quasi-one-dimensional character of the TTF-TCNQ. Since then the field of conducting quasi-one-dimensional organic systems have been rapidly growing. Parallel to the experimental work there has been an important theoretical development of great conceptual importance, such as charge density waves, soliton-like excitations, fractional charges, new symmetry properties etc. A new field of fundamental importance was the discovery of the Quantum Hall Effect in 1980. This field is still expanding with new experimental and theoretical discoveries. In 1986, then, came the totally unexpected discovery of high temperature superconductivity which started an explosive development. The three areas just mentioned formed the main themes of the Symposium. They do not in any way exhaust the progress in low-dimensional physics. We should mention the recent important development with both two-dimensional and one-dimensional and even zero-dimensional structures (quantum dots). The physics of mesoscopic systems is another important area where the low dimensionality is a key feature. Because of the small format of this Symposium we could unfortunately not cover these areas. A Nobel Symposium provides an excellent opportunity to bring together a group of prominent scientists for a stimulating exchange of new ideas and results. The Nobel Symposia are very small meetings by invitation only and the number of key international participants is typically in the range 25-40. These Symposia are arranged through a special Nobel Symposium Committee after proposal from individuals. This Symposium was sponsored by the Nobel Foundation through its Nobel Symposium Fund with grants from The

  2. Politicking information technology strategy in organisations: A case study of a selected organisation in South Africa

    Directory of Open Access Journals (Sweden)

    Michael Twum-Darko

    2015-06-01

    Full Text Available The paper discusses the impact of organisational polities on IT strategy formulation and implementation in an organisation. The objective is to determine the extent of organisational polities on strategy implementation in an organisation. The paper considered the interplay between stakeholders in influencing IT strategy formulation and implementation in an organisation as a socially constructed phenomenon. Thus, the phenomenon can be interpreted and understood by using social theories such Structuration Theory. Hence the paper adopted ANT as a lens through which to understand and interpret the sociotechnical processes associated with IT strategy formulation and implementation in an organisation. Through IT strategy, many organisations attempt to set out key directions and objectives for the use and management of information, communication and technologies. A shared view among these organisations is that IT strategy allows all parts of the organisation to gain a shared understanding of priorities, goals and objectives for both current and future states as defined in the organisational strategy. It is therefore arguable that IT strategy, for the foreseeable future will remain a key aspect of development within organisations. As a result, there has been more focus on how IT strategy is formulated and articulated. What is missing though, is less attention to the implementation of it. Using interpretive research philosophy and employing semi-structured interviews as data collection instrument, this paper attempts to suggest a matrix that will assist organisation to comprehend and deal with organisational politics to reduce its negative impact on IT strategy formulation and implementation in an organisation

  3. Towards high-reliability organising in healthcare: a strategy for building organisational capacity.

    Science.gov (United States)

    Aboumatar, Hanan J; Weaver, Sallie J; Rees, Dianne; Rosen, Michael A; Sawyer, Melinda D; Pronovost, Peter J

    2017-08-01

    In a high-reliability organisation (HRO), safety and quality (SQ) is an organisational priority, and all workforce members are engaged, continuously learning and improving their work. To build organisational capacity for SQ work, we have developed a role-tailored capacity-building framework that we are currently employing at the Johns Hopkins Armstrong Institute for Patient Safety and Quality as part of an organisational strategy towards HRO. This framework considers organisation-wide competencies for SQ that includes all staff and faculty and is integrated into a broader organisation-wide operating management system for improving quality. In this framework, achieving safe, high-quality care is connected to healthcare workforce preparedness. Capacity-building efforts are tailored to the needs of distinct groups within the workforce that fall within three categories: (1) front-line providers and staff, (2) managers and local improvement personnel and (3) SQ leaders and experts. In this paper we describe this framework, our implementation efforts to date, challenges met and lessons learnt. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/.

  4. Organisational readiness: exploring the preconditions for success in organisation-wide patient safety improvement programmes.

    Science.gov (United States)

    Burnett, Susan; Benn, Jonathan; Pinto, Anna; Parand, Anam; Iskander, Sandra; Vincent, Charles

    2010-08-01

    Patient safety has been high on the agenda for more than a decade. Despite many national initiatives aimed at improving patient safety, the challenge remains to find coherent and sustainable organisation-wide safety-improvement programmes. In the UK, the Safer Patients' Initiative (SPI) was established to address this challenge. Important in the success of such an endeavour is understanding 'readiness' at the organisational level, identifying the preconditions for success in this type of programme. This article reports on a case study of the four NHS organisations participating in the first phase of SPI, examining the perceptions of organisational readiness and the relationship of these factors with impact by those actively involved in the initiative. A mixed-methods design was used, involving a survey and semistructured interviews with senior executive leads, the principal SPI programme coordinator and the four operational leads in each of the SPI clinical work areas in all four organisations taking part in the first phase of SPI. This preliminary work would suggest that prior to the start of organisation-wide quality- and safety-improvement programmes, organisations would benefit from an assessment of readiness with time spent in the preparation of the organisational infrastructure, processes and culture. Furthermore, a better understanding of the preconditions that mark an organisation as ready for improvement work would allow policymakers to set realistic expectations about the outcomes of safety campaigns.

  5. Nuclear science symposium, 26th and symposium on nuclear power systems, 11th, 1979

    International Nuclear Information System (INIS)

    Kerns, C.R.

    1980-01-01

    Proceedings include 163 of the papers presented at the combined meetings, as well as two papers delivered at the plenary session on plant control beyond the 1980's and ionizing radiation dose hazards. One-hundred-and-sixty-two papers are indexed separately. Nuclear Science symposium included calorimeters and specific ionization (17 papers); PWC and Drift Chambers (7 papers); photo/optical detectors (10 papers); semiconductor detectors (11 papers); nuclear circuits and systems (11 papers); space instrumentation (9 papers); medical instrumentation (30 papers); data preprocessing (6 papers); data acquisition (11 papers); environmental instrumentation (15 papers); reactor instrumentation (16 papers). Fifteen Nuclear Systems Symposium papers covered: safety, RFI effects, detectors, monitoring systems, reactor protection, multiplexing of circuits, standard application, emergency planning and preparedness and operator/instrumentation interactions

  6. International Symposium for Thyroid Eye Disease (June 2016, London

    Directory of Open Access Journals (Sweden)

    Natalia Y. Sviridenko

    2017-03-01

    Full Text Available In June 2016, an International Symposium dedicated to the cutting edge research and achievements in Thyroid Eye Disease (TED diagnosis and treatment was held in London. The symposium was organized by the International Thyroid Eye Disease (ITEDs. It was attended EUGOGO, North American Neuro-Ophthalmological Society (NANOS and Orbit Society members. The symposium was attended by leading experts in the field of ophthalmology, orbital surgery and endocrinology: Rebecca S. Bahn, Maarten Mourits, Claudio Marcocci, George Kahaly, Mario Salvi, Antony Weetman, Anja Eckstein, Daniel Rootman, Geoffrey Rose, Robert Goldberg and Susanne Pitz, as well as doctors, specializing in the field of endocrinology, ophthalmology, radiology and other specialties. The symposium program was focused on the discussion of TED pathogenesis, classification and new therapeutic and surgical approaches. TED problems discussed by more than 300 professionals (65% ophthalmologists, 18% ophthalmic surgeons and 17% endocrinologists. North America was represented by 50 delegates. Representation of other continents was not less impressive.

  7. 9th International Frumkin symposium: Electrochemical technologies and materials for 21st century. Abstracts

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2010-07-01

    Abstracts of the 9th International Frumkin symposium: Electrochemical technologies and materials for 21st century are presented. The symposium was held 24-29 October 2010 in Moscow. The symposium included the following microsymposiums: Electrical double layer and electrochemical kinetics (from phenomenological to molecular level); New processes, materials and devices for successful electrochemical transformation of energy; Corrosion and protection of materials; General and local corrosion; Electroactive composition materials; Bioelectrochemistry. The Frumkin symposium includes plenary lectures, oral and poster presentations. Official language of the symposium is English

  8. 9th International Frumkin symposium: Electrochemical technologies and materials for 21st century. Abstracts

    International Nuclear Information System (INIS)

    2010-01-01

    Abstracts of the 9th International Frumkin symposium: Electrochemical technologies and materials for 21st century are presented. The symposium was held 24-29 October 2010 in Moscow. The symposium included the following microsymposiums: Electrical double layer and electrochemical kinetics (from phenomenological to molecular level); New processes, materials and devices for successful electrochemical transformation of energy; Corrosion and protection of materials; General and local corrosion; Electroactive composition materials; Bioelectrochemistry. The Frumkin symposium includes plenary lectures, oral and poster presentations. Official language of the symposium is English [ru

  9. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  10. Digital holography for MEMS and microsystem metrology

    CERN Document Server

    Asundi, Anand

    2011-01-01

    Approaching the topic of digital holography from the practical perspective of industrial inspection, Digital Holography for MEMS and Microsystem Metrology describes the process of digital holography and its growing applications for MEMS characterization, residual stress measurement, design and evaluation, and device testing and inspection. Asundi also provides a thorough theoretical grounding that enables the reader to understand basic concepts and thus identify areas where this technique can be adopted. This combination of both practical and theoretical approach will ensure the

  11. Traceability and uncertainty estimation in coordinate metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Savio, Enrico; De Chiffre, Leonardo

    2001-01-01

    National and international standards have defined performance verification procedures for coordinate measuring machines (CMMs) that typically involve their ability to measure calibrated lengths and to a certain extent form. It is recognised that, without further analysis or testing, these results...... are required. Depending on the requirements for uncertainty level, different approaches may be adopted to achieve traceability. Especially in the case of complex measurement situations and workpieces the procedures are not trivial. This paper discusses the establishment of traceability in coordinate metrology...

  12. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  13. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  14. Herbaria, gardens, organisations

    NARCIS (Netherlands)

    NN,

    1999-01-01

    Organizing Committee: Dr. B.J. Conn, Mr. L.A. Craven, Mr. J.R. Croft, Dr. A. Hay (cochair), Dr. R.P.J. de Kok, Dr. D.J. Mabberley, Dr. J.G. West (co-chair), Dr. P.G. Wilson. The Symposium & Mid-Conferences Tours will be held at and near the Royal Botanic Gardens Sydney between 9-14 September 2001.

  15. Proceedings of the 2011 symposium on nuclear data

    International Nuclear Information System (INIS)

    Harada, Hideo; Yokoyama, Kenji; Iwamoto, Nobuyuki; Nakamura, Shoji

    2012-12-01

    The 2011 data symposium on nuclear data, organized by the Nuclear Data Division of Atomic Energy Society of Japan (AESJ) was held at Ricotti, Tokai, on Nov. 16 and 17, 2011 in cooperation with Nuclear Science and Engineering Directorate of JAEA and North-Kanto Branch of AESJ. The symposium was devoted for discussions and presentations of current topics in the field of nuclear data such as nuclear accident and accident analysis code, innovative methods on nuclear data theory and measurements, and nuclear data applications, including 2 tutorial talks, NJOY99 and PHITS. Talks as well as posters presented at the symposium aroused lively discussions among 97 participants. This report contains 34 papers submitted from the oral and poster presenters. (author)

  16. Symposium overview: alterations in cytokine receptors by xenobiotics.

    Science.gov (United States)

    Cohen, M D; Schook, L B; Oppenheim, J J; Freed, B M; Rodgers, K E

    1999-04-01

    A symposium entitled Alterations in Cytokine Receptors by Xenobiotics was held at the 37th Annual Meeting of the Society of Toxicology (SOT) in Seattle, Washington. The symposium was sponsored by the Immunotoxicology Specialty Section of SOT and was designed to present information on the effect of several different classes of xenobiotics on various aspects of receptor function (i.e., post-receptor signal transduction of receptor expression), or the involvement of cytokine receptors in the action of the toxicant under consideration. This symposium brought together scientists in the area of receptor immunobiology whose expertise in receptor modulation encompassed those major signaling agents involved in the normal immune response, i.e., proinflammatory cytokines, chemokines, interleukins, and interferons. The following is a summary of each of the individual presentations.

  17. Aerosol metrology: aerodynamic and electrostatic techniques

    International Nuclear Information System (INIS)

    Prodi, V.

    1988-01-01

    Aerosols play an ever increasing role in science, engineering and especially in industrial and environmental hygiene. They are being studied since a long time, but only recently the progress in aerosol instrumentation has made it possible to pose of aerosol metrology, especially the problem of absolute measurements, as based directly on measurements of fundamental quantities. On the basis of absolute measurements, the hierarchy of standards can be prepared and adequately disseminated. In the aerosol field, the quantities to be measured are mainly size, charge, density, and shape. In this paper a possible standardisation framework for aerosols is proposed, for the main physical quantities

  18. Proceedings of the 13th international symposium on laser-aided plasma diagnostics

    International Nuclear Information System (INIS)

    Kawahata, Kazuo

    2007-09-01

    The 9th international symposium on LASER-AIDED PLASMA DIAGNOSTICS was held from 18th November to 21st September, 2007 at Takayama, Japan. This symposium was organized by the National Institute for Fusion Science, Toki, Japan. The topics of the symposium include laser diagnostics and diagnostics aided by lasers for fusion plasmas, industrial process plasmas, environmental plasmas as well as for other plasma applications and processes related to plasmas. Hardware development related to laser-aided plasma diagnostics is another topic. Over 80 participants attended this international symposium. 1 Akazaki lecture, 10 general talks, 10 topical talks, 12 short oral talks and 45 posters were presented. This issue is the collection of the papers presented at the title symposium. The 41 of the presented papers are indexed individually. (J.P.N.)

  19. 22nd DAE High Energy Physics Symposium

    CERN Document Server

    2018-01-01

    These proceedings gather invited and contributed talks presented at the XXII DAE-BRNS High Energy Physics (HEP) Symposium, which was held at the University of Delhi, India, on 12–16 December 2016. The contributions cover a variety of topics in particle physics, astroparticle physics, cosmology and related areas from both experimental and theoretical perspectives, namely (1) Neutrino Physics, (2) Standard Model Physics (including Electroweak, Flavour Physics), (3) Beyond Standard Model Physics, (4) Heavy Ion Physics & QCD (Quantum Chromodynamics), (5) Particle Astrophysics & Cosmology, (6) Future Experiments and Detector Development, (7) Formal Theory, and (8) Societal Applications: Medical Physics, Imaging, etc. The DAE-BRNS High Energy Physics Symposium, widely considered to be one of the leading symposiums in the field of Elementary Particle Physics, is held every other year in India and supported by the Board of Research in Nuclear Sciences (BRNS), Department of Atomic Energy (DAE), India. As man...

  20. Factors affecting performance and productivity of nurses: professional attitude, organisational justice, organisational culture and mobbing.

    Science.gov (United States)

    Terzioglu, Fusun; Temel, Safiye; Uslu Sahan, Fatma

    2016-09-01

    To identify relationships among variables affecting nurses' performance and productivity, namely professional attitudes, organisational culture, organisational justice and exposure to mobbing. The determination of the factors affecting performance and productivity is important for providing efficient nursing services. These factors have been investigated in the literature independently, but the relationship among them has not been clearly identified. This cross-sectional questionnaire study included 772 nurses working in a University Hospital accredited by Joint Commission International. The professional attitude score of the nurses was high (4.35 ± 0.63). However, their organisational justice (2.22 ± 1.26) and organisational culture (2.47 ± 0.71) scores were low. Nurses were subjected to mobbing at a high level (0.82 ± 0.78). As the organisational justice increased, the organisational culture increased and the mobbing decreased. As the organisation culture decreased, the mobbing increased. There was a positive correlation between organisation culture and organisational justice of the nurses and a negative correlation with mobbing. The results of the study are essential for improving nurses' performance and productivity. © 2016 John Wiley & Sons Ltd.

  1. Review: Karin Reiber (2005. Organisation im Spiegel der Regula Benedicti [Organisation in Reflection of Regula Benedicti

    Directory of Open Access Journals (Sweden)

    Jürgen Rausch

    2007-01-01

    Full Text Available For 1500 years, the monastic life of the Benedictines has been defined by rules dating from the time of the first foundation of the order, suggesting an organizational structure that has outlasted historical upheavals and changes. Starting from a new understanding of organisational development as organisational learning, Karin REIBER has looked for clues to organisational formation and learning through a hermeneutic textual analysis of the Regula Benedicti of the 6th Century. Within a theoretical framework, REIBER develops three dimensions of organisational formation and three stages of organisational learning. In a historical reconstruction, the author analyses the text of the Regula Benedicti. In a second section, REIBER adapts and interprets the results of the hermeneutic analysis through a double three-stage theoretical model. She works out interesting aspects for organisational learning, which relate individual learning to organisational learning in favour of members of the organisation, for which consideration for individuality forms a part of the leadership concept and as a framework for social relationships, establishing an informal organisational structure beside the formal one. This illuminating work leads to an integrated concept of organisational learning, which extends the notion of tradition as a characteristic feature of an innovative organisation and which, through continuity and topicality, can hence guarantee the continued existence of modern organisations in the future. URN: urn:nbn:de:0114-fqs070174

  2. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  3. Theory-based metrological traceability in education: A reading measurement network.

    Science.gov (United States)

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  4. Organised Cultural Encounters

    DEFF Research Database (Denmark)

    Christiansen, Lene Bull; Galal, Lise Paulsen; Hvenegård-Lassen, Kirsten

    2017-01-01

    The article introduces the special issue by presenting the concept of organised cultural encounters that are encounters organised to manage and/or transform problems perceived to originate in or include cultural differences. Inspired by Pratt’s conceptualisation of the contact zone, a critical...... perspective on the particular historical and spatial context of any encounter and how this context frames and mediates what takes place during an encounter is applied. While the articles of the issue present different varieties of organised cultural encounters, it is argued that they are not only of the same...

  5. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  6. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    International Nuclear Information System (INIS)

    Brau-Avila, A; Valenzuela-Galvan, M; Herrera-Jimenez, V M; Santolaria, J; Aguilar, J J; Acero, R

    2017-01-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs. (paper)

  7. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    Science.gov (United States)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  8. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  9. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  10. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  11. ORGANISATIONAL JUSTICE AND AFFECTIVE COMMITMENT: THE MEDIATING ROLE OF PERCEIVED ORGANISATIONAL SUPPORT

    OpenAIRE

    Pooja Purang

    2011-01-01

    Perception of fairness among employees is a guiding force that influences employee attitude and behaviour. However, the mechanisms associated with justice need to be studied further. This study hypothesises that perceived organisational support (POS) mediates the relationship between organisational justice perceptions and affective commitment of employees. The study was conducted in a multi-national organisation operating in India in the service sector; the sample size was 71 employees. Baron...

  12. The Living Cell as a Multi-agent Organisation: A Compositional Organisation Model of Intracellular Dynamics

    Science.gov (United States)

    Jonker, C. M.; Snoep, J. L.; Treur, J.; Westerhoff, H. V.; Wijngaards, W. C. A.

    Within the areas of Computational Organisation Theory and Artificial Intelligence, techniques have been developed to simulate and analyse dynamics within organisations in society. Usually these modelling techniques are applied to factories and to the internal organisation of their process flows, thus obtaining models of complex organisations at various levels of aggregation. The dynamics in living cells are often interpreted in terms of well-organised processes, a bacterium being considered a (micro)factory. This suggests that organisation modelling techniques may also benefit their analysis. Using the example of Escherichia coli it is shown how indeed agent-based organisational modelling techniques can be used to simulate and analyse E.coli's intracellular dynamics. Exploiting the abstraction levels entailed by this perspective, a concise model is obtained that is readily simulated and analysed at the various levels of aggregation, yet shows the cell's essential dynamic patterns.

  13. The Third International Symposium on Space Terahertz Technology: Symposium proceedings

    Science.gov (United States)

    1992-01-01

    Papers from the symposium are presented that are relevant to the generation, detection, and use of the terahertz spectral region for space astronomy and remote sensing of the Earth's upper atmosphere. The program included thirteen sessions covering a wide variety of topics including solid-state oscillators, power-combining techniques, mixers, harmonic multipliers, antennas and antenna arrays, submillimeter receivers, and measurement techniques.

  14. Quality assurance and demolition: 2006 symposium

    International Nuclear Information System (INIS)

    Thierfeldt, S.

    2006-01-01

    The '2006 Symposium: Quality Assurance and Demolition' jointly organized by compra GmbH and Brenk Systemplanung GmbH this year again focused on quality assurance and the demolition of nuclear facilities as its main topics. The papers presented ranged from issues of clearance and disposal to demolition technologies and status reports about specific demolition projects. The sixteen presentations at the '2006 Symposium: Quality Assurance and Demolition' offered an interesting and very topical cross section of decommissioning and demolition of nuclear facilities in Germany. In 2007, the conference about similar main topics will again be held at the Schloss Bensberg Grand Hotel. (orig.)

  15. Becoming organisms: the organisation of development and the development of organisation.

    Science.gov (United States)

    de la Rosa, Laura Nuño

    2010-01-01

    Despite the radical importance of embryology in the development of organicism, developmental biology remains philosophically underexplored as a theoretical and empirical resource to clarify the nature of organisms. This paper discusses how embryology can help develop the organisational definition of the organism as a differentiated, functionally integrated, and autonomous system. I distinguish two conceptions of development in the organisational tradition that yield two different conceptions of the organism: the life-history view claims that organisms can be considered as such during their whole ontogeny; the constitutive view distinguishes two periods in the life history, a period of generation and a period of self-maintenance of a constitutive organisation. Arguing in favour of the constitutive view, it will be claimed that the organisational criteria for the definition of organism (i.e., differentiation, functional integration, and autonomy) can only be applied to the developmental system when it has entered the period of self-maintenance of a constitutive organisation. Under the light of current research in developmental biology, it is possible to make explicit how organisms come to be as organisms. To this end, I explore key ontogenetic events that help us clarify the core aspects of animal organisation and allow us to identify the developmental stage that marks the ontological transition between an organism in potency and an organism in actuality. The structure of this ontogenetic unfolding parallels the conceptual structure of the very notion of organism; the generation of the being of a particular organism parallels its definition.

  16. Proceedings of the international symposium on radioactive waste disposal: Health and environmental criteria and standards

    International Nuclear Information System (INIS)

    Hultcrantz, K.

    1999-04-01

    The co-organisers of the International Symposium on Health and Environmental Criteria and Standards for Radioactive Waste Disposal are pleased to present these proceedings. This Symposium succeeded in bringing together a wide range of participants and perspectives in order to address in a common forum the technical and non-technical issues related to long-term storage of radioactive waste. The papers presented herein reflect both the diversity of the participants and the complexity of the issues addressed. The sessions, panels, and papers developed for the symposium focused on some of the daunting challenges posed by long-term isolation and storage of high level radioactive waste. Panel sessions addressed the basic principles of criteria and standards, the context of the risks involved, and an overview of relevant philosophical, social, and ethical issues. Paper sessions considered national laws, policies and experiences; criteria formulation; environmental protection; compliance; human intrusion; and fundamental philosophical, social, and ethical issues. The presentations stimulated lively discussion and debate, and the contributors received valuable feedback. The interplay between technical and social aspects reflected in some papers and in the discussion highlights the changing role of the public in radioactive waste issues. The average citizen has become more aware of and more involved in radioactive waste matters in recent years. Solutions that were previously analysed through a technological lens are now being viewed in a much broader perspective that better addresses the concerns of local communities as well as national and international interests. Public involvement must begin earlier, last longer, and improve in quality so as to create an ongoing dialogue and debate rather than cycles of dictates and discord. The symposium has offered suggestions as to how government, industry, and the public can foster a broader dialogue on the formulation and

  17. Organisational culture development in Klaipeda municipality

    OpenAIRE

    Paužuolienė, Jurgita; Mauricienė, Ingrida

    2012-01-01

    Organisational culture is an important management aspect of the organisation. It is formed by the organisation values, norms, underlying assumptions, attitudes, traditions, narratives, and symbols, it includes beliefs and habits. Organisational culture guides individual decisions and behaviours shared by a group of people. As a result, it can have a potent effect on well-being and success of the organisation. This paper presents the defining elements of the organisational cultural dimensions ...

  18. Fourth symposium on macrocyclic compounds

    International Nuclear Information System (INIS)

    Christensen, J.J.; Izatt, R.M.

    1980-01-01

    Both theoretical and experimental aspects of the properties and behavior of synthetic and naturally occurring macrocyclic compounds are covered in this symposium. This document contains abstracts of the papers

  19. Highlights from the 2016 WIN Symposium, 27-29 June 2016, Paris: personalised therapy beyond next-generation sequencing.

    Science.gov (United States)

    Schilsky, Richard; Davies, Will

    2016-01-01

    The Worldwide Innovative Networking (WIN) consortium is an alliance of academic institutions, pharmaceutical partners, representatives from technology companies and charitable/health payer organisations from across the globe. For the last six years, the consortium's aims have been to foster communication and collaboration between members, encourage dialogue in an open forum, and deliver clinical trial results that improve the care and outcomes of patients with cancer using the latest advances in genomic-based medicine. The annual WIN Symposium, held over two days, is a chance for its members to come together and discuss ongoing research, recent announcements, and introduce new developments in personalised medicine. This year's conference, held in Paris, France 27-29 June, consisted of six dedicated sessions, including two debates, and posters from members and participating organisations, all focusing on the latest therapeutic advances and updates in genomic analysis. Special highlights from this year included discussion of the MINDACT clinical trial, which uses a gene expression test to identify patients with breast cancer who can safely forego adjuvant chemotherapy, and the reflections on the SHIVA trial. Of particular interest to many speakers was the utilisation of liquid biopsy samples to produce near real time snapshots of tumour mutational profiles and vulnerability.

  20. Organisational Structures & Considerations

    NARCIS (Netherlands)

    Luiijf, H.A.M.; Healey, J.

    2012-01-01

    The purpose of this section is to review specific types of national cyber security (NCS) areas (also called ‘mandates’) and examine the organisational and collaborative models associated with them. Before discussing the wide variety of organisational structures at the national and international