WorldWideScience

Sample records for metrology metas connector

  1. CareerConnector

    Data.gov (United States)

    US Agency for International Development — CareerConnector is USAID's premiere recruiting tool. It is powered by Monster and integrated with www.usajobs.gov. CareerConnector tracks the progression of a...

  2. Remote connector development study

    International Nuclear Information System (INIS)

    Parazin, R.J.

    1995-05-01

    Plutonium-uranium extraction (PUREX) connectors, the most common connectors used at the Hanford site, offer a certain level of flexibility in pipe routing, process system configuration, and remote equipment/instrument replacement. However, these desirable features have inherent shortcomings like leakage, high pressure drop through the right angle bends, and a limited range of available pipe diameters that can be connect by them. Costs for construction, maintenance, and operation of PUREX connectors seem to be very high. The PUREX connector designs include a 90 degree bend in each connector. This increases the pressure drop and erosion effects. Thus, each jumper requires at least two 90 degree bends. PUREX connectors have not been practically used beyond 100 (4 in.) inner diameter. This study represents the results of a survey on the use of remote pipe-connection systems in US and foreign plants. This study also describes the interdependence between connectors, remote handling equipment, and the necessary skills of the operators

  3. Evaluation of fiber-optic connectors

    International Nuclear Information System (INIS)

    Reedy, R.P.

    1981-01-01

    Two fiber optic connectors for field use in high efficiency, long distance systems are described and evaluated in this report. The transmission testing arrangement and other considerations are included with the test results. Techniques for optimizing the transmission through these connectors are also described and the results are reported. Many desirable characteristics of connectors for field use are considered and compared to the ITT and Amphenol connectors tested here

  4. Inline Electrical Connector Mate/Demate Pliers

    Science.gov (United States)

    Yutko, Brian; Dininny, Michael; Moscoso, Gerand; Dokos, Adam

    2010-01-01

    Military and aerospace industries use Mil-Spec type electrical connections on bulkhead panels that require inline access for mate and demate operations. These connectors are usually in tight proximity to other connectors, or recessed within panels. The pliers described here have been designed to work in such tight spaces, and consist of a mirrored set of parallel handles, two cross links, two return springs, and replaceable polyurethane-coated end effectors. The polyurethane eliminates metal-to-metal contact and provides a high-friction surface between the jaw and the connector. Operationally, the user would slide the pliers over the connector shell until the molded polyurethane lip makes contact with the connector shell edge. Then, by squeezing the handles, the end effector jaws grip the connector shell, allowing the connector to be easily disconnected by rotating the pliers. Mating the connector occurs by reversing the prescribed procedure, except the connector shell is placed into the jaws by hand. The molded lip within the jaw allows the user to apply additional force for difficult-to-mate connectors. Handle design has been carefully examined to maximize comfort, limit weight, incorporate tether locations, and improve ergonomics. They have been designed with an off-axis offset for wiring harness clearance, while placing the connector axis of rotation close to the user s axis of wrist rotation. This was done to eliminate fatigue during multiple connector panel servicing. To limit handle opening width, with user ergonomics in mind, the pliers were designed using a parallel jaw mechanism. A cross-link mechanism was used to complete this task, while ensuring smooth operation.

  5. Flexible connector

    Science.gov (United States)

    Savage, Mark E.; Simpson, Walter W.

    1999-01-01

    An electrical connector accommodates high current, is not labor intensive to assemble and disassemble, and allows a wide range of motion to accommodate mechanical variations and movement of connected components. The connector comprises several parts with joints therebetween, wherein each joint provides electrical connection between and allows relative motion of the joined parts. The combination of parts and joints maintains electrical connection between two electrical components even if the components are misaligned or move after connection.

  6. Behavior of Tilted Angle Shear Connectors

    Science.gov (United States)

    Khorramian, Koosha; Maleki, Shervin; Shariati, Mahdi; Ramli Sulong, N. H.

    2015-01-01

    According to recent researches, angle shear connectors are appropriate to transfer longitudinal shear forces across the steel-concrete interface. Angle steel profile has been used in different positions as L-shaped or C-shaped shear connectors. The application of angle shear connectors in tilted positions is of interest in this study. This study investigates the behaviour of tilted-shaped angle shear connectors under monotonic loading using experimental push out tests. Eight push-out specimens are tested to investigate the effects of different angle parameters on the ultimate load capacity of connectors. Two different tilted angles of 112.5 and 135 degrees between the angle leg and steel beam are considered. In addition, angle sizes and lengths are varied. Two different failure modes were observed consisting of concrete crushing-splitting and connector fracture. By increasing the size of connector, the maximum load increased for most cases. In general, the 135 degrees tilted angle shear connectors have a higher strength and stiffness than the 112.5 degrees type. PMID:26642193

  7. Behavior of Tilted Angle Shear Connectors.

    Directory of Open Access Journals (Sweden)

    Koosha Khorramian

    Full Text Available According to recent researches, angle shear connectors are appropriate to transfer longitudinal shear forces across the steel-concrete interface. Angle steel profile has been used in different positions as L-shaped or C-shaped shear connectors. The application of angle shear connectors in tilted positions is of interest in this study. This study investigates the behaviour of tilted-shaped angle shear connectors under monotonic loading using experimental push out tests. Eight push-out specimens are tested to investigate the effects of different angle parameters on the ultimate load capacity of connectors. Two different tilted angles of 112.5 and 135 degrees between the angle leg and steel beam are considered. In addition, angle sizes and lengths are varied. Two different failure modes were observed consisting of concrete crushing-splitting and connector fracture. By increasing the size of connector, the maximum load increased for most cases. In general, the 135 degrees tilted angle shear connectors have a higher strength and stiffness than the 112.5 degrees type.

  8. MPO-type single-mode multi-fiber connector: Low-loss and high-return-loss intermateability of APC-MPO connectors

    Science.gov (United States)

    Satake, Toshiaki; Nagasawa, Shinji; Hughes, Mike; Lutz, Sharon

    2011-01-01

    The electrical communication laboratory of NTT started the research of MT (Mechanically Transferable) connector in early 1980s. The initial goal was to realize a multi-fiber connector which can repeat low loss, stable, reliable and low-cost connections of subscriber optical fiber cable networks for more than 20 years period in the field. We review the multi-fiber alignment design with two guide pins, and following several technical improvements toward the final MT connector used in the commercial telecommunication networks. And then, we review development histories to reach to the low-loss, high-return-loss and reliable APC-MPO (Angled Physical Contact Multi-fiber Push On) connectors introduced in NTT COs and in Verizon's FTTH (Fiber To The Home) networks. In the latter half, we propose the low-loss intermateability design for connectors made by different suppliers in order to enable mass introductions into large scale systems. In addition we also describe an accurate connector loss presumption method for different lots' ferrules based on the MT ferrule dimension data before assembling the connectors. We believe with a wide intermateability of APC-MPO connector will increase its use in the fields. The APC-MPO connector manufactured based on the proposed design had low insertion losses of less than 0.25 dB at the same level of simplex connectors and the higher level of return losses higher than 65 dB.

  9. Modular Connector Keying Concept

    Science.gov (United States)

    Ishman, Scott; Dukes, Scott; Warnica, Gary; Conrad, Guy; Senigla, Steven

    2013-01-01

    For panel-mount-type connectors, keying is usually "built-in" to the connector body, necessitating different part numbers for each key arrangement. This is costly for jobs that require small quantities. This invention was driven to provide a cost savings and to reduce documentation of individual parts. The keys are removable and configurable in up to 16 combinations. Since the key parts are separate from the connector body, a common design can be used for the plug, receptacle, and key parts. The keying can then be set at the next higher assembly.

  10. Double-plug seismic connector

    International Nuclear Information System (INIS)

    Annoot, I.R.

    1984-01-01

    The double-plug seismic electric connector comprises an elongated insulating body. A male connector plug is at one end of the body and a female connector plug is at the opposite end of the body. Each plug has a pair of male and female contacts. A pair of spaced axial conductors is embedded within the insulating body for interconnecting the opposite male and female contacts. The inner end of a double-wire cable is embedded within the insulating body and each wire inner end is connected to one of the conductors

  11. Jumper connector analysis: 2-inch by 2-inch connector

    International Nuclear Information System (INIS)

    Kanjilal, S.K.; Ulbricht, L.E.; Lindquist, M.R.

    1994-01-01

    Jumper connectors are used for remotely connecting pipe lines containing transfer fluids ranging from hazardous chemicals to other nonhazardous liquids. The jumper connector assembly comprises hooks, hookpins, a block, a nozzle, an operating screw, and a nut. The hooks are tightened against the nozzle flanges by the operating screw, and a nut. The hooks are tightened against the nozzle flanges by the operating screw that is tightened with a remotely connected torque wrench. Stress analysis for the jumper connector assembly (used extensively on the US Department of Energy's Hanford Site, near Richland, Washington) is performed by using hand calculation and finite-element techniques to determine the stress levels resulting from operating and seismic loads on components of the assembly. The analysis addresses loading conditions such as prestress, seismic, operating, thermal, and leakage. The preload torque-generated forces at which each component reaches its stress limits are presented in a tabulated format. Allowable operating loads for the jumper assembly are provided to prevent leakage of the assembly during operating cycles

  12. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  13. Flat-port connectors

    KAUST Repository

    Alrashed, Mohammed

    2017-05-26

    Disclosed are various embodiments for connectors used with electronic devices, such as input and/or output ports to connect peripheral equipment or accessories. More specifically, various flat-port are provided that can be used in place of standard connectors including, but not limited to, audio jacks and Universal Serial Bus (USB) ports. The flat-port connectors are an alternate connection design to replace the traditional receptacle port (female-port), making the device more sealed creation more dust and water resistant. It is unique in the way of using the outer surfaces of the device for the electrical connection between the ports. Flat-port design can allow the manufacture of extremely thin devices by eliminating the side ports slots that take a lot of space and contribute to the increase thickness of the device. The flat-port receptacle improves the overall appearance of the device and makes it more resistant to dust and water.

  14. Jumper connector analysis

    Science.gov (United States)

    Kanjilal, S. K.; Lindquist, M. R.; Ulbricht, L. E.

    1994-02-01

    Jumper connectors are used for remotely connecting pipe lines containing transfer fluids ranging from hazardous chemicals to other nonhazardous liquids. The jumper connector assembly comprises hooks, hookpins, a block, a nozzle, an operating screw, and a nut. The hooks are tightened against the nozzle flanges by the operating screw that is tightened with a remotely connected torque wrench. Stress analysis for the jumper connector assembly (used extensively on the US Department of Energy's Hanford Site, near Richland, Washington) is performed by using hand calculation and finite-element techniques to determine the stress levels resulting from operating and seismic loads on components of the assembly. The analysis addresses loading conditions such as prestress, seismic, operating, thermal, and leakage. The preload torque-generated forces at which each component reaches its stress limits are presented in a tabulated format. Allowable operating loads for the jumper assembly are provided to prevent leakage of the assembly during operating cycles.

  15. Evaluation of remote piping connectors for fusion applications

    International Nuclear Information System (INIS)

    Welland, H.J.

    1985-08-01

    Four types of remotely actuated piping connectors were evaluated for their application to the fusion energy program. The evaluations are part of a study being performed by EG and G Idaho, Inc., for the fusion program at Kernforschungszentrum, Karlsruhe, GmbH. Results of the connector evaluation will be used to develop preliminary concepts for connector improvements and new connector configurations

  16. Waste Feed Delivery Purex Process Connector Design Pressure

    International Nuclear Information System (INIS)

    BRACKENBURY, P.J.

    2000-01-01

    The pressure retaining capability of the PUREX process connector is documented. A context is provided for the connector's current use within existing Projects. Previous testing and structural analyses campaigns are outlined. The deficient condition of the current inventory of connectors and assembly wrenches is highlighted. A brief history of the connector is provided. A bibliography of pertinent references is included

  17. Data Base On Cables And Connectors

    Science.gov (United States)

    Bowen, Arlen R.; Oliver, John D.

    1995-01-01

    Report describes Connector Adapter Cable Information Data Base (CONNAID) computer program, managing data base containing necessary information concerning electrical connectors, breakout boxes, adapter cables, backshells, and pertinent torque specifications for engineering project.

  18. Fiber optic connector

    Science.gov (United States)

    Rajic, Slobodan; Muhs, Jeffrey D.

    1996-01-01

    A fiber optic connector and method for connecting composite materials within which optical fibers are imbedded. The fiber optic connector includes a capillary tube for receiving optical fibers at opposing ends. The method involves inserting a first optical fiber into the capillary tube and imbedding the unit in the end of a softened composite material. The capillary tube is injected with a coupling medium which subsequently solidifies. The composite material is machined to a desired configuration. An external optical fiber is then inserted into the capillary tube after fluidizing the coupling medium, whereby the optical fibers are coupled.

  19. Remote process connectors for the NWCF

    International Nuclear Information System (INIS)

    Jacobs, R.T.; Carter, J.A.; Hohback, A.C.

    1978-01-01

    The remote process connectors developed, used, and tested at the Remote Maintenance Development Facility are described. These connectors, including the 3-bolt kinematic-graphite flange and watertight-electrical connectors, are assembled on master jigs (holding-welding fixture) to form interchangeable pump and valve loop assemblies. These assemblies, with their guide-in platforms make possible a method of performing remote maintenance at the New Waste Calcining Facility that is a departure from methods which until now have been the standard of the industry

  20. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  1. Aesthetic rehabilitation with multiple loop connectors

    Directory of Open Access Journals (Sweden)

    Ashish Kalra

    2013-01-01

    Full Text Available Patients with a missing tooth along with diastema have limited treatment options to restore the edentulous space. The use of a conventional fixed partial denture (FPD to replace the missing tooth may result in too wide anterior teeth leading to poor esthetics. The diastema resulting from the missing central incisors can be managed with implant-supported prosthesis or FPD with loop connectors. An old lady reported with chief complaints of missing upper anterior teeth due to trauma. Her past dental history revealed that she was having generalized spacing between her upper anterior teeth. Considering her esthetic requirement of maintaining the diastema between 12, 11, 22, and 21, the treatment option of 06 units porcelain fused to metal FPD from canine to canine with intermittent loop connectors between 21, 22, 11, 12 was planned. Connectors basically link different parts of FPDs. The modified FPD with loop connectors enhanced the natural appearance of the restoration, maintained the diastemas and the proper emergence profile, and preserve the remaining tooth structure of abutment teeth. This clinical report discussed a method for fabrication of a modified FPD with loop connectors to restore the wide span created by missing central incisors.

  2. In vitro incorporation of the phage Phi29 connector complex

    International Nuclear Information System (INIS)

    Fu Chiyu; Prevelige, Peter E.

    2009-01-01

    The incorporation of the DNA packaging connector complex during lambdoid phage assembly in vivo is strictly controlled-one and only one of the twelve identical icosahedral vertices is differentiated by the inclusion of a portal or connector dodecamer. Proposed control mechanisms include obligate nucleation from a connector containing complex, addition of the connector as the final step during assembly, and a connector-mediated increase in the growth rate. The inability to recapitulate connector incorporation in vitro has made it difficult to obtain direct biochemical evidence in support of one model over another. Here we report the development an in vitro assembly system for the well characterized dsDNA phage Phi29 which results in the co-assembly of connector with capsid and scaffolding proteins to form procapsid-like particles (PLPs). Immuno-electron microscopy demonstrates the specific incorporation of connector vertex in PLPs. The connector protein increases both the yield and the rate of capsid assembly suggesting that the incorporation of the connector in Phi29 likely promotes nucleation of assembly.

  3. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  4. Critical element development of standard pipe connector for remote handling

    International Nuclear Information System (INIS)

    Taguchi, Kou; Kakudate, Satoshi; Kanamori, Naokazu; Oka, Kiyoshi; Nakahira, Masataka; Obara, Kenjiro; Tada, Eisuke; Shibanuma, Kiyoshi; Seki, Masahiro

    1994-08-01

    In fusion experimental reactors such as ITER, the in-vessel components such as blanket and divertor are actively cooled and a large number of cooling pipes are located around the core of reactor, where personnel access is prohibited. Mechanical pipe connectors are highly required as standard components for easy and reliable connection/disconnection of cooling pipe by remote handling. For this purpose, a clamping chain type connector has been developed with special mechanisms such as plate springs and guide structures so as to enable concentric and axial movement of clamping chain for easy mounting and dismounting. The basic performance test of a prototypical connector for a 80-A pipe shows sufficient leak tightness and proof pressure capability as well as simple connection/disconnection operation. In addition to the clamp chain type connector, design efforts have been made to develop a quick coupling type connector and a preliminary model of air-actuated quick connector has been fabricated for further investigations. This paper gives the design concept of mechanical pipe connectors such as clamping chain type and quick coupler type, and the basic performance tests results of clamping chain type connector. (author)

  5. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  6. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  7. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  8. ITP Hanford Type 40 pin electrical connector failure analysis

    International Nuclear Information System (INIS)

    Imrich, K.J.

    1993-01-01

    Corrosion products observed on the ITP Hanford Type 40 pin electrical connectors would be expected to adversely affect the power and control signals supplied to process equipment in the filter cell by the connectors. Corrosion products were consistent with those found on similar pins in DWPF. The recommendations based on the findings in this investigation are as follows: (1) Replace male and female rhodium plated pins with gold plated pins. (2) Replace the galvanized carbon steel spring on the male connector with a stainless steel spring. (3) Install protective caps over Hanford connectors when jumpers are removed

  9. MEMS and EFF technology based micro connector for future miniature devices

    International Nuclear Information System (INIS)

    Bhuiyan, M M I; Alamgir, T; Bhuiyan, M; Kajihara, M

    2013-01-01

    The development of a miniature; size, light and high performance electronic devices; has been accelerated for further development. In commercial stamping method, connector pitch size (radius) is more than 300μm due to its size limitation. Therefore, the stamped contact hertz stress becomes lower and less suitable for fine pitch connector. To overcome this pitch size problem a narrow pitch Board-to-Board (BtoB) interface connectors are in demand for the current commercial design. Therefore, this paper describes a fork type micro connector design with high Hertz-Stress using MEMS and Electro Fine Forming (EFF) fabrication techniques. The connector is designed high aspect ratio and high-density packaging using UV thick resist and electroforming. In this study a newly fabricated micro connector's maximum aspect ratio is 50μm and pitch is 80μm is designed successfully which is most compact fork-type connector in the world. When these connectors are connected, a contact resistance of less than 50mΩ has been attained by using four-point probe technique

  10. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  11. Development and integration of high straightness flexure guiding mechanisms dedicated to the METAS watt balance Mark II

    Science.gov (United States)

    Cosandier, F.; Eichenberger, A.; Baumann, H.; Jeckelmann, B.; Bonny, M.; Chatagny, V.; Clavel, R.

    2014-04-01

    There is a firm will in the metrology community to redefine the kilogram in the International System of units by linking it to a fundamental physical constant. The watt balance is a promising way to link the mass unit to the Planck constant h. At the Federal Institute of Metrology METAS a second watt balance experiment is under development. A decisive part of the METAS Mark II watt balance is the mechanical linear guiding system. The present paper discusses the development and the metrological characteristics of two guiding systems that were conceived by the Laboratoire de Systèmes Robotiques of EPFL and built using flexure mechanical elements. Integration in the new setup is also described.

  12. Shear Capacity of C-Shaped and L-Shaped Angle Shear Connectors.

    Directory of Open Access Journals (Sweden)

    Farzad Tahmasbi

    Full Text Available This paper investigates the behaviour of C-shaped and L-shaped angle shear connectors embedded in solid concrete slabs. An effective finite element model is proposed to simulate the push out tests of these shear connectors that encompass nonlinear material behaviour, large displacement and damage plasticity. The finite element models are validated against test results. Parametric studies using this nonlinear model are performed to investigate the variations in concrete strength and connector dimensions. The finite element analyses also confirm the test results that increasing the length of shear connector increases their shear strength proportionately. It is observed that the maximum stress in L-shaped angle connectors takes place in the weld attachment to the beam, whereas in the C-shaped angle connectors, it is in the attached leg. The location of maximum concrete compressive damage is rendered in each case. Finally, a new equation for prediction of the shear capacity of C-shaped angle connectors is proposed.

  13. Shear Capacity of C-Shaped and L-Shaped Angle Shear Connectors

    Science.gov (United States)

    Tahmasbi, Farzad; Maleki, Shervin; Shariati, Mahdi; Ramli Sulong, N. H.; Tahir, M. M.

    2016-01-01

    This paper investigates the behaviour of C-shaped and L-shaped angle shear connectors embedded in solid concrete slabs. An effective finite element model is proposed to simulate the push out tests of these shear connectors that encompass nonlinear material behaviour, large displacement and damage plasticity. The finite element models are validated against test results. Parametric studies using this nonlinear model are performed to investigate the variations in concrete strength and connector dimensions. The finite element analyses also confirm the test results that increasing the length of shear connector increases their shear strength proportionately. It is observed that the maximum stress in L-shaped angle connectors takes place in the weld attachment to the beam, whereas in the C-shaped angle connectors, it is in the attached leg. The location of maximum concrete compressive damage is rendered in each case. Finally, a new equation for prediction of the shear capacity of C-shaped angle connectors is proposed. PMID:27478894

  14. Performance of Grouted Splice Sleeve Connector under Tensile Load

    Directory of Open Access Journals (Sweden)

    A. Alias

    2016-05-01

    Full Text Available The grouted splice sleeve connector system takes advantage of the bond-slip resistance of the grout and the mechanical gripping of reinforcement bars to provide resistance to tensile force. In this system, grout acts as a load-transferring medium and bonding material between the bars and sleeve. This study adopted the end-to-end rebars connection method to investigate the effect of development length and sleeve diameter on the bonding performance of the sleeve connector. The end-to-end method refers to the condition where reinforcement bars are inserted into the sleeve from both ends and meet at the centre before grout is filled. Eight specimens of grouted splice sleeve connector were tested under tensile load to determine their performance. The sleeve connector was designed using 5 mm thick circular hollow section (CHS steel pipe and consisted of one external and two internal sleeves. The tensile test results show that connectors with a smaller external and internal sleeve diameter appear to provide better bonding performance. Three types of failure were observed in this research, which are bar fracture (outside the sleeve, bar pullout, and internal sleeve pullout. With reference to these failure types, the development length of 200 mm is the optimum value due to its bar fracture type, which indicates that the tensile capacity of the connector is higher than the reinforcement bar. It is found that the performance of the grouted splice sleeve connector is influenced by the development length of the reinforcement bar and the diameter of the sleeve.

  15. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  16. Remote process connectors for the new waste calcining facility

    International Nuclear Information System (INIS)

    Jacobs, R.T.; Carter, J.A.; Hohback, A.C.

    1978-01-01

    The remote process connectors developed, used, and tested at the Remote Maintenance Development Facility are described. These connectors, including the three-bolt kinematic-graphite flange and watertight electrical connectors, are assembled on master jigs (holding-welding fixture) to form interchangeable pump and valve loop assemblies. These assemblies, with their guide-in platforms, make possible a method of performing remote maintenance at the New Waste Calcining Facility which is a departure from methods that until now have been the standard of the industry

  17. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  18. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  19. Study of light transmission through optical fiber-to-fiber connector assemblies

    International Nuclear Information System (INIS)

    Chung, M.; Gutowski, M.; Adams, M.; Solomon, J.

    1998-01-01

    Optical fiber-to-fiber connectors are now being used widely in particle tracking detectors. We describe the properties of the connectors, their production, and measurements of the light transmission through the gap of the connector assembly. We studied light transmission for various types of connectors illuminated by several different light sources. The light transmission was found to be dependent on the angular distribution of the light rays passing through a connector assembly. Two arrangements were studied, a point source and a diffuse source. A green LED with a diffuser is believed to best reproduce the angular distributions of light in the real detector applications. We also studied the transmission as a function of the index of refraction of the optical couplants. The light transmission depends on the index of refraction of an optical couplant placed in the gap, and improves as it approaches the index of refraction of the fiber core. Light transmissions of 80%∼88% were obtained without any optical couplant in the connector gap and transmissions of 89%∼99% with various optical couplants. A Monte Carlo study using measured light distributions from a fiber end produced a reasonable agreement with the transmission measurements made on a connector assembly

  20. Study of light transmission through optical fiber-to-fiber connector assemblies

    International Nuclear Information System (INIS)

    Chung, M.; Gutowski, M.; Adams, M.; Solomon, J.

    1998-01-01

    Optical fiber-to-fiber connectors are now being used widely in particle tracking detectors. We describe the properties of the connectors, their production, and measurements of the light transmission through the gap of the connector assembly. We studied light transmission for various types of connectors illuminated by several different light sources. The light transmission was found to be dependent on the angular distribution of the light rays passing through a connector assembly. Two arrangements were studied, a point source and a diffuse source. A green LED with a diffuser is believed to best reproduce the angular distributions of light in the real detector applications. We also studied the transmission as a function of the index of refraction of the optical couplants. The light transmission depends on the index of refraction of an optical couplant placed in the gap, and improves as it approaches the index of refraction of the fiber core. Light transmissions of 80%∼88% were obtained without any optical couplant in the connector gap and transmissions of 89%∼99% with various optical couplants. A Monte Carlo study using measured light distributions from a fiber end produced a reasonable agreement with the transmission measurements made on a connector assembly. copyright 1998 American Institute of Physics

  1. Lightning Arrestor Connectors Production Readiness

    Energy Technology Data Exchange (ETDEWEB)

    Marten, Steve; Linder, Kim; Emmons, Jim; Gomez, Antonio; Hasam, Dawud; Maurer, Michelle

    2008-10-20

    The Lightning Arrestor Connector (LAC), part “M”, presented opportunities to improve the processes used to fabricate LACs. The A## LACs were the first production LACs produced at the KCP, after the product was transferred from Pinnellas. The new LAC relied on the lessons learned from the A## LACs; however, additional improvements were needed to meet the required budget, yield, and schedule requirements. Improvement projects completed since 2001 include Hermetic Connector Sealing Improvement, Contact Assembly molding Improvement, development of a second vendor for LAC shells, general process improvement, tooling improvement, reduction of the LAC production cycle time, and documention of the LAC granule fabrication process. This report summarizes the accomplishments achieved in improving the LAC Production Readiness.

  2. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  3. Fibre optic connectors with high-return-loss performance

    Science.gov (United States)

    Knott, Michael P.; Johnson, R.; Cooke, K.; Longhurst, P. C.

    1990-09-01

    This paper describes the development of a single mode fibre optic connector with high return loss performance without the use of index matching. Partial reflection of incident light at a fibre optic connector interface is a recognised problem where the result can be increased noise and waveform distortion. This is particularly important for video transmission in subscriber networks which requires a high signal to noise ratio. A number of methods can be used to improve the return loss. The method described here uses a process which angles the connector endfaces. Measurements show typical return losses of -55dB can be achieved for an end angle of 6 degrees. Insertion loss results are also presented.

  4. Evolution of low-profile and lightweight electrical connectors for soldier-worn applications

    Science.gov (United States)

    Gans, Eric; Lee, Kang; Jannson, Tomasz; Walter, Kevin

    2011-06-01

    In addition to military radios, modern warfighters carry cell phones, GPS devices, computers, and night-vision aids, all of which require electrical cables and connectors for data and power transmission. Currently each electrical device operates via independent cables using conventional cable and connector technology. Conventional cables are stiff and difficult to integrate into a soldier-worn garment. Conventional connectors are tall and heavy, as they were designed to ensure secure connections to bulkhead-type panels, and being tall, represent significant snag-hazards in soldier-worn applications. Physical Optics Corporation has designed a new, lightweight and low-profile electrical connector that is more suitable for body-worn applications and operates much like a standard garment snap. When these connectors are mated, the combined height is <0.3 in. - a significant reduction from the 2.5 in. average height of conventional connectors. Electrical connections can be made with one hand (gloved or bare) and blindly (without looking). Furthermore, POC's connectors are integrated into systems that distribute data or power from a central location on the soldier's vest, reducing the length and weight of the cables necessary to interconnect various mission-critical electronic systems. The result is a lightweight power/data distribution system offering significant advantages over conventional electrical connectors in soldier-worn applications.

  5. Development of a Skewed Pipe Shear Connector for Precast Concrete Structures.

    Science.gov (United States)

    Kim, Sang-Hyo; Choi, Jae-Gu; Park, Sejun; Lee, Hyunmin; Heo, And Won-Ho

    2017-05-13

    Joint connection methods, such as shear key and loop bar, improve the structural performance of precast concrete structures; consequently, there is usually decreased workability or constructional efficiency. This paper proposes a high-efficiency skewed pipe shear connector. To resist shear and pull-out forces, the proposed connectors are placed diagonally between precast concrete segments and a cast-in-place concrete joint part on a girder. Design variables (such as the pipe diameter, length, and insertion angle) have been examined to investigate the connection performance of the proposed connector. The results of our testing indicate that the skewed pipe shear connectors have 50% higher ductility and a 15% higher ratio of maximum load to yield strength as compared to the corresponding parameters of the loop bar. Finite element analysis was used for validation. The resulting validation indicates that, compared to the loop bar, the skewed pipe shear connector has a higher ultimate shear and pull-out resistance. These results indicate that the skewed pipe shear connector demonstrates more idealized behavior than the loop bar in precast concrete structures.

  6. Structural health monitoring of compression connectors for overhead transmission lines

    Science.gov (United States)

    Wang, Hong; Wang, Jy-An John; Swindeman, Joseph P.; Ren, Fei; Chan, John

    2017-04-01

    Two-stage aluminum conductor steel-reinforced (ACSR) compression connectors are extensively used in US overhead transmission lines. The connectors are made by crimping a steel sleeve onto a steel core and an aluminum sleeve over electrical conducting aluminum strands. The connectors are designed to operate at temperatures up to 125°C, but their performance is increasingly degrading because of overloading of lines. Currently, electric utilities conduct routine line inspections using thermal and electrical measurements, but these methods do not provide information about the structural integrity of connectors. In this work, structural health monitoring (SHM) of compression connectors was studied using electromechanical impedance (EMI) analysis. Lead zirconate titanate (PZT)-5A was identified as a smart material for SHM. A flexible high-temperature bonding layer was used to address challenges in PZT integration due to a significant difference in the coefficients of thermal expansion of PZT and the aluminum substrate. The steel joint on the steel core was investigated because it is responsible for the ultimate tensile strength of the connector. Tensile testing was used to induce structural damage to the joint, or steel core pullout, and thermal cycling introduced additional structural perturbations. EMI measurements were conducted between the tests. The root mean square deviation (RMSD) of EMI was identified as a damage index. The use of steel joints has been shown to enable SHM under simulated conditions. The EMI signature is sensitive to variations in structural conditions. RMSD can be correlated to the structural health of a connector and has potential for use in the SHM and structural integrity evaluation.

  7. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  8. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  9. Small form factor optical fiber connector evaluation for harsh environments

    Science.gov (United States)

    Ott, Melanie N.; Thomes, W. Joe, Jr.; Chuska, Richard F.; Switzer, Robert; Blair, Diana E.

    2011-09-01

    For the past decade NASA programs have utilized the Diamond AVIM connector for optical fiber assemblies on space flight instrumentation. These connectors have been used in communications, sensing and LIDAR systems where repeatability and high performance are required. Recently Diamond has released a smaller form factor optical fiber connector called the "Mini-AVIM" which although more compact still includes the tight tolerances and the ratcheting feature of the heritage AVIM. NASA Goddard Space Flight Center Photonics Group in the Parts, Packaging and Assembly Technologies Office has been performing evaluations of this connector to determine how it compares to the performance of the AVIM connector and to assess its feasibility for harsh environmental applications. Vibration and thermal testing were performed on the Mini-AVIM with both multi-mode and single-mode optical fiber using insitu optical transmission monitoring. Random vibration testing was performed using typical launch condition profiles for most NASA missions but extended to 35 Grms, which is much higher than most requirements. Thermal testing was performed incrementally up to a range of -55°C to +125°C. The test results include both unjacketed fiber and cabled assembly evaluations. The data presented here indicate that the Mini-AVIM provides a viable option for small form factor applications that require a high performance optical fiber connector.

  10. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  11. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  12. Friction mediated by redox-active supramolecular connector molecules.

    Science.gov (United States)

    Bozna, B L; Blass, J; Albrecht, M; Hausen, F; Wenz, G; Bennewitz, R

    2015-10-06

    We report on a friction study at the nanometer scale using atomic force microscopy under electrochemical control. Friction arises from the interaction between two surfaces functionalized with cyclodextrin molecules. The interaction is mediated by connector molecules with (ferrocenylmethyl)ammonium end groups forming supramolecular complexes with the cyclodextrin molecules. With ferrocene connector molecules in solution, the friction increases by a factor of up to 12 compared to control experiments without connector molecules. The electrochemical oxidation of ferrocene to ferrocenium causes a decrease in friction owing to the lower stability of ferrocenium-cyclodextrin complex. Upon switching between oxidative and reduction potentials, a change in friction by a factor of 1.2-1.8 is observed. Isothermal titration calorimetry reveals fast dissociation and rebinding kinetics and thus an equilibrium regime for the friction experiments.

  13. Loop connectors in dentogenic diastema

    Directory of Open Access Journals (Sweden)

    Sanjna Nayar

    2015-01-01

    Full Text Available Patients with a missing tooth along with diastema have limited treatment options to restore the edentulous space. The use of a conventional fixed partial denture (FPD to replace the missing tooth may result in too wide anterior teeth leading to poor esthetics. Loss of anterior teeth with existing diastema may result in excess space available for pontic. This condition presents great esthetic challenge for prosthodontist. If implant supported prosthesis is not possible because of inadequate bone support, FPD along with loop connector may be a treatment option to maintain the diastema and provide optimal esthetic restoration. Here, we report a clinical case where FPD along with loop connector was used to achieve esthetic rehabilitation in maxillary anterior region in which midline diastema has been maintained.

  14. Safety grade pressurizer heater power supply connector assembly

    International Nuclear Information System (INIS)

    Burnett, J.M.; Daftari, R.M.; Reyns, R.M.

    1987-01-01

    This patent describes a pressurizer heater power supply connector assembly for attaching a power cable to an electric heater within a pressurizer of a pressurized water nuclear reactor system, the electric heater having pin contacts. The assembly comprises: a pin-socket type connector including a tubular body having a first open end carrying a pin-socket contact member and an insert intermediate a shell and the pin-socket contact member, the contact member having socket means for electrically receiving and contacting the pin contacts, and a second open end; a flexible sealed conduit including a flexible corrugated tube having one end connected to the second open end of the pin-socket type connector, and another end; and a shop splice assembly including a header adapter and a hose clamp interconnected between the header adapter and another end of the flexible corrugated tube

  15. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  16. High current capacity electrical connector

    International Nuclear Information System (INIS)

    Bettis, E.S.; Watts, H.L.

    1976-01-01

    An electrical connector is provided for coupling high current capacity electrical conductors such as copper busses or the like. The connector is arranged in a ''sandwiched'' configuration in which a conductor plate contacts the busses along major surfaces clamped between two stainless steel backing plates. The conductor plate is provided with contact buttons in a spaced array such that the caps of the buttons extend above the conductor plate surface to contact the busses. When clamping bolts provided through openings in the sandwiched arrangement are tightened, Belleville springs provided under the rim of each button cap are compressed and resiliently force the caps into contact with the busses' contacting surfaces to maintain a predetermined electrical contact area provided by the button cap tops. The contact area does not change with changing thermal or mechanical stresses applied to the coupled conductors

  17. Catheter Occlusion in Home Infusion: The Influence of Needleless Connector Design on Central Catheter Occlusion.

    Science.gov (United States)

    Williams, Ann

    Thrombotic catheter occlusion is a common complication associated with central venous catheters (CVCs). A wide variety of needleless connectors that differ greatly in design and function are available for use with CVCs; however, there are a limited number of studies comparing the catheter occlusion rate associated with differently designed needleless connectors. This retrospective observational study compared occlusion rates associated with a split-septum neutral-displacement needleless connector versus those of a solid-surface neutral-reflux needleless connector in patients undergoing home infusion therapy. The neutral-reflux needleless connector was associated with a significant reduction in occlusion rate and thrombolytic use versus the neutral-displacement needleless connector.

  18. On-line intermittent connector anomaly detection

    Data.gov (United States)

    National Aeronautics and Space Administration — This paper investigates a non-traditional use of differential current sensor and current sensor to detect intermittent disconnection problems in connectors. An...

  19. Homogeneous and single-side-operational connector mechanism for self-reconfigurable, self-assembly and self-healing systems

    Science.gov (United States)

    Shen, Wei-Min (Inventor); Kovac, Robert M. (Inventor)

    2012-01-01

    Designs of single-end-operative reconfigurable genderless connectors that include a base, a plurality of movable jaws that are formed on the base and can engage to the jaws of another connector, and an actuator that is mounted on the base and can engage and move the jaws of the reconfigurable connector to connect the reconfigurable connector with another connector.

  20. Time domain calculation of connector loads of a very large floating structure

    Science.gov (United States)

    Gu, Jiayang; Wu, Jie; Qi, Enrong; Guan, Yifeng; Yuan, Yubo

    2015-06-01

    Loads generated after an air crash, ship collision, and other accidents may destroy very large floating structures (VLFSs) and create additional connector loads. In this study, the combined effects of ship collision and wave loads are considered to establish motion differential equations for a multi-body VLFS. A time domain calculation method is proposed to calculate the connector load of the VLFS in waves. The Longuet-Higgins model is employed to simulate the stochastic wave load. Fluid force and hydrodynamic coefficient are obtained with DNV Sesam software. The motion differential equation is calculated by applying the time domain method when the frequency domain hydrodynamic coefficient is converted into the memory function of the motion differential equation of the time domain. As a result of the combined action of wave and impact loads, high-frequency oscillation is observed in the time history curve of the connector load. At wave directions of 0° and 75°, the regularities of the time history curves of the connector loads in different directions are similar and the connector loads of C1 and C2 in the X direction are the largest. The oscillation load is observed in the connector in the Y direction at a wave direction of 75° and not at 0°. This paper presents a time domain calculation method of connector load to provide a certain reference function for the future development of Chinese VLFS

  1. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  2. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  3. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  4. Influence of Connector Width on the Stress Distribution of Posterior Bridges under Loading

    Directory of Open Access Journals (Sweden)

    A. Azary

    2011-06-01

    Full Text Available Objective: In all ceramic fixed partial dentures the connector area is a common fracture location. The survival time of three-unit fixed partial dentures may be improved by altering the connector design in regions of maximum tension. The purpose of this study was to determine the effect of buccolingual increase of the connector width on the stress distribution in posterior fixed partial dentures made of IPS Empress 2. To simulate the anatomical condition, we used three-dimensional finite element analysis to generate.Materials and Methods: Three models of three-unit bridges replacing the first molar were prepared. The buccolingual connector width varied from 3.0 to 5.0 mm. Bridges were vertically loaded with 600 N at one point on the central fossa of the pontic, at 12 points along the cusp-fossa contact (50 N each, or at eight points along the cusp-marginal ridge contact (75 N each. Alternatively, a load of 225 N was applied at a 45º angle from the lingual side.Results: Stress concentrations were observed within or near the connectors. The von Mises stress decreased by increasing connector width, regardless of whether the loading was applied vertically or at an angle.Conclusion: Within the limitations of this study, we conclude that increasing the connector width decreases the failure probability when a vertical or angled load is applied.

  5. Influence of Cable Vibrations on Connectors Used in Automotive Applications

    Directory of Open Access Journals (Sweden)

    AMEL Bouzera

    2012-10-01

    Full Text Available In order to determine the influence of cable vibrations on the contact resistance of connectors, the cable resonant frequency and the resulting movement of both parts of the connector have been studied. The increase of contact voltage, followed by rapidfluctuations generated by wear particles, has been analysed. A test bench designed to monitor wire vibrations was used while the transferred amplitude was measured by a high sensitivity displacement sensor. The contact interface was made of copper alloy and tin coated. The connector was connected to a resistive power supplytransmitting different currents and voltage values. Two investigations were performed on the contact voltage measured with a fast sampling oscilloscope which enabled histograms and a Fast FourierTransform analysis to be obtained. The appearance of contact fluctuations observed during the fretting generated by cable vibrations, and depending upon the wear effect, is attributed toelectromechanical phenomena. Some slow fluctuations are well correlated to the vibration period while the rapid ones are linked to an electrical conduction perturbation in the granular interface caused by the connector movement.

  6. The Integrity of ACSR Full Tension Single-Stage Splice Connector at Higher Operation Temperature

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jy-An John [ORNL; Lara-Curzio, Edgar [ORNL; King Jr, Thomas J [ORNL

    2008-10-01

    Due to increases in power demand and limited investment in new infrastructure, existing overhead power transmission lines often need to operate at temperatures higher than those used for the original design criteria. This has led to the accelerated aging and degradation of splice connectors. It is manifested by the formation of hot-spots that have been revealed by infrared imaging during inspection. The implications of connector aging is two-fold: (1) significant increases in resistivity of the splice connector (i.e., less efficient transmission of electricity) and (2) significant reductions in the connector clamping strength, which could ultimately result in separation of the power transmission line at the joint. Therefore, the splice connector appears to be the weakest link in electric power transmission lines. This report presents a protocol for integrating analytical and experimental approaches to evaluate the integrity of full tension single-stage splice connector assemblies and the associated effective lifetime at high operating temperature.

  7. Behaviour of C-shaped angle shear connectors under monotonic and fully reversed cyclic loading: An experimental study

    International Nuclear Information System (INIS)

    Shariati, Mahdi; Ramli Sulong, N.H.; Suhatril, Meldi; Shariati, Ali; Arabnejad Khanouki, M.M.; Sinaei, Hamid

    2012-01-01

    Highlights: ► C-shaped angle connectors show 8.8–33.1% strength degradation under cyclic loading. ► Connector fracture type of failure was experienced in C-shaped angle shear connectors. ► In push-out samples, more cracking was observed in those slabs with longer angles. ► C-shaped angle connectors show good behaviour in terms of the ultimate shear capacity. ► C-shaped angle connectors did not fulfil the requirements for ductility criteria. -- Abstract: This paper presents an evaluation of the structural behaviour of C-shaped angle shear connectors in composite beams, suitable for transferring shear force in composite structures. The results of the experimental programme, including eight push-out tests, are presented and discussed. The results include resistance, strength degradation, ductility, and failure modes of C-shaped angle shear connectors, under monotonic and fully reversed cyclic loading. The results show that connector fracture type of failure was experienced in C-shaped angle connectors and after the failure, more cracking was observed in those slabs with longer angles. On top of that, by comparing the shear resistance of C-shaped angle shear connectors under monotonic and cyclic loading, these connectors showed 8.8–33.1% strength degradation, under fully reversed cyclic loading. Furthermore, it was concluded that the mentioned shear connector shows a proper behaviour, in terms of the ultimate shear capacity, but it does not satisfy the ductility criteria, imposed by the Eurocode 4, to perform a plastic distribution of the shear force between different connectors along the beam length.

  8. Impedance Discontinuity Reduction Between High-Speed Differential Connectors and PCB Interfaces

    Science.gov (United States)

    Navidi, Sal; Agdinaoay, Rodell; Walter, Keith

    2013-01-01

    High-speed serial communication (i.e., Gigabit Ethernet) requires differential transmission and controlled impedances. Impedance control is essential throughout cabling, connector, and circuit board construction. An impedance discontinuity arises at the interface of a high-speed quadrax and twinax connectors and the attached printed circuit board (PCB). This discontinuity usually is lower impedance since the relative dielectric constant of the board is higher (i.e., polyimide approx. = 4) than the connector (Teflon approx. = 2.25). The discontinuity can be observed in transmit or receive eye diagrams, and can reduce the effective link margin of serial data networks. High-speed serial data network transmission improvements can be made at the connector-to-board interfaces as well as improving differential via hole impedances. The impedance discontinuity was improved by 10 percent by drilling a 20-mil (approx. = 0.5-mm) hole in between the pin of a differential connector spaced 55 mils (approx. = 1.4 mm) apart as it is attached to the PCB. The effective dielectric constant of the board can be lowered by drilling holes into the board material between the differential lines in a quadrax or twinax connector attachment points. The differential impedance is inversely proportional to the square root of the relative dielectric constant. This increases the differential impedance and thus reduces the above described impedance discontinuity. The differential via hole impedance can also be increased in the same manner. This technique can be extended to multiple smaller drilled holes as well as tapered holes (i.e., big in the middle followed by smaller ones diagonally).

  9. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  10. Chronic, percutaneous connector for electrical recording and stimulation with microelectrode arrays.

    Science.gov (United States)

    Shah, Kedar G; Lee, Kye Young; Tolosa, Vanessa; Tooker, Angela; Felix, Sarah; Benett, William; Pannu, Satinderpall

    2014-01-01

    The translation of advances in neural stimulation and recording research into clinical practice hinges on the ability to perform chronic experiments in awake and behaving animal models. Advances in microelectrode array technology, most notably flexible polymer arrays, have significantly improved reliability of the neural interface. However, electrical connector technology has lagged and is prone to failure from non-biocompatibility, large size, contamination, corrosion, and difficulty of use. We present a novel chronic, percutaneous electrical connector system that is suitable for neural stimulation and recording. This system features biocompatible materials, low connect and disconnect forces, passive alignment, and a protective cap during non-use. We have successfully designed, assembled, and tested in vitro both a 16-channel system and a high density 64-channel system. Custom, polyimide, 16-channel, microelectrode arrays were electrically assembled with the connector system and tested using cyclic voltammetry and electrochemical impedance spectroscopy. This connector system is versatile and can be used with a variety of microelectrode array technologies for chronic studies.

  11. Inflatable Air Beam Standard Interface Connector

    Data.gov (United States)

    National Aeronautics and Space Administration — The project will develop a system of 3D-printed connectors that can be used as a kit of parts to connect inflatable air beams to form a variety of spacecraft...

  12. Analysis of the Shear Behavior of Stubby Y-Type Perfobond Rib Shear Connectors for a Composite Frame Structure.

    Science.gov (United States)

    Kim, Sang-Hyo; Kim, Kun-Soo; Lee, Do-Hoon; Park, Jun-Seung; Han, Oneil

    2017-11-22

    Shear connectors are used in steel beam-concrete slabs of composite frame and bridge structures to transfer shear force according to design loads. The existing Y-type perfobond rib shear connectors are designed for girder slabs of composite bridges. Therefore, the rib and transverse rebars of the conventional Y-type perfobond rib shear connectors are extremely large for the composite frames of building structures. Thus, this paper proposes stubby Y-type perfobond rib shear connectors, redefining the existing connectors, for composite frames of building structures; these were used to perform push-out tests. These shear connectors have relatively small ribs compared to the conventional Y-type perfobond rib shear connectors. To confirm the shear resistance of these stubby shear connectors, we performed an experiment by using transverse rebars D13 and D16. The results indicate that these shear connectors have suitable shear strength and ductility for application in composite frame structures. The shear strengths obtained using D13 and D16 were not significantly different. However, the ductility of the shear connectors with D16 was 45.1% higher than that of the shear connectors with D13.

  13. Using Three-Dimensional Printing to Fabricate a Tubing Connector for Dilation and Evacuation.

    Science.gov (United States)

    Stitely, Michael L; Paterson, Helen

    2016-02-01

    This is a proof-of-concept study to show that simple instrumentation problems encountered in surgery can be solved by fabricating devices using a three-dimensional printer. The device used in the study is a simple tubing connector fashioned to connect two segments of suction tubing used in a surgical procedure where no commercially available product for this use is available through our usual suppliers in New Zealand. A cylindrical tubing connector was designed using three-dimensional printing design software. The tubing connector was fabricated using the Makerbot Replicator 2X three-dimensional printer. The connector was used in 15 second-trimester dilation and evacuation procedures. Data forms were completed by the primary operating surgeon. Descriptive statistics were used with the expectation that the device would function as intended in all cases. The three-dimensional printed tubing connector functioned as intended in all 15 instances. Commercially available three-dimensional printing technology can be used to overcome simple instrumentation problems encountered during gynecologic surgical procedures.

  14. Design and experimental analysis of a new shear connector for steel and concrete composite structures

    OpenAIRE

    Veríssimo, G. S.; Paes, J. L. R.; Valente, Isabel; Cruz, Paulo J. S.; Fakury, R. H.

    2006-01-01

    This work presents the design of a new shear connector and the corresponding results obtained on push-out tests. This new shear connector consists on a steel rib with indented cut shape that provides resistance to longitudinal shear and prevents transversal separation between the concrete slab and the steel profile (uplift). Adding to this, the connector openings cut makes easier the arrangement of transversal reinforcement bars. The installation of the connectors is simple and requires only ...

  15. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  16. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  17. Modular glovebox connector and associated good practices for control of radioactive and chemically toxic materials

    International Nuclear Information System (INIS)

    Hoover, M.D.; Mewhinney, C.J.; Newton, G.J.

    1999-01-01

    Design and associated good practices are described for a modular glovebox connector to improve control of radioactive and chemically toxic materials. The connector consists of an anodized aluminum circular port with a mating spacer, gaskets, and retaining rings for joining two parallel ends of commercially available or custom-manufactured glovebox enclosures. Use of the connector allows multiple gloveboxes to be quickly assembled or reconfigured in functional units. Connector dimensions can be scaled to meet operational requirements for access between gloveboxes. Options for construction materials are discussed, along with recommendations for installation of the connector in new or retrofitted systems. Associated good practices include application of surface coatings and caulking, use of disposable glovebags, and proper selection and protection of gasket and glove materials. Use of the connector at an inhalation toxicology research facility has reduced the time and expense required to reconfigure equipment for changing operational requirements, the dispersion of contamination during reconfigurations, and the need for decommissioning and disposal of contaminated enclosures

  18. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  19. Non-rigid connector: The wand to allay the stresses on abutment

    OpenAIRE

    Banerjee, Saurav; Khongshei, Arlingstone; Gupta, Tapas; Banerjee, Ardhendu

    2011-01-01

    The use of rigid connectors in 5-unit fixed dental prosthesis with a pier abutment can result in failure of weaker retainer in the long run as the pier abutment acts as a fulcrum. Non-rigid connector placed on the distal aspect of pier seems to reduce potentially excess stress concentration on the pier abutment.

  20. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  1. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  2. NRC Information No. 89-23: Environmental qualification of Litton-Veam CIR series electrical connectors

    International Nuclear Information System (INIS)

    Rossi, C.E.

    1992-01-01

    Litton-Veam CIR series multi-pin electrical connectors are used in core exit thermocouple (CET) and reactor vessel level monitoring (RVLM) systems supplied to numerous plants by Combustion Engineering, Inc., and are used in other applications in at least three additional plants. A proprietary qualification test report has been used to document environmental qualification of the connectors for loss of coolant accident (LOCA) conditions in the CE-supplied systems. During LOCA testing, the connector face seal gasket was completely degraded and elastomeric inserts interacted with simulated containment spray solution which leaked into the connector. Insulation resistances near 1,000 ohms were measured. CE has performed analyses to show that resultant errors are acceptable in CE-supplied CET and RVLM system thermocouple circuits. However, the moisture ingress and resultant low insulation resistances are not acceptable for heater circuits in the RVLM. For that application, CE developed two remedies; one is a clamp, and the other is a new connector design. CE has advised that one of these remedies has been used for all of the RVLM heater circuits. Unmodified CIR connectors are believed to be still in use in other applications

  3. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  4. Comparison of Adaptation between the Major Connectors Fabricated from Intraoral Digital Impressions and Extraoral Digital Impressions.

    Science.gov (United States)

    Gan, Ning; Ruan, Yaye; Sun, Jian; Xiong, Yaoyang; Jiao, Ting

    2018-01-11

    The objective was to compare the adaptation between the major connectors of removable partial dentures derived from intraoral digital impressions and extraoral digital impressions. Twenty-four volunteers were enrolled. Each volunteer received an intraoral digital impression and one extraoral digital impression digitized from conventional gypsum impression. A software was used to create the major connectors on digital impression datasets. After all the virtual major connectors designed from Group intraoral digital impressions (Group I) and Group extraoral digital impressions (Group E) were directly fabricated by 3D printing technique, the adaptation of the final major connectors in volunteers' mouths were measured. The adaptation ranged from 159.87 to 577.99 μm in Group I while from 120.83 to 536.17 μm in Group E. The adaptation of major connectors in Group I were found better at the midline palatine suture while the adaptation of major connectors in Group E were found better at the two sides of the palatal vault. In both groups, the highest accuracy in adaptation was revealed at the anterior margin of the major connectors. It is feasible to manufacture the major connectors by digital impression and 3D printing technique. Both the adaptation of the two kinds of digital impressions were clinical acceptable.

  5. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  6. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  7. Proposed superscreened cables and connectors

    International Nuclear Information System (INIS)

    Fowler, E.P.

    1975-11-01

    The paper summarises the present availability of superscreened cables and proposes the specification of a family of cables to meet the foreseeable needs of the nuclear power industry. The cable numbering system is described, special tests outlined and important details given for the chosen cables. Appropriate connectors are also discussed and listed with an outline of their required screening performance. (author)

  8. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  9. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  10. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  11. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  12. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  13. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  14. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  15. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  16. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  17. ESCC standards, evaluation and qualification of optical fiber connectors for space application

    Science.gov (United States)

    Taugwalder, Frédéric

    2017-11-01

    Optical fiber connectors have been used for the past fifteen years in space application. Reviewing the heritage left from past and current mission, the status of ESCC standards for these components and assemblies will help future use of fiber in space applications. In the frame of the ESA ECI program, Diamond has evaluated and is currently qualifying according to ESCC standards the AVIM and Mini-AVIM connectors. The configuration retained to qualify the connector sets is using a polarization maintaining fiber at 1550nm with a loose tube in PEEK as cable structure. The evaluation has been used to step-stress specific characteristics of the optical fiber connectors with a particular aim at possible failure modes to establish a safety factor for the qualification. The evaluation results presented can be used on a case by case to evaluate special applications that would require to extend the specification. The qualification components can be extended further and a structure for assemblies is proposed in order to simplify fiber optics implementation in space projects.

  18. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  19. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  20. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  1. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  2. Development Of Remote Hanford Connector Gasket Replacement Tooling For DWPF

    International Nuclear Information System (INIS)

    Krementz, D.; Coughlin, Jeffrey

    2009-01-01

    The Defense Waste Processing Facility (DWPF) requested the Savannah River National Laboratory (SRNL) to develop tooling and equipment to remotely replace gaskets in mechanical Hanford connectors to reduce personnel radiation exposure as compared to the current hands-on method. It is also expected that radiation levels will continually increase with future waste streams. The equipment is operated in the Remote Equipment Decontamination Cell (REDC), which is equipped with compressed air, two master-slave manipulators (MSM's) and an electro-mechanical manipulator (EMM) arm for operation of the remote tools. The REDC does not provide access to electrical power, so the equipment must be manually or pneumatically operated. The MSM's have a load limit at full extension of ten pounds, which limited the weight of the installation tool. In order to remotely replace Hanford connector gaskets several operations must be performed remotely, these include: removal of the spent gasket and retaining ring (retaining ring is also called snap ring), loading the new snap ring and gasket into the installation tool and installation of the new gasket into the Hanford connector. SRNL developed and tested tools that successfully perform all of the necessary tasks. Removal of snap rings from horizontal and vertical connectors is performed by separate air actuated retaining ring removal tools and is manipulated in the cell by the MSM. In order install a new gasket, the snap ring loader is used to load a new snap ring into a groove in the gasket installation tool. A new gasket is placed on the installation tool and retained by custom springs. An MSM lifts the installation tool and presses the mounted gasket against the connector block. Once the installation tool is in position, the gasket and snap ring are installed onto the connector by pneumatic actuation. All of the tools are located on a custom work table with a pneumatic valve station that directs compressed air to the desired tool and

  3. Low resistance, low-inductance power connectors

    Science.gov (United States)

    Coteus, Paul W.; Ferencz, Andrew; Hall, Shawn Anthony; Takken, Todd Edward

    2018-01-16

    An electrical connector includes an anode assembly for conducting an electrical supply current from a source to a destination, the anode assembly includes an anode formed into a first shape from sheet metal or other sheet-like conducting material. A cathode assembly conducts an electrical return current from the destination to the source, the cathode assembly includes a cathode formed into a second shape from sheet metal or other sheet-like conducting material. An insulator prevents electrical conduction between the anode and the cathode. The first and second shapes are such as to provide a conformity of one to the other, with the insulator therebetween having a predetermined relatively thin thickness. A predetermined low-resistance path for the supply current is provided by the anode, a predetermined low-resistance path for the return current is provided by the cathode, and the proximity of the anode to the cathode along these paths provides a predetermined low self-inductance of the connector, where the proximity is afforded by the conformity of the first and second shapes.

  4. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  5. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  6. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  7. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  8. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  9. Innovative, wearable snap connector technology for improved device networking in electronic garments

    Science.gov (United States)

    Kostrzewski, Andrew A.; Lee, Kang S.; Gans, Eric; Winterhalter, Carole A.; Jannson, Tomasz P.

    2007-04-01

    This paper discusses Physical Optics Corporation's (POC) wearable snap connector technology that provides for the transfer of data and power throughout an electronic garment (e-garment). These connectors resemble a standard garment button and can be mated blindly with only one hand. Fully compatible with military clothing, their application allows for the networking of multiple electronic devices and an intuitive method for adding/removing existing components from the system. The attached flexible cabling also permits the rugged snap connectors to be fed throughout the standard webbing found in military garments permitting placement in any location within the uniform. Variations of the snap electronics/geometry allow for integration with USB 2.0 devices, RF antennas, and are capable of transferring high bandwidth data streams such as the 221 Mbps required for VGA video. With the trend towards providing military officers with numerous electronic devices (i.e., heads up displays (HMD), GPS receiver, PDA, etc), POC's snap connector technology will greatly improve cable management resulting in a less cumbersome uniform. In addition, with electronic garments gaining widespread adoption in the commercial marketplace, POC's technology is finding applications in such areas as sporting good manufacturers and video game technology.

  10. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  11. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  12. Development of an electrical connector for liquid sodium environment. Final Report

    International Nuclear Information System (INIS)

    Kataoka, Hajime; Noguchi, Koichi; Takatsudo, Hiroshi; Miyakawa, Shun-ichi

    1998-07-01

    The INstrumented irradiation Test Assembly (INTA) has been used to conduct precision on-line instrumented irradiation tests in the experimental fast reactor JOYO. In INTA, direct instrumentation wiring between the irradiation test section in the core and the upper structure section in the rotating plug makes INTA structurally complex and expensive. Instead of direct wiring, if an electrical connector capable of withstanding a heated liquid sodium environment could be used between the irradiation test section and the upper structure section, the upper mechanism of INTA could be reused and testing costs would be drastically reduced. Moreover, the reactor load factor would be improved because of reduced handling time for INTA. In an attempt to gain this advantage, research and development of an electric connector in a sodium environment was carried out from 1988 to 1996 at PNC. As no previous R and D had been conducted in this area, this development activity was conducted in a boot strap manner. The first test was carried out for a small model fabrication, the second was for a water partial model, and the third was for a sodium partial model. Based on those tests, a prototype design specification of the connector was determined. In the sodium partial model test, the resilience of the electrical connector insulation to the sodium environment was investigated. However, severe cracking in the ceramic insulator caused by the high temperature sodium environment was discovered at the junction of ceramic insulator and metallic electrode. Although additional sodium partial tests were performed for various material combinations of ceramic insulators, metallic electrodes, brazing materials and metallization materials, the results of the tests were unsatisfactory. Therefore, it was decided that the development of the connector in sodium should cease at PNC in 1997. (J.P.N.)

  13. A conceptual framework for designing micro electrical connectors for hearing aid instruments

    OpenAIRE

    Doagou Rad, Saeed; Islam, Aminul; Fuglsang-Philip, M.

    2016-01-01

    Electrical connectors play vital roles in modern electronic instruments. Hearing aid devices as advanced combinations of micro mechanics and electronics comprise various electrical connectors for different purposes. However, the current trend in the miniaturization along with the sharp technological advancements have urged them to incorporate increased number of electrical contacts. The current paper presents a conceptual framework for designing and manufacturing novel plug and socket systems...

  14. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  15. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  16. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  17. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  18. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  19. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  20. Irradiation test on connector part for nuclear instrumentation of nuclear powered ship 'Mutsu'

    International Nuclear Information System (INIS)

    Kudo, Takahiro; Mizushima, Toshihiko; Tsunoda, Tsunemi; Nakazawa, Toshio

    1991-01-01

    The nuclear instrumetnation facility of the nuclear powered ship 'Mutsu' is composed of neutron detectors, signal cables and the circuits for measurement, and ocntinuously monitors neutron flux. Since this facility treats very faint signals, for the signal cables, coaxial cables and triple coaxial cables are used. The coaxial cables for the nuclear instrumentation are equipped with connectors at both ends, and those are called prefabricated cable. The prefabricated cables are connected to neutron detectors, and installed in the detection holes of the primary shielding tank in the containment vessel. Therefore, at the time of reactor operation, they are exposed to high radiation, and the deterioration of the characteristics of the prefabricated cables is feared. For the purpose of confirming that the part of deteriorating the insulation of the prefabricated cables is connectors, and clarifying the cause of the deterioration of insulation in connector part, the irradiation test of this time was carried out. The environment in which the prefabricated cables are laid, the specifications of the cables and connectors, the materials, gamma ray irradiation and the test results are reported. (K.I.)

  1. MIL-L-87177 and CLT:X-10 Lubricants Improve Electrical Connector Fretting Corrosion Behavior

    International Nuclear Information System (INIS)

    AUKLAND, NEIL R.; HANLON, JAMES T.

    1999-01-01

    We have conducted a fretting research project using MIL-L-87177 and CLT: X-10 lubricants on Nano-miniature connectors. When they were fretted without lubricant, individual connectors first exceeded our 0.5 ohm failure criteria from 2,341 to 45,238 fretting cycles. With additional fretting, their contact resistance increased to more than 100,000 ohms. Unmodified MIL-L-87177 lubricant delayed the onset of first failure to between 430,000 and over 20,000,000 fretting cycles. MIL-L-87177 modified by addition of Teflon powder delayed first failure to beyond 5 million fretting cycles. Best results were obtained when Teflon was used and also when both the straight and modified lubricants were poured into and then out of the connector. CLT: X-10 lubricant delayed the onset of first failure to beyond 55 million cycles in one test where a failure was actually observed and to beyond 20 million cycles in another that was terminated without failure. CLT: X-10 recovered an unlubricated connector driven deeply into failure, with six failed pins recovering immediately and four more recovering during an additional 420 thousand fretting cycles. MIL-L-87177 was not able to recover a connector under similar conditions

  2. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  3. An elastic elements calculation in the construction of electrical connectors based on flexible printed cables

    Directory of Open Access Journals (Sweden)

    Yefimenko A. A.

    2016-05-01

    Full Text Available In this article we consider the method of constructive parameters calculation of the elastic elements, which are used in electrical connectors of flexible printed cables. Electrical connectors characterized by the fact that the contact pair is needed to provide pressure. It is also important to know the optimum ratio between stability of the contact connection and the necessary value of the transition resistance. These ratios are studied sufficiently for discrete electrical connectors, however for connectors of flexible printed cables this theme is not explored enough. The purpose of this work is to develop methods that will allow to carry out calculations for elastic elements, which provide communication, and to determine the size of case elements for electrical connectors. We consider two types of connectors. First are removable electrical connections where it is necessary to find a compromise between the resistance value and the separating (engaging force. Second are permanent connections, where counts only the value of the transition resistance. For the first type of connectors were considered a simple form of the liner, in the shape of a rubber block, as in this case, the pressure should be created only by the compression of the liner and fixing it. It is important to get accurate results of the pressure calculation for removable electrical connections, because in this case we consider especial shape of elastomeric liner, which allows to create the pressure not only by compression of the liner, but by deformation it as a spring. For this task, the engaging force was specified on the basis of already known facts. It was important to set the amount of deformation that defines the shape and size of the liner and the case. We solved the problem of transition from the units of measuring hardness, specified in the technical specifications of rubber, to the value of the Young's modulus used in the calculations of constructive parameters of

  4. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  5. Effect of shear connectors on local buckling and composite action in steel concrete composite walls

    International Nuclear Information System (INIS)

    Zhang, Kai; Varma, Amit H.; Malushte, Sanjeev R.; Gallocher, Stewart

    2014-01-01

    Steel concrete composite (SC) walls are being used for the third generation nuclear power plants, and also being considered for small modular reactors. SC walls consist of thick concrete walls with exterior steel faceplates serving as reinforcement. These steel faceplates are anchored to the concrete infill using shear connectors, for example, headed steel studs. The steel faceplate thickness (t p ) and yield stress (F y ), and the shear connector spacing (s), stiffness (k s ), and strength (Q n ) determine: (a) the level of composite action between the steel plates and the concrete infill, (b) the development length of steel faceplates, and (c) the local buckling of the steel faceplates. Thus, the shear connectors have a significant influence on the behavior of composite SC walls, and should be designed accordingly. This paper presents the effects of shear connector design on the level of composite action and development length of steel faceplates in SC walls. The maximum steel plate slenderness, i.e., ratio of shear connector spacing-to-plate thickness (s/t p ) ratio to prevent local buckling before yielding is also developed based on the existing experimental database and additional numerical analysis

  6. Effect of shear connectors on local buckling and composite action in steel concrete composite walls

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Kai, E-mail: kai-zh@purdue.edu [School of Civil Engineering, Purdue University, West Lafayette, IN (United States); Varma, Amit H., E-mail: ahvarma@purdue.edu [School of Civil Engineering, Purdue University, West Lafayette, IN (United States); Malushte, Sanjeev R., E-mail: smalusht@bechtel.com [Bechtel Power Corporation, Frederick, MD (United States); Gallocher, Stewart, E-mail: stewart.gallocher@steelbricks.com [Modular Walling Systems Ltd., Glasgow (United Kingdom)

    2014-04-01

    Steel concrete composite (SC) walls are being used for the third generation nuclear power plants, and also being considered for small modular reactors. SC walls consist of thick concrete walls with exterior steel faceplates serving as reinforcement. These steel faceplates are anchored to the concrete infill using shear connectors, for example, headed steel studs. The steel faceplate thickness (t{sub p}) and yield stress (F{sub y}), and the shear connector spacing (s), stiffness (k{sub s}), and strength (Q{sub n}) determine: (a) the level of composite action between the steel plates and the concrete infill, (b) the development length of steel faceplates, and (c) the local buckling of the steel faceplates. Thus, the shear connectors have a significant influence on the behavior of composite SC walls, and should be designed accordingly. This paper presents the effects of shear connector design on the level of composite action and development length of steel faceplates in SC walls. The maximum steel plate slenderness, i.e., ratio of shear connector spacing-to-plate thickness (s/t{sub p}) ratio to prevent local buckling before yielding is also developed based on the existing experimental database and additional numerical analysis.

  7. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  8. Combined effects of fretting and pollutant particles on the contact resistance of the electrical connectors

    Directory of Open Access Journals (Sweden)

    Zhigang Kong

    2017-06-01

    Full Text Available Usually, when electrical connectors operate in vibration environments, fretting will be produced at the contact interfaces. In addition, serious environmental pollution particles will affect contact resistance of the connectors. The fretting will worsen the reliability of connectors with the pollutant particles. The combined effects of fretting and quartz particles on the contact resistance of the gold plating connectors are studied with a fretting test system. The results show that the frequencies have obvious effect on the contact resistance. The higher the frequency, the higher the contact resistance is. The quartz particles cause serious wear of gold plating, which make the nickel and copper layer exposed quickly to increase the contact resistance. Especially in high humidity environments, water supply certain adhesion function and make quartz particles easy to insert or cover the contact surfaces, and even cause opening resistance.

  9. Salt Spray Test to Determine Galvanic Corrosion Levels of Electroless Nickel Connectors Mounted on an Aluminum Bracket

    Science.gov (United States)

    Rolin, T. D.; Hodge, R. E.; Torres, P. D.; Jones, D. D.; Laird, K. R.

    2014-01-01

    During preliminary vehicle design reviews, requests were made to change flight termination systems from an electroless nickel (EN) connector coating to a zinc-nickel (ZN) plating. The reason for these changes was due to a new NASA-STD-6012 corrosion requirement where connectors must meet the performance requirement of 168 hr of exposure to salt spray. The specification for class F connectors, MIL-DTL-38999, certifies the EN coating will meet a 48-hr salt spray test, whereas the ZN is certified to meet a 168-hr salt spray test. The ZN finish is a concern because Marshall Space Flight Center has no flight experience with ZN-finished connectors, and MSFC-STD-3012 indicates that zinc and zinc alloys should not be used. The purpose of this test was to run a 168-hr salt spray test to verify the electrical and mechanical integrity of the EN connectors and officially document the results. The salt spray test was conducted per ASTM B117 on several MIL-DTL-38999 flight-like connectors mounted to an aluminum 6061-T6 bracket that was alodined. The configuration, mounting techniques, electrical checks, and materials used were typical of flight and ground support equipment.

  10. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  11. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  12. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  13. Facilitating the Easy Use of Earth Observation Data in Earth System Models through CyberConnector

    Science.gov (United States)

    Di, L.; Sun, Z.; Zhang, C.

    2017-12-01

    Earth system models (ESM) are an important tool used to understand the Earth system and predict its future states. On other hand, Earth observations (EO) provides the current state of the system. EO data are very useful in ESM initialization, verification, validation, and inter-comparison. However, EO data often cannot directly be consumed by ESMs because of the syntactic and semantic mismatches between EO products and ESM requirements. In order to remove the mismatches, scientists normally spend long time to customize EO data for ESM consumption. CyberConnector, a NSF EarthCube building block, is intended to automate the data customization so that scientists can be relieved from the laborious EO data customization. CyberConnector uses web-service-based geospatial processing models (GPM) as the mechanism to automatically customize the EO data into the right products in the right form needed by ESMs. It can support many different ESMs through its standard interfaces. It consists of seven modules: GPM designer, GPM binder, GPM runner, GPM monitor, resource register, order manager, and result display. In CyberConnector, EO data instances and GPMs are independent and loosely coupled. A modeler only needs to create a GPM in the GMP designer for EO data customization. Once the modeler specifies a study area, the designed GPM will be activated and take the temporal and spatial extents as constraints to search the data sources and customize the available EO data into the ESM-acceptable form. The execution of GMP is completely automatic. Currently CyberConnector has been fully developed. In order to validate the feasibility, flexibility, and ESM independence of CyberConnector, three ESMs from different geoscience disciplines, including the Cloud-Resolving Model (CRM), the Finite Volume Coastal Ocean Model (FVCOM), and the Community Multiscale Air Quality Model (CMAQ), have been experimented with CyberConnector through closely collaborating with modelers. In the experiment

  14. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  15. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  16. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  17. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  18. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  19. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  20. Behaviour of steel-concrete composite beams using bolts as shear connectors

    Science.gov (United States)

    Tran, Minh-Tung; Nguyen Van Do, Vuong; Nguyen, Tuan-Anh

    2018-04-01

    The paper presents an experimental program on the application of bolts as shear connectors for steel-composite beams. Four steel- concrete composite beams and a reference steel beam were made and tested. The aim of the testing program is to examine which forms of the steel bolts can be used effectively for steel-composite beams. The four types of the bolts include: Type 1 the bolt with the nut at the end; Type 2 the bolt bending at 900 hook; Type 3 the bolt without the nut at the end and Type 4 the bolt with the nut at the end but connected with the steel beam by hand welding in other to be connected with the steel beam by bolt connection as in the first three types. The test results showed that beside the traditional shear connectors like shear studs, angle type, channel type, bolts can be used effectively as the shear connectors in steel-composite beams and the application of bolts in Types 1 and 2 in the composite beams gave the better performance for the tested beam.

  1. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  2. Electro-optics laboratory evaluation: Deutsch optical waveguide connectors

    Science.gov (United States)

    1980-01-01

    A description of a test program evaluating the performance of an optical waveguide connector system is presented. Both quality and effectiveness of connections made in an optical fiber, performance of the equipment used and applicability of equipment and components to field conditions are reviewed.

  3. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  4. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  5. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  6. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  7. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  8. Push-out tests and evaluation of FRP perfobond rib shear connectors performance

    Science.gov (United States)

    Kolpasky, Ludvik; Ryjacek, Pavel

    2017-09-01

    The behavioural characteristics of FRP (fibre-reinforced polymer) perfobond rib shear connector was examined through push-out tests in order to verify the applicability for pedestrian bridge structure. The aim of this study is to determine interaction between high performance concrete slab and handmade FRP plate which represent web of the composite beam. Combination of these modern materials leads to structural system with both great load bearing capacity and also sufficient flexural stiffness of the composite element. Openings cut into the GFRP plate at a variable spacing allow GFRP reinforcement bars to be inserted to act as shear studs. Hand lay-up process can increase suitable properties of FRP for connection by perfobond rib shear connectors. In this study, three push-out tests on fiber-reinforced polymer were performed to investigate their shear behaviour. The results of the push-out tests on FRP perfobond rib shear connector indicates great promise for application in full scale structures.

  9. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  10. USING PARAMETERIZATION OF OBJECTS IN AUTODESK INVENTOR IN DESIGNING STRUCTURAL CONNECTORS

    Directory of Open Access Journals (Sweden)

    Gabriel Borowski

    2015-05-01

    Full Text Available The article presents the parameterization of objects used for designing the type of elements as structural connectors and making modifications of their characteristics. The design process was carried out using Autodesk Inventor 2015. We show the latest software tools, which were used for parameterization and modeling selected types of structural connectors. We also show examples of the use of parameterization facilities in the process of constructing some details and making changes to geometry with holding of the shape the element. The presented method of Inventor usage has enabled fast and efficient creation of new objects based on sketches created.

  11. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  12. Annual report 1999. Energy and connectors

    International Nuclear Information System (INIS)

    1999-01-01

    This report presents the industrial activities and the financial results of Framatome in 1999. Framatome's activities are divided into 2 sectors: energy and connectors, which represents respectively 54% and 46% of the turnover and 40% and 60% of the staff (26000 people). In 1999 the turnover reached 4.2 milliard euros. Framatome and Siemens have decided to merge their nuclear activities in a common company (66% for Framatome, 34% for Siemens). (A.C.)

  13. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  14. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  15. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  16. 'Daisy petal' connectors for the ATLAS detector

    CERN Multimedia

    Laurent Guiraud

    1997-01-01

    These daisy-petal structures are conducting connectors embedded in kapton film. This was an innovative solution to the demands of the ATLAS detector. Straws are pushed through the petals and held in contact using plugs. The flexible kapton film allows as many petals to be built in any configuration, while acting as a printed circuit carrying the high voltage between circles.

  17. The biomechanical effect of transverse connectors use in a pre- and postlaminectomy model of the posterior cervical spine: an in vitro cadaveric study.

    Science.gov (United States)

    Majid, Kamran; Gudipally, Manasa; Hussain, Mir; Moldavsky, Mark; Khalil, Saif

    2011-12-15

    An in vitro biomechanical study investigating the effect of transverse connectors on posterior cervical stabilization system in a laminectomy model. To evaluate the optimal design, number, and location of the transverse connectors in stabilizing long segment posterior instrumentation in the cervical spine. In the cervical spine, lateral mass screw (LMS) fixation is used for providing stability after decompression. Transverse connectors have been used to augment segmental posterior instrumentation. However, in the cervical region the optimal design, number, and the location of transverse connectors is not known. Seven fresh human cervicothoracic cadaveric spines (C2-T1) were tested by applying ±1.5 Nm moments in flexion (F), extension (E), lateral bending (LB), and axial rotation (AR). After testing the intact condition, LMS/rods were placed and then were tested with two different transverse connectors (top-loading connector [TL] and the head-to-head [HH] connector) in multiple levels, pre- and postlaminectomy (PL). LMS significantly reduced segmental motion by 77.2% in F, 75.6% in E, 86.6% in LB, and 86.1% in AR prelaminectomy and by 75.4% in F, 76% in E, 80.6% in LB, and 76.4% in AR postlaminectomy compared to intact (P transverse connectors is significant in AR, when using two connectors at the proximal and distal ends, compared to one connector. In a clinical setting, this data may guide surgeons on transverse connector configurations to consider during posterior cervical instrumentation.

  18. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  19. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  20. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  1. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  2. Effect of Temperature and Vibration on Electrical Connectors with Different Number of Contact Cores

    Directory of Open Access Journals (Sweden)

    Song W. L.

    2016-01-01

    Full Text Available In this paper, we presented the results from three related analysis performed by adopting the failure models, which provided an explanation of performance influencing factors caused by different number of contact cores, for the purpose of measuring the temperature change and deformation value, which were the factors causing contact failure. The failures were localized in contact parts of the connectors. Performed investigations included thermal analysis, modal analysis, harmonic response analysis and contact failure analysis. From the results of these simulations, related temperature and vibration analysis nephograms were got respectively. And the correctness of results of thermal analysis was verified by Fourier law. The research results of this paper provide a reference for thermal analysis and vibration analysis of electrical connectors, which is important for ensuring the reliability and safety of electrical connectors.

  3. 24-ch microlens-integrated no-polish connector for optical interconnection with polymer waveguides

    Science.gov (United States)

    Shiraishi, Takashi; Yagisawa, Takatoshi; Ikeuchi, Tadashi; Daikuhara, Osamu; Tanaka, Kazuhiro

    2013-02-01

    We successfully developed a new 24-ch optical connector for polymer waveguides. The connector consists of a transparent thermoplastic resin that has two rectangular slits on one side for alignment of the waveguide films and integrated microlens arrays on the other side for coupling to the MT connector. Two 12-ch waveguide films were cut to a 3-mm width. The thickness of each waveguide film was controlled at 100 μm. The waveguide films were inserted into the slits until they touched the bottom face of the slit. Ultraviolet curing adhesive was used to achieve a short hardening process. The expanded beam in the transparent material is focused by the microlens arrays formed on the connector surface. This lens structure enables assembly without the need for a polishing process. We designed the lens for coupling between a step-index 40-μm rectangular waveguide and a graded-index 50-μm fiber. We achieved low-loss optical coupling by designing a method of providing asymmetric magnification between the horizontal and vertical directions in order to compensate for the asymmetric numerical aperture of the waveguide. The typical measured coupling losses from/to the waveguide to/from the fiber were 1.2 dB and 0.6 dB, respectively. The total coupling loss was as small as that of a physical contact connection.

  4. Nuclear qualified in-containment electrical connectors and method of connecting electrical conductors

    Science.gov (United States)

    Powell, J. G.

    1991-01-01

    A nuclear qualified in-containment electrical connection comprises an insulated, sheathed instrument lead having electrical conductors extending from one end thereof to provide two exposed lead wires, a watertight cable having electrical conducting wires therein and extending from one end of the cable to provide two lead wires therefrom, two butt splice connectors each connecting the ends of respective ones of the lead wires from the instrument lead and cable, a length of heat shrinkable plastic tubing positioned over each butt splice connector and an adjacent portion of a respective lead wire from the cable and heat shrunk into position, a length of heat shrinkable plastic tubing on the end portion of the instrument lead adjacent the lead wires therefrom and heat shrunk thereon and a length of outer heat shrinkable plastic tubing extending over the end portion of the instrument lead and the heat shrinkable tubing thereon and over the butt splice connectors and a portion of the cable adjacent the cable lead lines, the outer heat shrinkable tubing being heat shrunk into sealing position on the instrument lead and cable.

  5. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  6. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  7. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  8. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  9. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  10. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  11. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  12. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  13. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  14. Qualified measurement setup of polarization extinction ratio for Panda PMF with LC/UPC connector

    Science.gov (United States)

    Thongdaeng, Rutsuda; Worasucheep, Duang-rudee; Ngiwprom, Adisak

    2018-03-01

    Polarization Extinction Ratio (PER) is one of the key parameters for Polarization Maintaining Fiber (PMF) connector. Based on our previous studies, the bending radius of fiber greater than 1.5 cm will not affect the insertion loss of PMF [1]. Moreover, the measured PER of Panda PMF with LC/UPC connectors is more stable when that PMF is coiled around a hot rod with a minimum of 3-cm in diameter at 75°C temperature [2]. Hence, the hot rod with less constrained 6-cm in diameter at constant 75°C was selected for this PER measurement. Two PER setups were verified and compared for measuring LC/UPC PMF connectors. The Polarized Laser Source (PLS) at 1550 nm wavelength and PER meter from OZ Optics were used in both setups, in which the measured connector was connected to PLS at 0° angle while the other end was connected to PER meter. In order to qualify our setups, the percentage of Repeatability and Reproducibility (%R&R) were tested and calculated. In each setup, the PER measurement was repeated 3 trials by 3 appraisers using 10 LC/UPC PMF connectors (5 LC/UPC PMF patchcords with 3.5+/-0.5 meters in length) in random order. The 1st setup, PMF was coiled at a larger 20-cm diameter for 3 to 5 loops and left in room temperature during the test. The 2nd setup, PMF was coiled around a hot rod at constant 75°C with 6-cm diameter for 8 to 10 loops for at least 5 minutes before testing. There are 3 ranges of %R&R acceptation guide line: <10% is acceptable, between 10% - 30% is marginal, and <30% is unacceptable. According to our results, the %R&R of the 1st PER test setup was 16.2% as marginality, and the 2nd PER test setup was 8.9% as acceptance. Thus, providing the better repeatability and reproducibility, this 2nd PER test setup having PMF coiled around a hot rod at constant 75°C with 6-cm diameter was selected for our next study of the impact of hot temperature on PER in LC/UPC PMF connector.

  15. The influence of charge injection from intermediate connectors on the performance of tandem organic light-emitting devices

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Dong-Ying [Institute of Functional Nano and Soft Materials (FUNSOM), Soochow University, Suzhou, Jiangsu 215123 (China); Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada); Siboni, Hossein Zamani; Wang, Qi; Aziz, Hany, E-mail: lsliao@suda.edu.cn, E-mail: h2aziz@uwaterloo.ca [Department of Electrical and Computer Engineering and Waterloo Institute for Nanotechnology, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada); Liao, Liang-Sheng, E-mail: lsliao@suda.edu.cn, E-mail: h2aziz@uwaterloo.ca [Institute of Functional Nano and Soft Materials (FUNSOM), Soochow University, Suzhou, Jiangsu 215123 (China)

    2014-12-14

    Charge generation in a typical intermediate connector, composed of “n-type doped layer/transition metal oxide (TMO)/hole transporting layer (HTL),” of a tandem organic light-emitting device (OLED) has recently been found to arise from charge transfer at the TMO/HTL interfaces. In this paper, we investigate the effect of hole injection barriers from intermediate connectors on the performance of tandem OLEDs. The hole injection barriers are caused by the offset of the highest occupied molecular orbital (HOMO) energy levels between HTLs contained in the intermediate connector and the top electroluminescence (EL) unit. We also find that although charge generation can occur at the interfaces between the TMO and a wide variety of HTLs of different HOMO values, an increase in the hole injection barrier however limits the electroluminescence efficiency of the top EL units. In the case of large hole injection barriers, significant charge accumulation in the HTLs makes the intermediate connector lose its functionality gradually over operating time, and limits device stability.

  16. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  17. Semantic integration of gene expression analysis tools and data sources using software connectors

    Science.gov (United States)

    2013-01-01

    Background The study and analysis of gene expression measurements is the primary focus of functional genomics. Once expression data is available, biologists are faced with the task of extracting (new) knowledge associated to the underlying biological phenomenon. Most often, in order to perform this task, biologists execute a number of analysis activities on the available gene expression dataset rather than a single analysis activity. The integration of heteregeneous tools and data sources to create an integrated analysis environment represents a challenging and error-prone task. Semantic integration enables the assignment of unambiguous meanings to data shared among different applications in an integrated environment, allowing the exchange of data in a semantically consistent and meaningful way. This work aims at developing an ontology-based methodology for the semantic integration of gene expression analysis tools and data sources. The proposed methodology relies on software connectors to support not only the access to heterogeneous data sources but also the definition of transformation rules on exchanged data. Results We have studied the different challenges involved in the integration of computer systems and the role software connectors play in this task. We have also studied a number of gene expression technologies, analysis tools and related ontologies in order to devise basic integration scenarios and propose a reference ontology for the gene expression domain. Then, we have defined a number of activities and associated guidelines to prescribe how the development of connectors should be carried out. Finally, we have applied the proposed methodology in the construction of three different integration scenarios involving the use of different tools for the analysis of different types of gene expression data. Conclusions The proposed methodology facilitates the development of connectors capable of semantically integrating different gene expression analysis tools

  18. A Dissipative Connector for CLT Buildings: Concept, Design and Testing.

    Science.gov (United States)

    Scotta, Roberto; Marchi, Luca; Trutalli, Davide; Pozza, Luca

    2016-02-26

    This paper deals with the conception and characterization of an innovative connection for cross-laminated timber (CLT) panels. The connection is designed to provide an adequate level of dissipative capacity to CLT structures also when realized with large horizontal panels and therefore prone to fragile shear sliding failure. The connector, named X-bracket, has been theorized and designed by means of numerical parametric analyses. Furthermore, its cyclic behavior has been verified with experimental tests and compared to that of traditional connectors. Numerical simulations of cyclic tests of different CLT walls anchored to the foundation with X-brackets were also performed to assess their improved seismic performances. Finally, the analysis of the response of a 6 m × 3 m squat wall demonstrates that the developed connection provides good ductility and dissipation capacities also to shear walls realized with a single CLT panel.

  19. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  20. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  1. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  2. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  3. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  4. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  5. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  6. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  7. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  8. Endotracheal tube connector defect causing airway obstruction in an ...

    African Journals Online (AJOL)

    detected during routine visual inspection before their use, while some go unnoticed during such inspection and can lead to partial or complete airway obstruction in intubated patients. We report one case of partial airway obstruction resulting from manufacturing defect in the ET connector. A 3-month-old infant girl weighing 5 ...

  9. The Effective Lifetime of ACSR Full Tension Splice Connector Operated at Higher Temperature

    International Nuclear Information System (INIS)

    Wang, Jy-An John; Lara-Curzio, Edgar; King Jr, Thomas J.; Graziano, Joe; Chan, John; Goodwin, Tip

    2009-01-01

    This paper is to address the issues related to integrity of ACSR full tension splice connectors operated at high temperatures. A protocol of integrating analytical and experimental approaches to evaluate the integrity of a full tension single-stage splice connector (SSC) assembly during service at high operating temperature was developed. Based on the developed protocol the effective lifetime evaluation was demonstrated with ACSR Drake conductor SSC systems. The investigation indicates that thermal cycling temperature and frequency, conductor cable tension loading, and the compressive residual stress field within a SSC system have significant impact on the SSC integrity and the associated effective lifetime

  10. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  11. Experimental study on AR fiberglass connectors for bridges made of composite materials

    Directory of Open Access Journals (Sweden)

    Tolosana, N.

    2006-06-01

    Full Text Available One highly relevant aspect in composite material bridgedesing is the study of the shear connectors to be used.Composite material bridges most commonly comprise acomposite deck resting on steel or reinforced concrete girders.This article analyzes the connectors most frequentlyused in such bridges.It also reviews the connectors used in the King StormwaterChannel Bridge, whose fibreglass deck is supported bygirders made of concrete-filled carbon fibre girders.The paper advances proposals for several types of connectorsand discusses the results of push-out test run ona number of prototypes with different geometries.The results are analyzed to identify the optimum model forthe “Autovia del Cantabrico” Overpass, with its 46-m span,carbon fibre girders and AR glass shear connectors.Un aspecto relevante dentro del proyecto de un puenterealizado en materiales compuestos es el estudio de losconectores. El caso mas frecuente de puente en materialescompuestos es aquel que presenta un tablero de materialescompuestos soportado por vigas metalicas o de hormigonarmado. En este trabajo se analizaran los tipos deconectores mas utilizados en este tipo de puentesSe analizaran tambien los conectores utilizados en elKing Stormwater Channel Bridge, donde ademas deltablero en fibra de vidrio, se fabricaron las vigas en fibrasde carbono rellenas de hormigon.En este articulo se propondran varios tipos de conectoresy se presentaran los resultados experimentales correspondientesal ensayo de “push-out” de varios prototipos condiferentes geometrias.Tras evaluar los resultados, se determinara el mas idoneopara su implantacion en el Paso Superior de la Autovia delCantabrico, de 46 metros de luz y que presenta las vigasen fibra de carbono y los conectores de vidrio AR.

  12. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  13. A Dissipative Connector for CLT Buildings: Concept, Design and Testing

    Science.gov (United States)

    Scotta, Roberto; Marchi, Luca; Trutalli, Davide; Pozza, Luca

    2016-01-01

    This paper deals with the conception and characterization of an innovative connection for cross-laminated timber (CLT) panels. The connection is designed to provide an adequate level of dissipative capacity to CLT structures also when realized with large horizontal panels and therefore prone to fragile shear sliding failure. The connector, named X-bracket, has been theorized and designed by means of numerical parametric analyses. Furthermore, its cyclic behavior has been verified with experimental tests and compared to that of traditional connectors. Numerical simulations of cyclic tests of different CLT walls anchored to the foundation with X-brackets were also performed to assess their improved seismic performances. Finally, the analysis of the response of a 6 m × 3 m squat wall demonstrates that the developed connection provides good ductility and dissipation capacities also to shear walls realized with a single CLT panel. PMID:28773265

  14. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  15. An evaluation of the spring finger solder joints on SA1358-10 and SA2052-4 connector assemblies (MC3617,W87)

    International Nuclear Information System (INIS)

    Kilgo, Alice C.; Vianco, Paul Thomas; Hlava, Paul Frank; Zender, Gary L.

    2006-01-01

    The SA1358-10 and SA2052-4 circular JT Type plug connectors are used on a number of nuclear weapons and Joint Test Assembly (JTA) systems. Prototype units were evaluated for the following specific defects associated with the 95Sn-5Sb (Sn-Sb, wt.%) solder joint used to attach the beryllium-copper (BeCu) spring fingers to the aluminum (Al) connector shell: (1) extended cracking within the fillet; (2) remelting of the solder joint during the follow-on, soldering step that attached the EMR adapter ring to the connector shell (and/or soldering the EMR shell to the adapter ring) that used the lower melting temperature 63Sn-37Pb (Sn-Pb) alloy; and (3) spalling of the Cd (Cr) layer overplating layer from the fillet surface. Several pedigrees of connectors were evaluated, which represented older fielded units as well as those assemblies that were recently constructed at Kansas City Plant. The solder joints were evaluated that were in place on connectors made with the current soldering process as well as an alternative induction soldering process for attaching the EMR adapter ring to the shell. Very similar observations were made, which crossed the different pedigrees of parts and processes. The extent of cracking in the top side fillets varied between the different connector samples and likely the EMR adapter ring to the shell. Very similar observations were made, which crossed the different pedigrees of parts and processes. The extent of cracking in the top side fillets varied between the different connector samples and likely reflected the different extents to which the connector was mated to its counterpart assembly. In all cases, the spring finger solder joints on the SA1358-10 connectors were remelted as a result of the subsequent EMR adapter ring attachment process. Spalling of the Cd (Cr) overplating layer was also observed for these connectors, which was a consequence of the remelting activity. On the other hand, the SA2052-4 connector did not exhibit evidence of

  16. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  17. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  18. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  19. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  20. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  1. Magnetic Actuation Connector Between Extension Shaft and Armature for Bottom Mounted Control Rod Drive Mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Hyung; Cho, Yeong Garp; Kim, Jong In [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-10-15

    The electromagnet and armature inside the guide tube interact and produce magnetism, thus making the armature, connecting extension shaft and control rod move up and down to control the power of reactor. During the overhaul, the control absorber rod (CAR), extension shaft, and armature of BMCRDM are lifted together for closing a seal valve. But total length of CAR assembly is so long that it cannot be lifted due to exposure above the water level of pool which is strictly controlled. In addition to this, it is difficult to calibrate a position indicator and lifting force of electromagnet without armature assembly as a seal valve is closed. For this reason, it is necessary to install a disconnecting system between armature and extension shaft. Therefore, KAERI has developed magnetic actuation connector using plunger between armature and extension shaft for the bottom mounted control rod drive mechanism in research reactor. The results of a FEM and the experiments in this work lead to the following conclusions: The FEM result for the design of the magnetic actuation connector is compared with the measured lifting force of prototype production. As a result, it is shown that the lifting force of the prototype connector has a good agreement with the result of the FEM. A newly developed technique of prototype magnetic actuation connector which is designed by FEM analysis result is proposed.

  2. Magnetic Actuation Connector Between Extension Shaft and Armature for Bottom Mounted Control Rod Drive Mechanism

    International Nuclear Information System (INIS)

    Huh, Hyung; Cho, Yeong Garp; Kim, Jong In

    2013-01-01

    The electromagnet and armature inside the guide tube interact and produce magnetism, thus making the armature, connecting extension shaft and control rod move up and down to control the power of reactor. During the overhaul, the control absorber rod (CAR), extension shaft, and armature of BMCRDM are lifted together for closing a seal valve. But total length of CAR assembly is so long that it cannot be lifted due to exposure above the water level of pool which is strictly controlled. In addition to this, it is difficult to calibrate a position indicator and lifting force of electromagnet without armature assembly as a seal valve is closed. For this reason, it is necessary to install a disconnecting system between armature and extension shaft. Therefore, KAERI has developed magnetic actuation connector using plunger between armature and extension shaft for the bottom mounted control rod drive mechanism in research reactor. The results of a FEM and the experiments in this work lead to the following conclusions: The FEM result for the design of the magnetic actuation connector is compared with the measured lifting force of prototype production. As a result, it is shown that the lifting force of the prototype connector has a good agreement with the result of the FEM. A newly developed technique of prototype magnetic actuation connector which is designed by FEM analysis result is proposed

  3. Experimental analysis of reinforced concrete columns strengthened with self-compacting concrete and connectors

    Directory of Open Access Journals (Sweden)

    P. P. Nascimento

    Full Text Available There are many problems involving cases of destruction of buildings and other structures. The columns can deteriorate for several reasons such as the evolution and changing habits of the loads. The experimental phase of this work was based on a test involving nine reinforced concrete columns under combined bending and axial compression, at an initial eccentricity of 60 mm. Two columns were used as reference, one having the original dimensions of the column and the other, monolithic, had been cast along the thickness of the strengthened piece. The remaining columns received a 35 mm thick layer of self-compacting concrete on their compressed face. For the preparation of the interface between the two materials, this surface was scarified and furrowed and connectors were inserted onto the columns' shear reinforcement in various positions and amounts.As connectors, 5 mm diameter steel bars were used (the same as for stirrups, bent in the shape of a "C" with 25 mm coatings. >As a conclusion, not only the quantity, but mainly, the location of the connectors used in the link between substrate and reinforcement is crucial to increase strength and to change failure mode.

  4. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  5. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  6. Development of radiation-hard electric connector with ball bearing for in-vessel remote maintenance equipment of ITER

    International Nuclear Information System (INIS)

    Ito, Akira; Obara, Kenjiro; Tada, Eisuke; Morita, Yousuke; Yagi, Toshiaki; Iida, Kazuhisa; Sato, Masaru.

    1997-12-01

    Development of radiation-hard electric connector with ball bearing for in-vessel remote maintenance equipment of ITER (International Thermonuclear Experimental Reactor) has been conducted. Since the in-vessel remote maintenance equipment is operated under the condition of 10 6 R/h gamma ray dose rate, the electric connector has to be radiation hard for an accumulation dose of 10 10 R. In addition, the simple attachment/removal mechanism is essential for remote operation. For this, the alumina (Al203) ceramics and a ball bearing were adopted to electric insulator and plug (male) of connector, respectively. The handling tests on attachment/removal of the connector were conducted by using master slave manipulator and general purpose robot with handling tool, and as a result, the validity of the attachment/removal mechanism was verified. In the gamma ray irradiation tests, which are under way, no degradation in break down voltage (1000V 1min.) up to 10 10 R was confirmed. However insulation resistance and contact resistance between contact pin and contact socket were deteriorated in proportion to the accumulation dose. Increase of contact resistance is considered due to an erosion of contact pin. (author)

  7. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  8. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  9. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  10. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  11. Open Source GIS Connectors to the NASA GES DISC Satellite Data

    Science.gov (United States)

    Pham, L.; Kempler, S. J.; Yang, W.

    2014-12-01

    The NASA Goddard Earth Sciences Data and Information Services Center (GES DISC) houses a suite of satellite-derived GIS data including high spatiotemporal resolution precipitation, air quality, and modeled land surface parameter data. The data are extremely useful to various GIS research and applications at regional, continental, and global scales, as evidenced by the growing GIS user requests to the data. On the other hand, we also found that some GIS users, especially those from the ArcGIS community, having difficulties in obtaining, importing, and using our data, primarily due to the unfamiliarity of the users with our products and GIS software's lack of capabilities in dealing with the predominately raster form data in various sometimes very complicated formats. In this presentation, we introduce a set of open source ArcGIS data connectors that significantly simplify the access and use of our data in ArcGIS. With the connectors, users do not need to know the data access URLs, the access protocols or syntaxes, and data formats. Nor do they need to browse through a long list of variables that are often embedded into one single science data file and whose names may sometimes be confusing to those not familiar with the file (such as variable CH4_VMR_D for "CH4 Volume mixing ratio from the descending orbit" and variable EVPsfc for "Total Evapotranspiration"). The connectors will expose most GIS-related variables to the users with easy to understand names. User can simply define the spatiotemporal range of their study, select interested parameter(s), and have the needed data be downloaded, imported, and displayed in ArcGIS. The connectors are python text files and there is no installation process. They can be placed at any user directory and be started by simply clicking on it. In the presentation, we'll also demonstrate how to use the tools to load GES DISC time series air quality data with a few clicks and how such data depict the spatial and temporal patterns of

  12. Exploring the impact of the care sport connector in the Netherlands

    NARCIS (Netherlands)

    Leenaars, Karlijn E.F.; Smit, Eva; Wagemakers, Annemarie; Molleman, Gerard R.M.; Koelen, Maria A.

    2017-01-01

    Background: Regular physical activity (PA) is deemed to contribute to the primary and secondary prevention of several chronic diseases, like diabetes mellitus, cancer, cardiovascular diseases, and osteoporosis. In 2012, Care Sport Connectors (CSC), to whom a broker has been ascribed, were introduced

  13. Exploring the impact of the care sport connector in the Netherlands

    NARCIS (Netherlands)

    Leenaars, K.E.; Smit, E.; Wagemakers, A.; Molleman, G.R.M.; Koelen, Maria

    2017-01-01

    BACKGROUND: Regular physical activity (PA) is deemed to contribute to the primary and secondary prevention of several chronic diseases, like diabetes mellitus, cancer, cardiovascular diseases, and osteoporosis. In 2012, Care Sport Connectors (CSC), to whom a broker has been ascribed, were introduced

  14. Gamma irradiation effects in optical fibres, splitters, and connectors

    Directory of Open Access Journals (Sweden)

    Srećković Milesa Ž.

    2017-01-01

    Full Text Available The paper presents a brief overview of contemporary ELION techniques with stress on their use for material modification and dosimetry. In the attempt to avoid some common misjudges of irradiation effects, special attention is paid to exact definition of irradiation geometry and careful adjustment of dose rates, which enable a proper elaboration of experimental results. In particular, effects of g-rays irradiation on properties of commercial optical fibres, splitters, connectors, and fibre joints are examined, which enables monitoring of irradiation effects in complex configurations made of materials with different radiation hardness (resistance. It has been established that g-rays irradiation of the investigated elements influences, in different ways, the transmission of laser beam signals of various wavelengths, under different modulation regimes. After irradiation, the signal attenuation is noticeably larger, both in optical connectors and optical splitter, than before it, and the effect increases in time. The effects are more pronounced at the 99 % than at the 1 % Y-splitter output at both measured wavelengths, and are more pronounced at 1310 nm than at 1550 nm. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. III43009 and Grant no. III45012

  15. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  16. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  17. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  18. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  19. Flexural Strengthening of RC Slabs Using a Hybrid FRP-UHPC System Including Shear Connector

    Directory of Open Access Journals (Sweden)

    Jiho Moon

    2017-01-01

    Full Text Available A polymeric hybrid composite system made of UHPC and CFRP was proposed as a retrofit system to enhance flexural strength and ductility of RC slabs. While the effectiveness of the proposed system was confirmed previously through testing three full-scale one-way slabs having two continuous spans, the slabs retrofitted with the hybrid system failed in shear. This sudden shear failure would stem from the excessive enhancement of the flexural strength over the shear strength. In this study, shear connectors were installed between the hybrid system and a RC slab. Using simple beam, only positive moment section was examined. Two full-scale RC slabs were cast and tested to failure: the first as a control and the second using this new strengthening technique. The proposed strengthening system increased the ultimate load carrying capacity of the slab by 70%, the stiffness by 60%, and toughness by 128%. The efficiency of shear connectors on ductile behavior of the retrofitted slab was also confirmed. After the UHPC top is separated from the slab, the shear connector transfer shear load and the slab system were in force equilibrium by compression in UHPC and tension in CFRP.

  20. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  1. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  2. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  3. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  4. 机械设计中联接件的自动选用%Autoselection of the Connector in Mechanical Design

    Institute of Scientific and Technical Information of China (English)

    徐静; 翁慧燕; 董雁

    2001-01-01

    介绍机械静联接在机械设计中的选用方法。建立了联接件的国标数据库及其查询、维护程序;编制了对所选联接件的计算校核程序;并开发了自动绘制联接件的软件,从而实现了机械CAD中联接件自动选用、校核、绘图的集成。%This paper deals with the selection of connector in mechanical design. Database of connector and its corresponding function for indexing, safekeeping and proof calculating are built up. Software for the drawing of connector on the environment of AutoCAD is also developed. So automatics selection, proof calculating and drawing of connector are achieved in mechanical computer aided design.

  5. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  6. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  7. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  8. Development of 30-pin connectors for electronic modules of C and I systems for NPP's confirming to customized MIL STD-1344 requirements

    International Nuclear Information System (INIS)

    Marathe, P.P.; Madala, Kalyan C.; Ramakrishna, P.

    2014-01-01

    The electrical connectors form an important constituent of C and I system where customized circuits and hardware is required to be configured meeting the Nuclear Power Plant regulatory requirements. C and I hardware has to handle multiple hundreds of I/O's and the system architectures are made in modular construction having C and I system hardware packaged in plug-in electronic modules in the required form factors. In addition if the system has to satisfy customized JSS 55555 requirements meeting stringent shock, vibration and environmental specifications, the connectors used for the electronic modules shall meet the customized MIL STD-1344 requirements and meet reliability target for the system. 30-pin type special connectors for electronic modules and 2x30 (60) pin field cabling connectors were developed meeting the required qualification specifications. (author)

  9. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  10. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  11. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  12. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  13. TJC: plan and prepare for the transition to new tubing connectors to minimize the risk of dangerous misconnections, clinician frustration.

    Science.gov (United States)

    2014-12-01

    To reduce the risk of dangerous tubing misconnections, the ISO is rolling out new tubing connector standards that will eventually make it nearly impossible for tubing associated with one delivery system to be connected to a delivery system that serves a different purpose. Experts welcome the change, noting that tubing misconnections that cause injury and even death have been happening for years. However, TJC has issued a Sentinel Event Alert, warning that health care organizations need to be vigilant in managing the risk posed by these misconnections during the phased-in transition to the new connectors. Experts explain that tubing misconnections occur because many different types of tubing utilize the same Leur connector, making it possible for a clinician to mistakenly connect a tube to the wrong delivery system. The most common type of tubing misconnection reported to the ISMP is when a clinician wants to administer something to a patient through a feeding tube, but accidently administers the substance through an IV tube instead. The first new connector, called the ENFit, is going to be for enteral feeding tubes. It will not connect to IV tubing, making that type of misconnection unlikely. The new connector should be available early next year. Since hospitals will continue to use older tubing until their supplies are exhausted, manufacturers will temporarily provide adapters capable of making new administration sets compatible with older tubing.

  14. Influence of the width and cross-sectional shape of major connectors of maxillary dentures on the accuracy of speech production.

    Science.gov (United States)

    Wada, Junichiro; Hideshima, Masayuki; Inukai, Shusuke; Matsuura, Hiroshi; Wakabayashi, Noriyuki

    2014-01-01

    To investigate the effects of the width and cross-sectional shape of the major connectors of maxillary dentures located in the middle area of the palate on the accuracy of phonetic output of consonants using an originally developed speech recognition system. Nine adults (4 males and 5 females, aged 24-26 years) with sound dentition were recruited. The following six sounds were considered: [∫i], [t∫i], [ɾi], [ni], [çi], and [ki]. The experimental connectors were fabricated to simulate bars (narrow, 8-mm width) and plates (wide, 20-mm width). Two types of cross-sectional shapes in the sagittal plane were specified: flat and plump edge. The appearance ratio of phonetic segment labels was calculated with the speech recognition system to indicate the accuracy of phonetic output. Statistical analysis was conducted using one-way ANOVA and Tukey's test. The mean appearance ratio of correct labels (MARC) significantly decreased for [ni] with the plump edge (narrow connector) and for [ki] with both the flat and plump edge (wide connectors). For [çi], the MARCs tended to be lower with flat plates. There were no significant differences for the other consonants. The width and cross-sectional shape of the connectors had limited effects on the articulation of consonants at the palate. © 2015 S. Karger AG, Basel.

  15. An in vitro comparison of standard cleaning to a continuous passive disinfection cap for the decontamination of needle-free connectors.

    Science.gov (United States)

    Casey, Anna L; Karpanen, Tarja J; Nightingale, Peter; Elliott, Tom S J

    2018-01-01

    The optimal decontamination method for needle-free connectors is still unresolved. The objective of this study was to determine if a continuous passive disinfection cap is as effective as standard cleaning for the microbial decontamination of injection ports of two types of needle-free connectors. The injection ports of needle-free connectors were inoculated with Staphylococcus aureus and allowed to dry. Disinfection caps containing 70% ( v / v ) isopropyl alcohol (IPA) were attached to the connectors for one, three or 7 days and were compared with needle-free connectors cleaned with 2% ( w / v ) chlorhexidine gluconate (CHG) in 70% ( v/v ) IPA. The number of S. aureus remaining on the injection ports was evaluated. Median log 10 reductions and 95% confidence interval (CI) were calculated and data analyzed using the Mann-Whitney test. The application of the disinfection cap resulted in a significantly higher reduction in S. aureus than the 2% ( w / v ) CHG in 70% ( v / v ) IPA wipe, achieving a > 5 Log 10 reduction in CFU at each time point. The disinfection caps resulted in a significantly higher reduction in S.aureus on the injection ports when compared to the use of a 2% ( w/v ) CHG in 70% ( v/v ) IPA wipe. This offers an explanation for the lower rates of central-line associated bloodstream infection (CLABSI) associated with the use of disinfection caps reported in clinical studies.

  16. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  17. Distinguishability and identifiability of products with small bore connectors according to ISO DIS 80369 series: risk analysis and summative evaluation

    Directory of Open Access Journals (Sweden)

    Ibenthal Elisabeth

    2017-09-01

    Full Text Available The ISO 80369-series replace Luer-connectors in five application areas to fight misconnections. Although the standard avoids the mechanical problem of misconnections, the design of products and packages remains arbitrary. So, packages and products with same functions but different connectors could have similar designs and hence could be mixed-up.

  18. Fluid moderator control system fuel assembly seal connector

    International Nuclear Information System (INIS)

    Veronesi, L.; Tower, S.N.; Klassen, W.E.

    1987-01-01

    A nuclear reactor is described comprising fuel assemblies having one or more flow channels therethrough, a core support plate having one or more flow channels therethrough, and seal connectors for sealingly connecting the one or more flow channels in the core support plate with the one or more flow channels in the fuel assemblies. The seal connectors each comprises a first portion and second portion each comprising an elongated member having a flow channel therethrough and being in substantial axial alignment with each other and being separated by a space therebetween, means for sealingly connecting the first portion o one or the one or more flow channels in the fuel assemblies, means for sealingly connecting the second portion to the first portion and for allowing relative motion between the portions, means for limiting the relative motion of the first and second portion in directions toward and away from each other, means for reconnectingly connecting and resealingly sealing the second portion to one of the one or more flow channels in the core support plate. It comprises a slip fit connection whereby the remote end of the second portion fits within whereby the remote end of the second portion fits within an opening in the core support plate which is in flow communication with the one or more flow channels in the core support plate and further comprises a ball and cones seal in series with axially spaced ring seals

  19. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  20. Failure Analysis of a Nickel-Plated Electronic Connector Due to Salt-Induced Corrosion (ENGE 2014).

    Science.gov (United States)

    Lee, Na-Ri; Choi, Hyoung-Seuk; Choi, Duck-Kyun

    2015-10-01

    When electronic connectors in mobile devices are miniaturized, the thickness of plating decreases. However, this thin plating is expected to decrease the life of the connector due to problems with corrosion. In this study, salt spray aging tests were performed on miniaturized nickel-plated stainless steel electronic connectors to observe failure mechanisms in realistic environments. The tests were performed three times using a 5% NaCl solution in an atmosphere of 45 °C; each test included several cycles where one cycle was one 24-h period consisting of 8 h of salt spray and 16 h without salt spray. The nickel-plating layers were periodically observed by electron probe X-ray micro-analyzer, wavelength dispersive spectroscopy, and field-emission scanning electron microscopy to analyze and identify the corrosion mechanism. We found that the primary failure mode of the nickel plating is blistering and delamination. The corrosion mechanism is typically a chain reaction of several corrosion mechanisms: pitting corrosion --> stress corrosion cracking --> hydrogen-induced cracking --> blistering and delamination. Finally, we discuss countermeasures to prevent corrosion of the nickel layer based on the corrosion mechanisms identified in this study.

  1. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  2. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  3. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  4. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  5. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  6. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  7. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  8. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  9. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  10. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  11. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  12. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  13. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  14. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  15. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  16. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  17. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  18. KEY COMPARISON: Final report on CCEM key comparison CCEM.RF-K10.CL (GT-RF/99-2) 'Power in 50 Ω coaxial lines, frequency: 50 MHz to 26 GHz' measurement techniques and results

    Science.gov (United States)

    Janik, Dieter; Inoue, T.; Michaud, A.

    2006-01-01

    This report summarizes the results and the measuring methods of an international key comparison between twelve national metrology institutes (NMIs) and is concerning the calibration factor of RF power sensors in the coaxial 3.5 mm line for frequencies up to 26 GHz. Two RF power travelling standards fitted with male PC 3.5 mm connectors were measured at seven frequencies. The following NMIs participated: NMIJ (Japan), NRC (Canada), NIST (USA), METAS (Switzerland), CSIR-NML (South Africa), NMIA (Australia), NPL (UK), SiQ (Slovenia), IEN (Italy), VNIIFTRI (Russian Federation), SPRING (Singapore) and PTB (Germany), as the pilot laboratory. Main text. To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by the CCEM, according to the provisions of the CIPM Mutual Recognition Arrangement (MRA).

  19. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  20. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  1. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  2. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  3. Stress analysis of single port (ISB) jumper connectors for 2-, 3-, and 4-in. sizes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, M A; Julyk, J L; Weiner, E O [ICF Kaiser Hanford Co., Richland, WA (United States)

    1995-05-26

    Jumper connectors are used in the Hanford site for remotely connecting jumper pipe lines in the radioactive zones. The jumper pipes are used for transporting radioactive fluids and hazardous chemicals. This report evaluates the adequacy and the integrity of the 2-, 3-, and 4-in. single-port integral seal block (ISB) jumper connector assemblies, as well as the three-way 2-in. configuration. The evaluation considers limiting forces from the piping to the nozzle. A stress evaluation of the jumper components (hook, hook pin, operating screw, nozzle and nozzle flange, and block) under operational (pressure, thermal, dead weight, and axial torquing of the jumper) and seismic loading is addressed in the report.

  4. Stress analysis of single port (ISB) jumper connectors for 2-, 3-, and 4-in. sizes

    International Nuclear Information System (INIS)

    Islam, M.A.; Julyk, J.L.; Weiner, E.O.

    1995-01-01

    Jumper connectors are used in the Hanford site for remotely connecting jumper pipe lines in the radioactive zones. The jumper pipes are used for transporting radioactive fluids and hazardous chemicals. This report evaluates the adequacy and the integrity of the 2-, 3-, and 4-in. single-port integral seal block (ISB) jumper connector assemblies, as well as the three-way 2-in. configuration. The evaluation considers limiting forces from the piping to the nozzle. A stress evaluation of the jumper components (hook, hook pin, operating screw, nozzle and nozzle flange, and block) under operational (pressure, thermal, dead weight, and axial torquing of the jumper) and seismic loading is addressed in the report

  5. Plasma treatment of fiber facets for increased (de)mating endurance in physical contact fiber connectors

    Science.gov (United States)

    Van Erps, Jürgen; Voss, Kevin; De Witte, Martijn; Radulescu, Radu; Beri, Stefano; Watté, Jan; Thienpont, Hugo

    2016-04-01

    It is known that cleaving an optical fiber introduces a number of irregularities and defects to the fiber's end-face, such as hackles and shockwaves. These defects can act as failure initiators when stress is applied to the end-face. Given the fiber's small diameter of 125 ffm, a large amount of mechanical stress can be expected to be applied on its end-face during the mating-demating cycle. In addition, a connector in a fiber-to-the-home (FTTH) network can be expected to be mated and demated more than 30 times during its lifetime for purposes such as testing, churning, or provisioning. For this reason, the performance of a connector that displays low optical loss when first installed can dramatically degrade after few mating-demating cycles and catastrophic connector failure due to end-face breakage is likely. We present plasma discharge shaping of cleaved fiber tips to strongly improve the endurance of the fibers to repeated mating-demating cycles. We quantify the dependency of the plasma-induced surface curvature of the fiber tip on the plasma duration and on the position of the fiber tip within the plasma cloud. Finally we present data showing the improved endurance of fibers that are exposed to plasma compared to conventional as-cleaved fibers.

  6. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  7. Structural insights into the backbone-circularized granulocyte colony-stimulating factor containing a short connector.

    Science.gov (United States)

    Miyafusa, Takamitsu; Shibuya, Risa; Honda, Shinya

    2018-06-02

    Backbone circularization is a powerful approach for enhancing the structural stability of polypeptides. Herein, we present the crystal structure of the circularized variant of the granulocyte colony-stimulating factor (G-CSF) in which the terminal helical region was circularized using a short, two-amino acid connector. The structure revealed that the N- and C-termini were indeed connected by a peptide bond. The local structure of the C-terminal region transited from an α helix to 3 10 helix with a bend close to the N-terminal region, indicating that the structural change offset the insufficient length of the connector. This is the first-ever report of a crystal structure of the backbone of a circularized protein. It will facilitate the development of backbone circularization methodology. Copyright © 2018 Elsevier Inc. All rights reserved.

  8. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  9. MWTF jumper connector integral seal block development and leak testing

    International Nuclear Information System (INIS)

    Ruff, E.S.; Jordan, S.R.

    1995-01-01

    In fiscal year 1993, tests of an o-ring/tetraseal retainer designed to replace a gasket-type seal used in PUREX-type process jumper connectors encouraged the design of an improved seal block. This new seal block combines several parts into one unitized component called an integral seal block. This report summarizes development and leak testing of the new integral seal block. The integral seal block uses a standard o-ring nested in a groove to accomplish leak tightness. This seal block eliminates the need to machine acme threads into the lower skirt casting and seal retainers, eliminates tolerance stack-up, reduces parts inventory, and eliminates an unnecessary leak path in the jumper connector assembly. This report also includes test data on various types of o-ring materials subjected to heat and pressure. Materials tested included Viton, Kalrez, and fluorosilicone, with some incidental data on teflon coated silicone o-rings. Test experience clearly demonstrates the need to test each seal material for temperature and pressure in its intended application. Some materials advertised as being open-quotes betterclose quotes at higher temperatures did not perform up to expectations. Inspection of the fluorosilicone and Kalrez seals after thermal testing indicates that they are much more susceptible to heat softening than Viton

  10. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  11. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  12. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  13. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  14. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  15. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  16. Discourse Connector Usage in Argumentative Essays by American and Thai University Students

    Science.gov (United States)

    Jangarun, Kamolphan; Luksaneeyanawin, Sudaporn

    2016-01-01

    This study investigated the similarities and differences in the use of discourse connectors (DCs) in argumentative essays of American undergraduate students (AMs), Thai with high-English exposure (THHs) and Thai with low-English exposure (THLs). The data of these three groups were collected from 60 essays; 20 essays were from the corpus of…

  17. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  18. Proposal for the award of a blanket purchase agreement, without competitive tendering, for the supply of Burndy connectors

    CERN Document Server

    2004-01-01

    This document concerns the award of a blanket purchase agreement, without competitive tendering, for the supply of Burndy connectors. The Finance Committee is invited to agree to the negotiation of a blanket purchase agreement, without competitive tendering, with SOURIAU (CH), for the supply of Burndy connectors over a period of three years, for a total amount not exceeding 600 000 euros (932 000 Swiss francs), subject to revision for inflation from 1st January 2006. The amount in Swiss francs has been calculated using the present rate of exchange.

  19. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  20. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  1. Mould insert fabrication of a single-mode fibre connector alignment structure optimized by justified partial metallization

    International Nuclear Information System (INIS)

    Wissmann, Markus; Barié, Nicole; Guttmann, Markus; Schneider, Marc; Kolew, Alexander; Besser, Heino; Pfleging, Wilhelm; Hofmann, Andreas; Van Erps, Jürgen; Beri, Stefano; Watté, Jan

    2015-01-01

    For mass production of multiscale-optical components, microstructured moulding tools are needed. Metal tools are used for hot embossing or injection moulding of microcomponents made of a thermoplastic polymer. Microstructures with extremely tight specifications, e.g. low side wall roughness and high aspect ratios are generally made by lithographic procedures such as x-ray lithography or deep proton writing. However, these processes are unsuitable for low-cost mass production. An alternative manufacturing method of moulding tools has been developed at the Karlsruhe Institute of Technology (KIT). This article describes a mould insert fabrication and a new replication process for self-centring fibre alignment structures for low loss field installable single-mode fibre connectors, developed and fabricated by the Vrije Universiteit Brussel (VUB) in collaboration with TE Connectivity. These components are to be used in fibre-to-the-home networks and support the deployment and maintenance of fibre optic links. The special feature of this particular fibre connector is a self-centring fibre alignment, achieved by means of a through hole with deflectable cantilevers acting as micro-springs. The particular challenge is the electroforming of through holes with a centre hole diameter smaller than 125 µm. The fibre connector structure is prototyped by deep proton writing in polymethylmethacrylate and used as a sacrificial part. Using joining, physical vapour deposition and electroforming technology, a negative copy of the prototyped connector is transferred into nickel to be used as a moulding tool. The benefits of this replication technique are a rapid and economical fabrication of moulding tools with high-precision microstructures and a long tool life. With these moulding tools low-cost mass production is possible. We present the manufacturing chain we have established. Each individual manufacturing step of the mould insert fabrication will be shown in this report. The

  2. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  3. Collective empowerment strategies for patients with Diabetes Mellitus: A systematic review and meta-analysis.

    Science.gov (United States)

    Baldoni, Nayara Ragi; Aquino, Jéssica Azevedo; Sanches-Giraud, Cristina; Di Lorenzo Oliveira, Cláudia; de Figueiredo, Roberta Carvalho; Cardoso, Clareci Silva; Santos, Thiago Reis; Alves, Geisa Cristina Silva; Dal Fabbro, Amaury Lelis; Baldoni, André Oliveira

    2017-04-01

    To perform a systematic review and meta-analysis to identify and analyze collective empowerment strategies for patients with Diabetes Mellitus (DM). The systematic review was performed using PubMed/MEDLINE, Science Direct and BVS. The term "Diabetes Mellitus" was used with each of the following describers, along with the connector "AND": "self-care", "health education", "motivation" and "empowerment". Inclusion criteria were: intervention study with control group published between 2004 and 2014. For meta-analysis, RevMan V 5.3 software was used. Among the nine analyzed articles, 66.7% (n=6) were developed in patients diagnosed with DM2. Concerning the indicators for intervention effectiveness evaluation, all articles (n=9) used glycated hemoglobin (HbA1c) and the most used instrument was Summary of Diabetes Self Care Activities Measure, representing 44.4% (n=4) of the studies. The types of strategies used were similar in the articles. There was evidence of a decrease in HbA1c levels in 66.7% (n=6). The meta-analysis found significant evidence indicating beneficial effects of empowerment. Programs based on collective empowerment in DM have shown the interventions lead to improvement in clinical parameters, behavior, increased knowledge about DM, and self-care. Copyright © 2016 Primary Care Diabetes Europe. Published by Elsevier Ltd. All rights reserved.

  4. A Compositional Semantics for Stochastic Reo Connectors

    Directory of Open Access Journals (Sweden)

    Young-Joo Moon

    2010-07-01

    Full Text Available In this paper we present a compositional semantics for the channel-based coordination language Reo which enables the analysis of quality of service (QoS properties of service compositions. For this purpose, we annotate Reo channels with stochastic delay rates and explicitly model data-arrival rates at the boundary of a connector, to capture its interaction with the services that comprise its environment. We propose Stochastic Reo automata as an extension of Reo automata, in order to compositionally derive a QoS-aware semantics for Reo. We further present a translation of Stochastic Reo automata to Continuous-Time Markov Chains (CTMCs. This translation enables us to use third-party CTMC verification tools to do an end-to-end performance analysis of service compositions.

  5. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  6. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  7. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  8. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  9. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  10. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  11. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  12. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  13. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  14. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  15. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  16. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  17. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  18. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  19. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  20. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  1. Annual report 1999. Energy and connectors; Rapport annuel 1999. Energie connectique

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-07-01

    This report presents the industrial activities and the financial results of Framatome in 1999. Framatome's activities are divided into 2 sectors: energy and connectors, which represents respectively 54% and 46% of the turnover and 40% and 60% of the staff (26000 people). In 1999 the turnover reached 4.2 milliard euros. Framatome and Siemens have decided to merge their nuclear activities in a common company (66% for Framatome, 34% for Siemens). (A.C.)

  2. Annual report 1999. Energy and connectors; Rapport annuel 1999. Energie connectique

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-07-01

    This report presents the industrial activities and the financial results of Framatome in 1999. Framatome's activities are divided into 2 sectors: energy and connectors, which represents respectively 54% and 46% of the turnover and 40% and 60% of the staff (26000 people). In 1999 the turnover reached 4.2 milliard euros. Framatome and Siemens have decided to merge their nuclear activities in a common company (66% for Framatome, 34% for Siemens). (A.C.)

  3. Metallurgical failure investigation of a pipe connector fracture of an expansion vessel

    International Nuclear Information System (INIS)

    Neidel, Andreas

    2016-01-01

    A pipe connector of an expansion vessel of a safety heat exchanger was torn off in a test facility's natural gas compressor. From a material point of view, the cause of the damage is a fatigue fracture induced by pulsating bending stress. The fatigue fracture originated from both, the pipe's outer surface as well as from its inner surface, which is consistent with the given stress situation (pulsating bending stress). Material defects or welding-induced flaws were not observed. Corrosion, wear, or thermal overload which may have promoted the damage, were not observed either. The primary cause was a major design error. Cases of dynamic load were obviously not duly taken into account during designing, so that the free-swinging mass of the expansion vessel which was mounted to a pipe of a diameter of only half an inch and, furthermore, installed in an angle of 45 (additional static preload.), could cause the fatigue failure induced by pulsating bending stress in the zone of highest stresses at the transition of the expansion vessel and the the pipe connector due to dynamic operating loads which always occur in plants like these.

  4. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  5. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  6. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  7. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  8. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  9. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  10. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  11. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  12. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  13. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  14. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  15. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  16. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  17. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  18. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  19. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  20. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  1. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  2. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  3. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  4. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  5. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  6. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  7. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  8. Research on Poppers Used as Electrical Connectors in High Speed Textile Transmission Lines

    Directory of Open Access Journals (Sweden)

    Leśnikowski Jacek

    2016-12-01

    Full Text Available This paper presents results of research on poppers used as electrical connectors connecting fragments of textile signal lines. These lines can be used in intelligent clothing for connecting electronic modules implemented in it. Intelligent (smart clothing can be used, among others, in the health monitoring of the elderly, newborn babies, or people working in hazardous conditions, for example, firefighters and soldiers. The aim of the present study was to examine the usefulness of poppers, widely used in clothing, as electrical connectors connecting parts of the textile signal lines designed for transmission of high-speed digital signals. The paper presents examples of measured parameters characterizing transmission properties of two fragments of the coplanar, textile transmission line connected to each other using conventional poppers. The presented measurement results contain the so-called s parameters, characteristic impedance of the poppers, and eye measurements characterizing distortions of digital signals passing through the tested line. In the article, the effect of temperature and humidity of air surrounding the tested poppers on their characteristic impedance was also presented. This property and its stability are important in signal lines designed for high-speed data transmission.

  9. DEVELOPMENT OF REMOTE HANFORD CONNECTOR GASKET REPLACEMENT TOOLING FOR THE SAVANNAH RIVER SITE'S DEFENSE WASTE PROCESSING FACILITY

    International Nuclear Information System (INIS)

    Krementz, D

    2007-01-01

    The Savannah River Site's (SRS) Defense Waste Processing Facility (DWPF) requested development of tooling for remote replacement of gaskets in mechanical Hanford connectors. The facility has compressed air supply, two master-slave manipulators (MSM's) and a lightweight robotic arm for operation of the remote tools. The Savannah River National Laboratory (SRNL) developed and tested multiple tools to perform the gasket replacement tasks. Separate pneumatic snap-ring removal tools that use the connector skirt as a reaction surface were developed for removal of the snap ring and spent gasket on both vertical and horizontal Hanford connectors. A pneumatic tool that clamps and centers on the jumper pipe ID was developed to simultaneously install the new gasket and snap ring. A pneumatic snap-ring-loading tool was developed that compresses the snap ring and places it in a groove in the installation tool. All of the tools are located on a custom work table with a pneumatic valve station that directs compressed air to the desired tool and vents the tools as needed. The entire system has been successfully tested using MSM's to manipulate the various tools. Deployment of the entire system is expected during FY08. The Hanford connector gasket replacement tooling has been successfully tested using MSM's to manipulate the various tools. Nitric acid is used in many of the decontamination processes performed in the REDC, where the tooling will be deployed. Although most of the tool components were fabricated/purchased with nitric acid and radioactive service in mind, some of the prototype parts must be replaced with parts that are more compatible with nitric acid/radioactive service. Several modifications to the various tools are needed to facilitate maintenance and replacement of failed components. Development of installation tools for replacement of 1-inch, 2-inch and multi-hole gaskets is being considered. Deployment of the existing system in the DWPF REDC is expected during FY

  10. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  11. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  12. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  13. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  14. CONFERENCE NOTE: CETO—Centro de Ciências e Tecnologias Opticas, Trends in Optical Fibre Metrology and Standards

    Science.gov (United States)

    1994-01-01

    requirements of the new generation of analogue and digital fibre optical systems, which require sophisticated measurement techniques employing complex instruments unique to optical measurements. The school will foster and enhance the interaction between material, devices, systems, and standards-oriented R&D communities, as well as between engineers concerned with design and manufacturers of systems and instrumentation. Topics Review of optical fibre communication technology and systems Measurement techniques for fibre characterization: Reliability and traceability Reference fibres and calibration artefacts Ribbon fibres Mechanical and environmental testing Fibre reliability Polarimetric measurements Passive components characterization: Splices and connectors Couplers, splitters, taps and WDMs Optical fibres and isolators WDM technologies and applications: WDM technologies Tunable optical filters Fibre amplifiers and sources: Performances and characterization Design and standards Nonlinear effects Subsystem design and standards: Design and fabrication techniques Performance degradation and reliability Evaluation of costs/performance/technology Sensors IR - optical fibres Plastic fibres Instrumentation Registration Participation free of charge for postgraduate students, with some grants available for travel and lodging expenses. All correspondence should be addressed to: Secretariat, Trends in Optical Fibre Metrology and Standards, a/c Prof. Olivério D D Soares, Centro de Ciências e Tecnologias Opticas, Lab. Fisica - Faculdade de Ciências, Praça Gomes Teixeira, P-4000 Porto, Portugal. Tel: 351-2-310290, 351-2-2001648; Fax: 351-2-319267.

  15. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  16. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  17. Microorganisms present on peripheral intravenous needleless connectors in the clinical environment.

    Science.gov (United States)

    Slater, Karen; Cooke, Marie; Whitby, Michael; Fullerton, Fiona; Douglas, Joel; Hay, Jennine; Rickard, Claire

    2017-08-01

    The aim of this study was to quantify culturable microorganisms on needleless connectors (NCs) attached to peripheral intravenous catheters in hospitalized adult medical patients. Half (50%) of 40 NCs were contaminated with microorganisms commonly found on the skin or mouth. Staphylococcus capitis and Staphylococcus epidermidis were most commonly isolated. Emergency department insertion and higher patient dependency were statistically associated with positive NC microorganism growth. These results reaffirm the need for NC decontamination prior to access. Crown Copyright © 2017. Published by Elsevier Inc. All rights reserved.

  18. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  19. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  20. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  1. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  2. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  3. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  4. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  5. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  6. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  7. Self-centering fiber alignment structures for high-precision field installable single-mode fiber connectors

    Science.gov (United States)

    Van Erps, Jürgen; Ebraert, Evert; Gao, Fei; Vervaeke, Michael; Berghmans, Francis; Beri, Stefano; Watté, Jan; Thienpont, Hugo

    2014-05-01

    There is a steady increase in the demand for internet bandwidth, primarily driven by cloud services and high-definition video streaming. Europe's Digital Agenda states the ambitious objective that by 2020 all Europeans should have access to internet at speeds of 30Mb/s or above, with 50% or more of households subscribing to connections of 100Mb/s. Today however, internet access in Europe is mainly based on the first generation of broadband, meaning internet accessed over legacy telephone copper and TV cable networks. In recent years, Fiber-To-The-Home (FTTH) networks have been adopted as a replacement of traditional electrical connections for the `last mile' transmission of information at bandwidths over 1Gb/s. However, FTTH penetration is still very low (economies. The main reason for this is the high deployment cost of FTTH networks. Indeed, the success and adoption of optical access networks critically depend on the quality and reliability of connections between optical fibers. In particular a further reduction of insertion loss of field- installable connectors must be achieved without a significant increase in component cost. This requires precise alignment of fibers that can differ in terms of ellipticity, eccentricity or diameter and seems hardly achievable using today's widespread ferrule-based alignment systems. In this paper, we present a field-installable connector based on deflectable/compressible spring structures, providing a self-centering functionality for the fiber. This way, it can accommodate for possible fiber cladding diameter variations (the tolerance on the cladding diameter of G.652 fiber is typically +/-0.7μm). The mechanical properties of the cantilever are derived through an analytical approximation and a mathematical model of the spring constant, and finite element-based simulations are carried out to find the maximum first principal stress as well as the stress distribution distribution in the fiber alignment structure. Elastic

  8. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  9. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  10. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  11. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  12. Novel Fiber Optic Sensor Probe with a Pair of Highly Reflected Connectors and a Vessel of Water Absorption Material for Water Leak Detection

    Directory of Open Access Journals (Sweden)

    Tae-Sik Cho

    2012-08-01

    Full Text Available The use of a fiber optic quasi-distributed sensing technique for detecting the location and severity of water leakage is suggested. A novel fiber optic sensor probe is devised with a vessel of water absorption material called as water combination soil (WCS located between two highly reflected connectors: one is a reference connector and the other is a sensing connector. In this study, the sensing output is calculated from the reflected light signals of the two connectors. The first reflected light signal is a reference and the second is a sensing signal which is attenuated by the optical fiber bending loss due to the WCS expansion absorbing water. Also, the bending loss of each sensor probe is determined by referring to the total number of sensor probes and the total power budget of an entire system. We have investigated several probe characteristics to show the design feasibility of the novel fiber sensor probe. The effects of vessel sizes of the probes on the water detection sensitivity are studied. The largest vessel probe provides the highest sensitivity of 0.267 dB/mL, while the smallest shows relatively low sensitivity of 0.067 dB/mL, and unstable response. The sensor probe with a high output value provides a high sensitivity with various detection levels while the number of total installable sensor probes decreases.

  13. Novel fiber optic sensor probe with a pair of highly reflected connectors and a vessel of water absorption material for water leak detection.

    Science.gov (United States)

    Cho, Tae-Sik; Choi, Ki-Sun; Seo, Dae-Cheol; Kwon, Il-Bum; Lee, Jung-Ryul

    2012-01-01

    The use of a fiber optic quasi-distributed sensing technique for detecting the location and severity of water leakage is suggested. A novel fiber optic sensor probe is devised with a vessel of water absorption material called as water combination soil (WCS) located between two highly reflected connectors: one is a reference connector and the other is a sensing connector. In this study, the sensing output is calculated from the reflected light signals of the two connectors. The first reflected light signal is a reference and the second is a sensing signal which is attenuated by the optical fiber bending loss due to the WCS expansion absorbing water. Also, the bending loss of each sensor probe is determined by referring to the total number of sensor probes and the total power budget of an entire system. We have investigated several probe characteristics to show the design feasibility of the novel fiber sensor probe. The effects of vessel sizes of the probes on the water detection sensitivity are studied. The largest vessel probe provides the highest sensitivity of 0.267 dB/mL, while the smallest shows relatively low sensitivity of 0.067 dB/mL, and unstable response. The sensor probe with a high output value provides a high sensitivity with various detection levels while the number of total installable sensor probes decreases.

  14. A decking for erecting an insulating connector

    Energy Technology Data Exchange (ETDEWEB)

    Chuprikov, A Ye; Lagutin, V I; Sklyarov, A A; Sukhanov, G V

    1983-01-01

    The decking includes a parachute like dome, made of a material impenetrable to air, an air penetrable hood, attachment elements and a branch pipe for supplying the filler. To improve the sealing of the insulating connector upon contact with the walls and the roof of the drift being isolated and to reduce the labor intensity of operations, it is equipped with a housing made in the form of a cylinder from a material impenetrable to water, an advancible vertical upright and a spring loaded panel. The housing is installed between the parachute like dome and the air penetrable hood. The extendible vertical upright is installed on the side of the air penetrable hood and by means of a movable rack mechanism is kinematically linked with the spring loaded panel, installed with the capability of interacting with the air penetrable hood. The spring loaded plate is made in the form of perforated plates which have a telescopic disengagement in the horizontal plane.

  15. Use of monolingual and comparable corpora in the classroom to translate adverbial connectors

    Directory of Open Access Journals (Sweden)

    Beatriz Sánchez Cárdenas

    2016-04-01

    This research explored the reasons why certain adverbial discourse connectors, apparently easy to translate, are a source of translation problems that cannot be easily resolved with a bilingual dictionary. Moreover, this study analyzed the use of parallel corpora in the translation classroom and how it can increase the quality of text production. For this purpose, we compared student translations before and after receiving training on the use of corpus analysis tools.

  16. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  17. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  18. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  19. A prospective clinical trial to assess peripheral venous catheter-related phlebitis using needleless connectors in a surgery department.

    Science.gov (United States)

    Ronen, Ohad; Shlomo, Fanny; Ben-Adiva, Gila; Edri, Zehava; Shema-Didi, Lilach

    2017-10-01

    The use of intravascular catheters is often complicated by phlebitis, which is associated with increased morbidity and extended duration of hospitalization. We conducted a study to investigate the impact of needleless intravenous access devices on the rate of phlebitis in peripheral venous catheters (PVCs). We prospectively recruited patients in 2 phases. The first group was treated with a regular cap, and the second group was treated with a needleless connector. The incidence of catheter-related phlebitis (CRP) was recorded as the primary end point. A total of 620 PVCs using regular caps were inserted into 340 patients and CRP rates were recorded. In the second phase of the study, 169 PVCs using needleless connectors were inserted into 135 patients. In the group treated with the regular cap, the CRP rate was 60% compared with 7% in the group treated with the needleless cap (P phlebitis had a statistically significant longer mean hospitalization period (P <.001), as were patients in the regular cap group (P <.01). The use of needleless connectors was found to be associated with a significant reduction of CRP in peripheral veins in a surgery department setting. The decreased morbidity resulted in a lower number of catheter replacements and duration of hospitalization. Copyright © 2017 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  20. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  1. Effect of Sling Exercise Training on Balance in Patients with Stroke: A Meta-Analysis.

    Science.gov (United States)

    Chen, Lianghua; Chen, Junqi; Peng, Qiyuan; Chen, Jingjie; Zou, Yucong; Liu, Gang

    2016-01-01

    This study aims to evaluate the effect of sling exercise training (SET) on balance in patients with stroke. PubMed, Cochrane Library, Ovid LWW, CBM, CNKI, WanFang, and VIP databases were searched for randomized controlled trials of the effect of SET on balance in patients with stroke. The study design and participants were subjected to metrological analysis. Berg balance Scale (BBS), Barthel index score (BI), and Fugl-Meyer Assessment (FMA) were used as independent parameters for evaluating balance function, activities of daily living(ADL) and motor function after stroke respectively, and were subjected to meta-analysis by RevMan5.3 software. Nine studies with 460 participants were analyzed. Results of meta-analysis showed that the SET treatment combined with conventional rehabilitation was superior to conventional rehabilitation treatments, with increased degrees of BBS (WMD = 3.81, 95% CI [0.15, 7.48], P = 0.04), BI (WMD = 12.98, 95% CI [8.39, 17.56], P risk of bias. Therefore, more multi-center and large-sampled randomized controlled trials are needed to confirm its clinical applications.

  2. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  3. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  4. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  5. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  6. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  7. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  8. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  9. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  10. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  11. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  12. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  13. Redox control of ferrocene-based complexes with systematically extended π-conjugated connectors: switchable and tailorable second order nonlinear optics.

    Science.gov (United States)

    Wang, Wen-Yong; Ma, Na-Na; Sun, Shi-Ling; Qiu, Yong-Qing

    2014-03-14

    The studies of geometrical structures, thermal stabilities, redox properties, nonlinear responses and optoelectronic properties have been carried out on a series of novel ferrocenyl (Fc) chromophores with the view of assessing their switchable and tailorable second order nonlinear optics (NLO). The use of a constant Fc donor and a 4,4'-bipyridinium acceptor and varied conjugated bridges makes it possible to systematically determine the contribution of organic connectors to chromophore nonlinear optical activities. The structures reveal that both the reduction reactions and organic connectors have a significant influence on 4,4'-bipyridinium. The potential energy surface maps along with plots of reduced density gradient mirror the thermal stabilities of the Fc-based chromophores. The first and second reductions take place preferentially at the 4,4'-bipyridinium moieties. Significantly, the reduction processes result in the molecular switches with large NLO contrast varying from zero or very small to a large value. Moreover, time-dependent density functional theory results indicate that the absorption peaks are mainly attributed to Fc to 4,4'-bipyridinium charge transfer and the mixture of intramolecular charge transfer within the two respective 4,4'-bipyridinium moieties coupled with interlayer charge transfer between the two 4,4'-bipyridinium moieties. This provides us with comprehensive information on the effect of organic connectors on the NLO properties.

  14. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  15. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  16. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  17. Digital holography for MEMS and microsystem metrology

    CERN Document Server

    Asundi, Anand

    2011-01-01

    Approaching the topic of digital holography from the practical perspective of industrial inspection, Digital Holography for MEMS and Microsystem Metrology describes the process of digital holography and its growing applications for MEMS characterization, residual stress measurement, design and evaluation, and device testing and inspection. Asundi also provides a thorough theoretical grounding that enables the reader to understand basic concepts and thus identify areas where this technique can be adopted. This combination of both practical and theoretical approach will ensure the

  18. Traceability and uncertainty estimation in coordinate metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Savio, Enrico; De Chiffre, Leonardo

    2001-01-01

    National and international standards have defined performance verification procedures for coordinate measuring machines (CMMs) that typically involve their ability to measure calibrated lengths and to a certain extent form. It is recognised that, without further analysis or testing, these results...... are required. Depending on the requirements for uncertainty level, different approaches may be adopted to achieve traceability. Especially in the case of complex measurement situations and workpieces the procedures are not trivial. This paper discusses the establishment of traceability in coordinate metrology...

  19. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  20. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  1. Open Source GIS Connectors to NASA GES DISC Satellite Data

    Science.gov (United States)

    Kempler, Steve; Pham, Long; Yang, Wenli

    2014-01-01

    The NASA Goddard Earth Sciences Data and Information Services Center (GES DISC) houses a suite of high spatiotemporal resolution GIS data including satellite-derived and modeled precipitation, air quality, and land surface parameter data. The data are valuable to various GIS research and applications at regional, continental, and global scales. On the other hand, many GIS users, especially those from the ArcGIS community, have difficulties in obtaining, importing, and using our data due to factors such as the variety of data products, the complexity of satellite remote sensing data, and the data encoding formats. We introduce a simple open source ArcGIS data connector that significantly simplifies the access and use of GES DISC data in ArcGIS.

  2. Aerosol metrology: aerodynamic and electrostatic techniques

    International Nuclear Information System (INIS)

    Prodi, V.

    1988-01-01

    Aerosols play an ever increasing role in science, engineering and especially in industrial and environmental hygiene. They are being studied since a long time, but only recently the progress in aerosol instrumentation has made it possible to pose of aerosol metrology, especially the problem of absolute measurements, as based directly on measurements of fundamental quantities. On the basis of absolute measurements, the hierarchy of standards can be prepared and adequately disseminated. In the aerosol field, the quantities to be measured are mainly size, charge, density, and shape. In this paper a possible standardisation framework for aerosols is proposed, for the main physical quantities

  3. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  4. Theory-based metrological traceability in education: A reading measurement network.

    Science.gov (United States)

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  5. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  6. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    International Nuclear Information System (INIS)

    Brau-Avila, A; Valenzuela-Galvan, M; Herrera-Jimenez, V M; Santolaria, J; Aguilar, J J; Acero, R

    2017-01-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs. (paper)

  7. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    Science.gov (United States)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  8. Lithium hydride doped intermediate connector for high-efficiency and long-term stable tandem organic light-emitting diodes.

    Science.gov (United States)

    Ding, Lei; Tang, Xun; Xu, Mei-Feng; Shi, Xiao-Bo; Wang, Zhao-Kui; Liao, Liang-Sheng

    2014-10-22

    Lithium hydride (LiH) is employed as a novel n-dopant in the intermediate connector for tandem organic light-emitting diodes (OLEDs) because of its easy coevaporation with other electron transporting materials. The tandem OLEDs with two and three electroluminescent (EL) units connected by a combination of LiH doped 8-hydroxyquinoline aluminum (Alq3) and 1,4,5,8,9,11-hexaazatriphenylene-hexacarbonitrile (HAT-CN) demonstrate approximately 2-fold and 3-fold enhancement in current efficiency, respectively. In addition, no extra voltage drop across the intermediate connector is observed. Particularly, the lifetime (T75%) in the tandem OLED with two and three EL units is substantially improved by 3.8 times and 7.4 times, respectively. The doping effect of LiH into Alq3, the charge injection, and transport characteristics of LiH-doped Alq3 are further investigated by ultraviolet photoelectron spectroscopy (UPS) and X-ray photoemission spectroscopy (XPS).

  9. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  10. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  11. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  12. The origins of the metrology of ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Paschoa, Anselmo S. [Pontificia Univ. Catolica do Rio de Janeiro, RJ (Brazil). Dept. de Fisica]. E-mail: aspas@itaipu.vdg.fis.puc-rio.br

    2000-07-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of {sup 226} Ra and {sup 228} Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  13. The origins of the metrology of ionizing radiation

    International Nuclear Information System (INIS)

    Paschoa, Anselmo S.

    2000-01-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of 226 Ra and 228 Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  14. Preface: The 5th International Workshop on X-ray Mirror Design, Fabrication, and Metrology

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, Lahsen [Argonne National Laboratory, 9700 South Cass Avenue, Lemont, Illinois 60439 (United States); Goldberg, Kenneth; Yashchuk, Valeriy V. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2016-05-15

    Recent developments in synchrotron storage rings and free-electron laser-based x-ray sources with ever-increasing brightness and coherent flux have pushed x-ray optics requirements to new frontiers. This Special Topic gathers a set of articles derived from a subset of the key presentations of the International Workshop on X-ray Mirrors Fabrication (IWXM-2015) and Metrology held at Lawrence Berkley National Laboratory, Berkeley, California, USA, July 14–16, 2015. The workshop objective was to report on recent progress in x-ray synchrotron radiation mirrors fabrication as well as on new developments in related metrology tools and methods.

  15. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    CSIR Research Space (South Africa)

    Becerra, LO

    2006-09-01

    Full Text Available ), 1, Rue Gaston Boissier, 75015 Paris, France 13 Centro Espa?nol de Metrologia (CEM), c/ del Alfar, 2 ? Apartado 37, 28760 Tres Cantos (Madrid), Spain 14 National Metrology Institute of Japan, National Institute of Advanced Industrial Science...

  16. Metrology for Fuel Cell Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Stocker, Michael [National Inst. of Standards and Technology, Gaithersburg, MD (United States); Stanfield, Eric [National Inst. of Standards and Technology, Gaithersburg, MD (United States)

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. The objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.

  17. Arranging ISO 13606 archetypes into a knowledge base using UML connectors.

    Science.gov (United States)

    Kopanitsa, Georgy

    2014-01-01

    To enable the efficient reuse of standard based medical data we propose to develop a higher-level information model that will complement the archetype model of ISO 13606. This model will make use of the relationships that are specified in UML to connect medical archetypes into a knowledge base within a repository. UML connectors were analysed for their ability to be applied in the implementation of a higher-level model that will establish relationships between archetypes. An information model was developed using XML Schema notation. The model allows linking different archetypes of one repository into a knowledge base. Presently it supports several relationships and will be advanced in future.

  18. Context-based virtual metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  19. Compact and Robust Refilling and Connectorization of Hollow Core Photonic Crystal Fiber Gas Reference Cells

    Science.gov (United States)

    Poberezhskiy, Ilya Y.; Meras, Patrick; Chang, Daniel H.; Spiers, Gary D.

    2007-01-01

    This slide presentation reviews a method for refilling and connectorization of hollow core photonic crystal fiber gas reference cells. Thees hollow-core photonic crystal fiber allow optical propagation in air or vacuum and are for use as gas reference cell is proposed and demonstrated. It relies on torch-sealing a quartz filling tube connected to a mechanical splice between regular and hollow-core fibers.

  20. Metrology of reflection optics for synchrotron radiation

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1985-09-01

    Recent years have seen an almost explosive growth in the number of beam lines on new and existing synchrotron radiation facilities throughout the world. The need for optical components to utilize the unique characteristics of synchrotron radiation has increased accordingly. Unfortunately, the technology to manufacture and measure the large, smooth, exotic optical surfaces required to focus and steer the synchrotron radiation beam has not progressed as rapidly as the operational demands on these components. Most companies do not wish to become involved with a project that requires producing a single, very expensive, aspheric optic with surface roughness and figure tolerances that are beyond their capabilities to measure. This paper will review some of the experiences of the National Synchrotron Light Source in procuring grazing incidence optical components over the past several years. We will review the specification process - how it is related to the function of the optic, and how it relates to the metrology available during the manufacturing process and after delivery to the user's laboratory. We will also discuss practical aspects of our experience with new technologies, such as single point diamond turning of metal mirrors and the use of SiC as a mirror material. Recent advances in metrology instrumentation have the potential to move the measurement of surface figure and finish from the research laboratory into the optical shop, which should stimulate growth and interest in the manufacturing of optics to meet the needs of the synchrotron radiation user community

  1. Dimensional quality control of Ti-Ni dental file by optical coordinate metrology and computed tomography

    DEFF Research Database (Denmark)

    Yagüe-Fabra, J.A.; Tosello, Guido; Ontiveros, S.

    2014-01-01

    Endodontic dental files usually present complex 3D geometries, which make the complete measurement of the component very challenging with conventional micro metrology tools. Computed Tomography (CT) can represent a suitable alternative solution to micro metrology tools based on optical and tactile...... techniques. However, the establishment of CT systems traceability when measuring 3D complex geometries is still an open issue. In this work, to verify the quality of the CT dimensional measurements, the dental file has been measured both with a μCT system and an optical CMM (OCMM). The uncertainty...

  2. 3D-SEM Metrology for Coordinate Measurements at the Nanometer Scale

    DEFF Research Database (Denmark)

    Carli, Lorenzo

    to be addressed concerning uncertainty evaluation have been discussed. Most recent developments in the field of micro and nano-metrology, in terms of measuring machines and techniques, are described pointing out advantages and limitations. The importance of multi-sensor and multi-orientation strategy...

  3. Metrological characteristics of the new BSS2 beta secondary standard system

    International Nuclear Information System (INIS)

    Reynaldo, Sibele R.

    2005-01-01

    Due to the increased interest and the importance of beta radiation dosimetry for radiation protection purposes, the Centro de Desenvolvimento da Tecnologia Nuclear. MG, Brazil, acquired the newest Beta Secondary Standard system (BSS2) in order to replace the old BSS1 model, with the goal of implement a beta radiation metrology laboratory and provide the corresponding reference radiation. The new system BSS2, unique in Latin America, requires operational testing and metrological characterization for reliability purposes. For this, some comparative investigations of the two systems were made. The influence of opening and closing the shutter in the final dose of radiation was identified as the highest in the BSS2 in relation to the one founded in BSS1, justified by the structural difference of the shutters of the systems and the reproducibility of source-detector geometry was better in BSS2, because of the robustness of the same

  4. Tests of operating conditions for metrological application of HTS Josephson arrays

    International Nuclear Information System (INIS)

    Sosso, A; Lacquaniti, V; Andreone, D; Cerri, R; Klushin, A M

    2006-01-01

    We report on an experimental study of metrological properties of High Temperature Superconductor arrays, made of shunted bicrystal YBCO Josephson junctions, to assess their accuracy. A detailed analysis of measurement errors is presented, mainly based on a direct comparison of an HTS array against a low temperature array. Owing to the high sensitivity of the comparison, we were able to measure the changes in the HTS array voltage on a step at nanovolt level. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results provided by the usual, low sensitivity, techniques, confirming that the method we adopted is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was applied in the derivation of the temperature dependence of the critical current as well, providing some insights on the behaviour of the HTS array

  5. Quantum metrology for gravitational wave astronomy.

    Science.gov (United States)

    Schnabel, Roman; Mavalvala, Nergis; McClelland, David E; Lam, Ping K

    2010-11-16

    Einstein's general theory of relativity predicts that accelerating mass distributions produce gravitational radiation, analogous to electromagnetic radiation from accelerating charges. These gravitational waves (GWs) have not been directly detected to date, but are expected to open a new window to the Universe once the detectors, kilometre-scale laser interferometers measuring the distance between quasi-free-falling mirrors, have achieved adequate sensitivity. Recent advances in quantum metrology may now contribute to provide the required sensitivity boost. The so-called squeezed light is able to quantum entangle the high-power laser fields in the interferometer arms, and could have a key role in the realization of GW astronomy.

  6. X-ray metrology for ULSI structures

    International Nuclear Information System (INIS)

    Bowen, D. K.; Matney, K. M.; Wormington, M.

    1998-01-01

    Non-destructive X-ray metrological methods are discussed for application to both process development and process control of ULSI structures. X-ray methods can (a) detect the unacceptable levels of internal defects generated by RTA processes in large wafers, (b) accurately measure the thickness and roughness of layers between 1 and 1000 nm thick and (c) can monitor parameters such as crystallographic texture and the roughness of buried interfaces. In this paper we review transmission X-ray topography, thin film texture measurement, grazing-incidence X-ray reflectivity and high-resolution X-ray diffraction. We discuss in particular their suitability as on-line sensors for process control

  7. UPWIND Metrology, Deliverable D 1A2.1, List of measurement Parameters

    DEFF Research Database (Denmark)

    Hansen, Kurt Schaldemose

    performance measurements - Improvement of aerodynamic codes - Assessment of wind resources In general terms the uncertainty of the testing techniques and methods are typically much higher than the need. Since this problem covers many areas of wind energy, the work package is de-fined as a crosscutting...... activity. The problem is especially relevant for the following areas: Production related - Power performance testing especially in wind farms - Testing of turbine improvements in the order of several percent - Testing of aerodynamic codes - Testing of turbine response to effects such as turbulence...... profiles, turbulence, surface shear recovery distances etc) - Measurements of the interaction wind farms and microclimate The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The development...

  8. A blueprint for radioanalytical metrology CRMs, intercomparisons, and PE

    International Nuclear Information System (INIS)

    Inn, Kenneth G.W.; Kurosaki, Hiromu; Frechou, Carole; Gilligan, Chris; Jones, Robert; LaMont, Stephen; Leggitt, Jeff; Li Chunsheng; McCroan, Keith; Swatski, Ronald

    2008-01-01

    A workshop was held from 28 February to 2 March 2006 at the National Institute of Standards and Technology (NIST) to evaluate the needs for new directions for complex matrix reference materials certified for radionuclide content, interlaboratory comparisons and performance evaluation (PE) programs. The workshop identified new radioanalytical metrology thrust areas needed for environmental, radiobioassay, emergency consequence management, and nuclear forensics, attribution, nonproliferation, and safeguards

  9. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    Science.gov (United States)

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  10. Experimental Studies of Sealing Mechanism of a Dismountable Microsystem-to-Macropart Fluidic Connector for High Pressure and a Wide Range of Temperature

    Directory of Open Access Journals (Sweden)

    Hugo Nguyen

    2010-01-01

    Full Text Available As fluidic microelectromechanical devices are developing and often attached to, or embedded in, large, complex, and expensive systems, the issues of modularity, maintenance, and subsystem replacement arise. In this work, a robust silicon connector suitable for high-pressure applications—likely with harsh fluids—in the temperature range of +100 to −100° C is demonstrated and tested together with a stainless steel nipple representing a simple and typical macropart. With a micromachined circular membrane equipped with a 5 μm high ridge, this connector is able to maintain a leak rate below 2.0×10−8 scc/s of gaseous helium with a pressure of up to 9.7 bar. Degradation of the sealing performance on reassembly is associated with the indentation of the ridge. However, the ridge makes the sealing interface less sensitive to particles in comparison with a flat reference. Most evaluation is made through the so-called heat-until-leak tests conducted to determine the maximum working temperature and the sealing mechanism of the connector. A couple of these are followed by cryogenic testing. The effect of thermal mismatch of the components is discussed and utilized as an early warning mechanism.

  11. Unconditional violation of the shot-noise limit in photonic quantum metrology

    Science.gov (United States)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  12. Determination of the elementary charge and the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Feltin, N.; Piquemal, F. [Laboratoire National de Metrologie et d' Essais (LNE), 78 - Trappes (France)

    2009-06-15

    The elementary charge e is of fundamental importance in physics. The determination of its value, which is closely linked to progress of the measurement techniques, started in the beginning of the twentieth century and is still on-going. Today, in the frame of the CODATA adjustment, the evaluation of the fundamental constant, e, is derived from a complex calculation and is no more related to a single experiment. But the development of single electron tunneling (SET) devices, started in the early nineties, has opened the path towards modern metrological systems as quantum current sources. Thus a new direct determination of e is possible by implementing an electron pump and the set-up of the quantum metrological triangle (QMT) in combination with the experiments linking mechanical and electrical units. Furthermore, we show how the QMT experiment can contribute to the establishment of a new system of units based on fundamental constants of physics. (authors)

  13. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    Science.gov (United States)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  14. Alignment of KB mirrors with at-wavelength metrology tool simulated using SRW

    Science.gov (United States)

    Idir, Mourad; Rakitin, Maksim; Gao, Bo; Xue, Junpeng; Huang, Lei; Chubar, Oleg

    2017-08-01

    Synchrotron Radiation Workshop (SRW) is a powerful synchrotron radiation simulation tool and has been widely used at synchrotron facilities all over the world. During the last decade, many types of X-ray wavefront sensors have been developed and used. In this work, we present our recent effort on the development of at-wavelength metrology simulation based on SRW mainly focused on the Hartmann Wavefront Sensor (HWS). Various conditions have been studied to verify that the simulated HWS is performing as expected in terms of accuracy. This at-wavelength metrology simulation tool is then used to align KB mirrors by minimizing the wavefront aberrations. We will present our optimization process to perform an `in situ' alignment using conditions as close as possible to the real experiments (KB mirrors with different levels of figure errors or different misalignment geometry).

  15. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  16. Plutonium glove boxes - metrology and operational states

    International Nuclear Information System (INIS)

    Thyer, A.M.

    2001-01-01

    The main objective was to undertake a literature review in support of NII's ongoing work in improving safety in the nuclear industry to help define suitable standards of cleanliness for plutonium glove boxes. This is to cover the following areas: existing or proposed national/international standards relating to plutonium glove box cleanliness management; practicable metrology options for assessing the plutonium content of glove boxes; any available dose information relating to the operation of modern and 'old design'; current contamination levels of specific significance (i.e. any accepted level in decommissioning/waste terms, typical criticality limits (if available), any box plutonium loadings that are documented with corresponding operator doses etc.); and, techniques for the decontamination of plutonium glove boxes and their relative effectiveness. This should then form the basis of any further development work undertaken by the UK nuclear industry. Main recommendations are as follows: 1) No information could be found in open literature on acceptable levels of contamination in boxes and action levels for cleanup. If these are not available in closed publications the 2) Where possible, the decontamination methods identified should be tested and dose information recorded against each method to allow informed decisions on which is the optimum technique for a particular form of contamination. 3) Consideration should be given to utilisation of metrology options which have the lowest potential for exposure of operators. Preferred options, may be detection from the outside of boxes using hand-held or permanently located radiation detectors, or semi-intrusive methods such as air-ionisation readings which would require one-off installation of detectors in ductwork

  17. Innovative Ge Quantum Dot Functional Sensing and Metrology Devices

    Science.gov (United States)

    2017-08-21

    Sensing/Metrology Devices Period: May 26th 2015May 25th 2017 Investigators: Pei-Wen Li Affiliation: Department of Electrical Engineering , National...light sources as well as low-power, high-speed Ge photodetectors indeed requires the growth of direct-gap Ge, heterostructure engineering for...All these tasks cannot be simply conducted in terms of bulk Ge technology, and it is no doubt that nanoscience and nanotechnology would offer

  18. Conceptual design finalisation of the ITER In-Vessel Viewing and Metrology System (IVVS)

    Energy Technology Data Exchange (ETDEWEB)

    Dubus, Gregory, E-mail: gregory.dubus@f4e.europa.eu [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Puiu, Adrian; Damiani, Carlo; Van Uffelen, Marco; Lo Bue, Alessandro; Izquierdo, Jesus; Semeraro, Luigi [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Martins, Jean-Pierre; Palmer, Jim [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France)

    2013-10-15

    The In-Vessel Viewing and Metrology System (IVVS) is a fundamental tool for the ITER machine operations, aiming at performing inspections as well as providing information related to the erosion of in-vessel components. Periodically or on request, the IVVS probes will be deployed into the Vacuum Vessel from their storage positions (still within the ITER primary confinement) in order to perform both viewing and metrology on plasma facing components (blanket, divertor, heating/diagnostic plugs, test blanket modules) and, more generically, to provide information on the status of the in-vessel components. In 2011, the IO proposed to simplify and strengthen the six IVVS port extensions situated at the divertor level. Among other important consequences, such as the relocation of the Glow Discharge Cleaning (GDC) electrodes at other levels of the machine, this major design change implied the need for a substantial redesign of the IVVS plug, which took part to an on-going effort to bring the integrated IVVS concept – including the scanning probe and its deployment system – to the level of maturity suitable for the Conceptual Design Review. This paper gives an overview of the various design and R and D activities in progress: plug design integration, probe concept validation under environmental conditions, development of a metrology strategy, the whole supported by a nuclear analysis.

  19. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Sophie M [Florida State Univ., Tallahassee, FL (United States); Tran, Hy D. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2014-07-01

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  20. The SiBI connector: a new medical device to facilitate preoxygenation and reduce waste anesthetic gases during inhaled induction with sevoflurane.

    Science.gov (United States)

    Colas, M J; Tétrault, J P; Dumais, L; Truong, P; Claprood, Y; Martin, R

    2000-12-01

    The SiBI connector is a new medical device used for vital capacity inhaled induction with sevoflurane. It allows efficient preoxygenation of patients and reduces waste anesthetic gases in the operation room during induction.

  1. Teaching meta-analysis using MetaLight

    Directory of Open Access Journals (Sweden)

    Thomas James

    2012-10-01

    Full Text Available Abstract Background Meta-analysis is a statistical method for combining the results of primary studies. It is often used in systematic reviews and is increasingly a method and topic that appears in student dissertations. MetaLight is a freely available software application that runs simple meta-analyses and contains specific functionality to facilitate the teaching and learning of meta-analysis. While there are many courses and resources for meta-analysis available and numerous software applications to run meta-analyses, there are few pieces of software which are aimed specifically at helping those teaching and learning meta-analysis. Valuable teaching time can be spent learning the mechanics of a new software application, rather than on the principles and practices of meta-analysis. Findings We discuss ways in which the MetaLight tool can be used to present some of the main issues involved in undertaking and interpreting a meta-analysis. Conclusions While there are many software tools available for conducting meta-analysis, in the context of a teaching programme such software can require expenditure both in terms of money and in terms of the time it takes to learn how to use it. MetaLight was developed specifically as a tool to facilitate the teaching and learning of meta-analysis and we have presented here some of the ways it might be used in a training situation.

  2. Metrology with synchrotron radiation. A short introduction; Metrologie mit Synchrotronstrahlung. Eine kurze Einfuehrung

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Mathias [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Fachbereich ' Radiometrie mit Synchrotronstrahlung' ; Ulm, Gerhard

    2014-09-15

    The beam tubes and measuring places at the Metrology Light Source and BESSY II are listed together with their monochromator types, spectral ranges, spectral resolution powers, photon fluxes, beam sizes, and divergences. (HSI)

  3. STAT, GAPS, STRAIN, DRWDIM: a system of computer codes for analyzing HTGR fuel test element metrology data. User's manual

    Energy Technology Data Exchange (ETDEWEB)

    Saurwein, J.J.

    1977-08-01

    A system of computer codes has been developed to statistically reduce Peach Bottom fuel test element metrology data and to compare the material strains and fuel rod-fuel hole gaps computed from these data with HTGR design code predictions. The codes included in this system are STAT, STRAIN, GAPS, and DRWDIM. STAT statistically evaluates test element metrology data yielding fuel rod, fuel body, and sleeve irradiation-induced strains; fuel rod anisotropy; and additional data characterizing each analyzed fuel element. STRAIN compares test element fuel rod and fuel body irradiation-induced strains computed from metrology data with the corresponding design code predictions. GAPS compares test element fuel rod, fuel hole heat transfer gaps computed from metrology data with the corresponding design code predictions. DRWDIM plots the measured and predicted gaps and strains. Although specifically developed to expedite the analysis of Peach Bottom fuel test elements, this system can be applied, without extensive modification, to the analysis of Fort St. Vrain or other HTGR-type fuel test elements.

  4. Elements for successful sensor-based process control {Integrated Metrology}

    International Nuclear Information System (INIS)

    Butler, Stephanie Watts

    1998-01-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended

  5. Elements for successful sensor-based process control {Integrated Metrology}

    Science.gov (United States)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  6. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    International Nuclear Information System (INIS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility. (paper)

  7. Metrology as part and parcel of training programmes for science and engineering

    NARCIS (Netherlands)

    Regtien, Paulus P.L.

    2007-01-01

    At many universities and training institutes education in metrology or measurement science is in strong competition with upcoming disciplines. Its importance for science and engineering remains, however, evident. Advanced instruments make measuring almost a routine activity, but it is shown that a

  8. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    Science.gov (United States)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  9. Statistical metrology - measurement and modeling of variation for advanced process development and design rule generation

    International Nuclear Information System (INIS)

    Boning, Duane S.; Chung, James E.

    1998-01-01

    Advanced process technology will require more detailed understanding and tighter control of variation in devices and interconnects. The purpose of statistical metrology is to provide methods to measure and characterize variation, to model systematic and random components of that variation, and to understand the impact of variation on both yield and performance of advanced circuits. Of particular concern are spatial or pattern-dependencies within individual chips; such systematic variation within the chip can have a much larger impact on performance than wafer-level random variation. Statistical metrology methods will play an important role in the creation of design rules for advanced technologies. For example, a key issue in multilayer interconnect is the uniformity of interlevel dielectric (ILD) thickness within the chip. For the case of ILD thickness, we describe phases of statistical metrology development and application to understanding and modeling thickness variation arising from chemical-mechanical polishing (CMP). These phases include screening experiments including design of test structures and test masks to gather electrical or optical data, techniques for statistical decomposition and analysis of the data, and approaches to calibrating empirical and physical variation models. These models can be integrated with circuit CAD tools to evaluate different process integration or design rule strategies. One focus for the generation of interconnect design rules are guidelines for the use of 'dummy fill' or 'metal fill' to improve the uniformity of underlying metal density and thus improve the uniformity of oxide thickness within the die. Trade-offs that can be evaluated via statistical metrology include the improvements to uniformity possible versus the effect of increased capacitance due to additional metal

  10. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    Science.gov (United States)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  11. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    International Nuclear Information System (INIS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-01-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes. (paper)

  12. Physics colloquium: Single-electron counting in quantum metrology and in statistical mechanics

    CERN Multimedia

    Geneva University

    2011-01-01

    GENEVA UNIVERSITY Ecole de physique Département de physique nucléaire et corspusculaire 24, quai Ernest-Ansermet 1211 Genève 4 Tél.: (022) 379 62 73 Fax: (022) 379 69 92olé   Lundi 17 octobre 2011 17h00 - Ecole de Physique, Auditoire Stueckelberg PHYSICS COLLOQUIUM « Single-electron counting in quantum metrology and in statistical mechanics » Prof. Jukka Pekola Low Temperature Laboratory, Aalto University Helsinki, Finland   First I discuss the basics of single-electron tunneling and its potential applications in metrology. My main focus is in developing an accurate source of single-electron current for the realization of the unit ampere. I discuss the principle and the present status of the so-called single- electron turnstile. Investigation of errors in transporting electrons one by one has revealed a wealth of observations on fundamental phenomena in mesoscopic superconductivity, including individual Andreev...

  13. Quantum metrology subject to spatially correlated Markovian noise: restoring the Heisenberg limit

    International Nuclear Information System (INIS)

    Jeske, Jan; Cole, Jared H; Huelga, Susana F

    2014-01-01

    Environmental noise can hinder the metrological capabilities of entangled states. While the use of entanglement allows for Heisenberg-limited resolution, the largest permitted by quantum mechanics, deviations from strictly unitary dynamics quickly restore the standard scaling dictated by the central limit theorem. Product and maximally entangled states become asymptotically equivalent when the noisy evolution is both local and strictly Markovian. However, temporal correlations in the noise have been shown to lift this equivalence while fully (spatially) correlated noise allows for the identification of decoherence-free subspaces. Here we analyze precision limits in the presence of noise with finite correlation length and show that there exist robust entangled state preparations which display persistent Heisenberg scaling despite the environmental decoherence, even for small correlation length. Our results emphasize the relevance of noise correlations in the study of quantum advantage and could be relevant beyond metrological applications. (paper)

  14. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform

    Science.gov (United States)

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-01-01

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform’s mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument’s working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform. PMID:27869722

  15. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform.

    Science.gov (United States)

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-11-18

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform's mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument's working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform.

  16. 1 GSPS digitizer based on the FPGA Mezzanine Card (FMC) standard with low-count pin connector.

    CERN Document Server

    Vasilyev, Mikhail

    2015-01-01

    Under the scope of a CERN summer student project, the schematic for ADC based on FMC mezzanine card with 1 GSPS sampling rate and 8 bit resolution was developed. The mezzanine is fully compatible with the standard: FPGA Mezzanine Card (FMC) [1]. A low-pin count connector was used to connect the mezzanine with the “carrier”. The carrier was an Open Hardware project: Simple PCIe FMC carrier (SPEC).

  17. The Lifetime Estimate for ACSR Single-Stage Splice Connector Operating at Higher Temperatures

    International Nuclear Information System (INIS)

    Wang, Jy-An John; Graziano, Joe; Chan, John

    2011-01-01

    This paper is the continuation of Part I effort to develop a protocol of integrating analytical and experimental approaches to evaluate the integrity of a full tension single-stage splice connector (SSC) assembly during service at high operating temperature.1The Part II efforts are mainly focused on the thermal mechanical testing, thermal-cycling simulation and its impact on the effective lifetime of the SSC system. The investigation indicates that thermal cycling temperature and frequency, conductor cable tension loading, and the compressive residual stress field within a SSC system have significant impact on the SSC integrity and the associated effective lifetime.

  18. Applications of surface metrology in firearm identification

    International Nuclear Information System (INIS)

    Zheng, X; Soons, J; Vorburger, T V; Song, J; Renegar, T; Thompson, R

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCF MAX ) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases. (paper)

  19. Metrological Array of Cyber-Physical Systems. Part 11. Remote Error Correction of Measuring Channel

    Directory of Open Access Journals (Sweden)

    Yuriy YATSUK

    2015-09-01

    Full Text Available The multi-channel measuring instruments with both the classical structure and the isolated one is identified their errors major factors basing on general it metrological properties analysis. Limiting possibilities of the remote automatic method for additive and multiplicative errors correction of measuring instruments with help of code-control measures are studied. For on-site calibration of multi- channel measuring instruments, the portable voltage calibrators structures are suggested and their metrological properties while automatic errors adjusting are analysed. It was experimentally envisaged that unadjusted error value does not exceed ± 1 mV that satisfies most industrial applications. This has confirmed the main approval concerning the possibilities of remote errors self-adjustment as well multi- channel measuring instruments as calibration tools for proper verification.

  20. Activities of the IPEN laboratory (CNEN/SP - Brazil) of nuclear metrology

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The determination of radionuclide activity for radioactive sources and standardized solutions is reported as the main purpose of the IPEN laboratory of nuclear metrology. The measurement systems installed in the laboratory, the measurable activity intervals and some of the standardized radionuclides (emphasizing the ones used in nuclear medicine) are presented. (M.A.C.) [pt

  1. Metrology measurements for large-aperture VPH gratings

    Science.gov (United States)

    Zheng, Jessica R.; Gers, Luke; Heijmans, Jeroen

    2013-09-01

    The High Efficiency and Resolution Multi Element Spectrograph (HERMES) for the Australian Astronomical Observatory (AAO) uses four large aperture, high angle of incidence volume phase holographic gratings (VPHG) for high resolution `Galactic archaeology' spectroscopy. The large clear aperture, the high diffraction efficiency, the line frequency homogeneity, and mosaic alignment made manufacturing and testing challenging. We developed new metrology systems at the AAO to verify the performance of these VPH gratings. The measured diffraction efficiencies and line frequency of the VPH gratings received so far meet the vendor's provided data. The wavefront quality for the Blue VPH grating is good but the Green and Red VPH gratings need to be post polishing.

  2. Characteristics of the radiation prevention metrology laboratory 'Cajavec' - Banjaluka

    International Nuclear Information System (INIS)

    Tomljenovic, I.; Ninkovic, M.; Kolonic, Dz.

    2004-01-01

    Radiation metrology laboratory built in the factory 'Cajavec' in Banja Luka, planed for gauge the detectors of ionization radiation. Laboratory as part of the large factory building , thus projected and formed according to positive radiation principles. Walls are constructed of basic concrete, main entrance of lead, approaching the radiation bench from the back side. Sound and light signal system connected with dosemeter for showing mini dose of radiation creating conditions for safe work of the dosemeterists. (author) [sr

  3. Experiment on Behavior of a New Connector Used in Bamboo (Timber Frame Structure under Cyclic Loading

    Directory of Open Access Journals (Sweden)

    Junwen Zhou

    2018-01-01

    Full Text Available Connection is an important part of the bamboo and timber structure, and it directly influences the overall structural performance and safety. Based on a comprehensive analysis of the mechanical performance of several wood connections, a new connector for the bamboo (timber frame joint was proposed in this paper. Three full-scale T-type joint specimens were designed to study the mechanical performance under cyclic loading. The thickness of the hollow steel column was different among three specimens. The specimens were loaded under displacement control with a rate of 10 mm per minute until the specimens reach failure. It was observed that the failures of three specimens were caused by the buckling of flanges in the compression and that the steel of connections does not yield. The load-displacement hysteretic curve for three specimens is relatively plump, and the stiffness of connection degenerates with the increasing of cyclic load. The maximum rotation is 0.049 rad, and the energy dissipation coefficient is 1.77. The thickness of the hollow steel column of the connector has significant impact on the energy dissipation capacity and the strength of the connection. A simplified moment-rotation hysteresis model for the joint was proposed.

  4. Bayesian estimation methods in metrology

    International Nuclear Information System (INIS)

    Cox, M.G.; Forbes, A.B.; Harris, P.M.

    2004-01-01

    In metrology -- the science of measurement -- a measurement result must be accompanied by a statement of its associated uncertainty. The degree of validity of a measurement result is determined by the validity of the uncertainty statement. In recognition of the importance of uncertainty evaluation, the International Standardization Organization in 1995 published the Guide to the Expression of Uncertainty in Measurement and the Guide has been widely adopted. The validity of uncertainty statements is tested in interlaboratory comparisons in which an artefact is measured by a number of laboratories and their measurement results compared. Since the introduction of the Mutual Recognition Arrangement, key comparisons are being undertaken to determine the degree of equivalence of laboratories for particular measurement tasks. In this paper, we discuss the possible development of the Guide to reflect Bayesian approaches and the evaluation of key comparison data using Bayesian estimation methods

  5. Metrological aspects of enzyme production

    International Nuclear Information System (INIS)

    Kerber, T M; Pereira-Meirelles, F V; Dellamora-Ortiz, G M

    2010-01-01

    Enzymes are frequently used in biotechnology to carry out specific biological reactions, either in industrial processes or for the production of bioproducts and drugs. Microbial lipases are an important group of biotechnologically valuable enzymes that present widely diversified applications. Lipase production by microorganisms is described in several published papers; however, none of them refer to metrological evaluation and the estimation of the uncertainty in measurement. Moreover, few of them refer to process optimization through experimental design. The objectives of this work were to enhance lipase production in shaken-flasks with Yarrowia lipolytica cells employing experimental design and to evaluate the uncertainty in measurement of lipase activity. The highest lipolytic activity obtained was about three- and fivefold higher than the reported activities of CRMs BCR-693 and BCR-694, respectively. Lipase production by Y. lipolytica cells aiming the classification as certified reference material is recommended after further purification and stability studies

  6. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    International Nuclear Information System (INIS)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.; Domning, Edward E.; McKinney, Wayne R.; Morrison, Gregory Y.; Smith, Brian V.; Yashchuk, Valeriy V.

    2008-01-01

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performance and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation

  7. Metrological Traceability in the Social Sciences: A Model from Reading Measurement

    International Nuclear Information System (INIS)

    Stenner, A Jackson; Fisher, William P Jr

    2013-01-01

    The central importance of reading ability in learning makes it the natural place to start in formative and summative assessments in education. The Lexile Framework for Reading constitutes a commercial metrological traceability network linking books, test results, instructional materials, and students in elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia

  8. Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM

    Science.gov (United States)

    Zhang, Xiaoxiao; Zhou, Hua; Ge, Zhenhua; Vaid, Alok; Konduparthi, Deepasree; Osorio, Carmen; Ventola, Stefano; Meir, Roi; Shoval, Ori; Kris, Roman; Adan, Ofer; Bar-Zvi, Maayan

    2014-04-01

    At 1X node, 3D FinFETS raise a number of new metrology challenges. Gate height and fin height are two of the most important parameters for process control. At present there is a metrology gap in inline in-die measurement of these parameters. In order to fill this metrology gap, in-column beam tilt has been developed and implemented on Applied Materials V4i+ top-down CD-SEM for height measurement. A low tilt (5°) beam and a high tilt (14°) beam have been calibrated to obtain two sets of images providing measurement of sidewall edge width to calculate height in the host. Evaluations are done with applications in both gate height and fin height. TEM correlation with R2 being 0.89 and precision of 0.81nm have been achieved on various in-die features in gate height application. Fin height measurement shows less accuracy (R2 being 0.77) and precision (1.49 nm) due to challenges brought by fin geometry, yet still promising as first attempt. Sensitivity to DOE offset, die-to-die and in-die variation is demonstrated in both gate height and fin height. Process defect is successfully captured from inline wafers with gate height measurement implemented in production. This is the first successful demonstration of inline in-die gate height measurement for 14nm FinFET process control.

  9. Ensuring Food Integrity by Metrology and FAIR Data Principles

    Directory of Open Access Journals (Sweden)

    Michael Rychlik

    2018-05-01

    Full Text Available Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  10. Metrological and operational performance of measuring systems used in vehicle compressed natural gas filling stations

    Energy Technology Data Exchange (ETDEWEB)

    Velosa, Jhonn F.; Abril, Henry; Garcia, Luis E. [CDT de GAS (Venezuela). Gas Technological Development Center Corporation

    2008-07-01

    Corporation CDT GAS financially supported by the Colombian government through COLCIENCIAS, carried out a study aimed at designing, developing and implementing in Colombia a calibration and metrological verification 'specialized service' for gas meters installed at dispensers of filling stations using compressed natural gas. The results permitted the identification of improving opportunities (in measuring systems, equipment and devices used to deliver natural gas) which are focused on achieving the highest security and reliability of trading processes of CNG for vehicles. In the development of the first stage of the project, metrological type variables were initially considered, but given the importance of the measuring system and its interaction with the various elements involving gas supply to the filling station, the scope of the work done included aspects related to the operational performance, that is, those influencing the security of the users and the metrological performance of the measuring system. The development of the second stage counted on the collaboration of national companies from the sector of CNG for vehicles, which permitted the carrying out of multiple calibrations to the measuring systems installed in the CNG dispensers, thus achieving, in a concrete way, valid and reliable technological information of the implemented procedures. (author)

  11. Overlay improvement methods with diffraction based overlay and integrated metrology

    Science.gov (United States)

    Nam, Young-Sun; Kim, Sunny; Shin, Ju Hee; Choi, Young Sin; Yun, Sang Ho; Kim, Young Hoon; Shin, Si Woo; Kong, Jeong Heung; Kang, Young Seog; Ha, Hun Hwan

    2015-03-01

    To accord with new requirement of securing more overlay margin, not only the optical overlay measurement is faced with the technical limitations to represent cell pattern's behavior, but also the larger measurement samples are inevitable for minimizing statistical errors and better estimation of circumstance in a lot. From these reasons, diffraction based overlay (DBO) and integrated metrology (IM) were mainly proposed as new approaches for overlay enhancement in this paper.

  12. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  13. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    Science.gov (United States)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  14. Holistic metrology qualification extension and its application to characterize overlay targets with asymmetric effects

    Science.gov (United States)

    Dos Santos Ferreira, Olavio; Sadat Gousheh, Reza; Visser, Bart; Lie, Kenrick; Teuwen, Rachel; Izikson, Pavel; Grzela, Grzegorz; Mokaberi, Babak; Zhou, Steve; Smith, Justin; Husain, Danish; Mandoy, Ram S.; Olvera, Raul

    2018-03-01

    Ever increasing need for tighter on-product overlay (OPO), as well as enhanced accuracy in overlay metrology and methodology, is driving semiconductor industry's technologists to innovate new approaches to OPO measurements. In case of High Volume Manufacturing (HVM) fabs, it is often critical to strive for both accuracy and robustness. Robustness, in particular, can be challenging in metrology since overlay targets can be impacted by proximity of other structures next to the overlay target (asymmetric effects), as well as symmetric stack changes such as photoresist height variations. Both symmetric and asymmetric contributors have impact on robustness. Furthermore, tweaking or optimizing wafer processing parameters for maximum yield may have an adverse effect on physical target integrity. As a result, measuring and monitoring physical changes or process abnormalities/artefacts in terms of new Key Performance Indicators (KPIs) is crucial for the end goal of minimizing true in-die overlay of the integrated circuits (ICs). IC manufacturing fabs often relied on CD-SEM in the past to capture true in-die overlay. Due to destructive and intrusive nature of CD-SEMs on certain materials, it's desirable to characterize asymmetry effects for overlay targets via inline KPIs utilizing YieldStar (YS) metrology tools. These KPIs can also be integrated as part of (μDBO) target evaluation and selection for final recipe flow. In this publication, the Holistic Metrology Qualification (HMQ) flow was extended to account for process induced (asymmetric) effects such as Grating Imbalance (GI) and Bottom Grating Asymmetry (BGA). Local GI typically contributes to the intrafield OPO whereas BGA typically impacts the interfield OPO, predominantly at the wafer edge. Stack height variations highly impact overlay metrology accuracy, in particular in case of multi-layer LithoEtch Litho-Etch (LELE) overlay control scheme. Introducing a GI impact on overlay (in nm) KPI check quantifies the

  15. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    Energy Technology Data Exchange (ETDEWEB)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sawhney, Kawal [Diamond Light Source Ltd., Harwell Science and Innovation Campus, Didcot, Oxfordshire OX11 0DE (United Kingdom)

    2016-05-15

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  16. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    International Nuclear Information System (INIS)

    Alcock, Simon G.; Nistea, Ioana; Sawhney, Kawal

    2016-01-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  17. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad.

    Science.gov (United States)

    Alcock, Simon G; Nistea, Ioana; Sawhney, Kawal

    2016-05-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM's autocollimator adds into the overall measured value of the mirror's slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  18. Laser metrology applied to the nuclear maintenance; Metrologia laser aplicada al mantenimiento nuclear

    Energy Technology Data Exchange (ETDEWEB)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-07-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  19. Quantifying uncertainty contributions for fibre optic power meter calibrations

    CSIR Research Space (South Africa)

    Nel, M

    2009-09-01

    Full Text Available Contributions For Fibre Optic Power Meter Calibrations Speaker / Author: M. Nel* Co-author: B. Theron** *National Metrology Institute of South Africa Private Bag X34, Lynnwood Ridge, Pretoria, 0040, South Africa e-mail: MNel@nmisa.org Phone: 012 841...-tight” situation discussed above should therefore not be interpreted as gross “looseness” of the connection. It is possible that the connector-tightening effect contains a small contribution accounted for as part of the overall repeatability of the optical...

  20. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  1. Estudio sobre la metrología de la ceca romana de Augusta Emerita

    Directory of Open Access Journals (Sweden)

    Cebrián Sánchez, Miguel Ángel

    2006-12-01

    Full Text Available Metrology analysis of the Roman currency in Augusta Emerita has allowed to distinguish two different metrological patterns. The former is a Roman imperial; the latter is a Hispanic one, this being used until the end of the Augustan reign. From B.C. 2 on, and throughout the Tiberian reign, coinage is only carried out following the imperial pattern. This happens at a time of high coinage production in Augusta Emerita, which might probably explain the city’s urban development and embellishment heyday.Se estudia la metrología en la ceca romana –imperial y provincial- de Augusta Emerita. Dividimos el trabajo metrológico en dos grandes apartados, el primero analiza los pesos de las doce emisiones de la Mérida romana y el segundo pretende hacer una comparación con las otras cecas hispanas que, por su emisiones, están más próximas a las emeritenses. A esos dos apartados se añade una pequeña sección dedicada a la metalografía. A través de todo ello se demuestra que hubo dos sistemas metrológicos conviviendo, el republicano y el augústeo, emitiendose en Emerita y que el periodo de mayor auge de las emisiones incluye la etapa final del reinado de Augusto, post 2 a.C., y todo el reinado de Tiberio, lo que podría muy bien señalar el momento de apogeo y embellecimiento de la ciudad.

  2. Advanced Metrology for Characterization of Magnetic Tunnel Junctions

    DEFF Research Database (Denmark)

    Kjær, Daniel

    -plane tunneling (CIPT) for characterization of magnetic tunnel junctions (MTJs), which constitutes the key component not only in MRAM but also the read-heads of modern hard disk drives. MTJs are described by their tunnel magnetoresistance (TMR), which is the relative difference of the resistance area products (RA...... of this project has been to provide cheaper, faster and more precise metrology for MTJs. This goal has been achieved in part by the demonstration of a static field CIPT method, which allows us to reduce the measurement time by a factor of 5, by measuring only RA thus excluding TMR. This enhancement is obtained...

  3. Ultrabroadband optical chirp linearization for precision metrology applications.

    Science.gov (United States)

    Roos, Peter A; Reibel, Randy R; Berg, Trenton; Kaylor, Brant; Barber, Zeb W; Babbitt, Wm Randall

    2009-12-01

    We demonstrate precise linearization of ultrabroadband laser frequency chirps via a fiber-based self-heterodyne technique to enable extremely high-resolution, frequency-modulated cw laser-radar (LADAR) and a wide range of other metrology applications. Our frequency chirps cover bandwidths up to nearly 5 THz with frequency errors as low as 170 kHz, relative to linearity. We show that this performance enables 31-mum transform-limited LADAR range resolution (FWHM) and 86 nm range precisions over a 1.5 m range baseline. Much longer range baselines are possible but are limited by atmospheric turbulence and fiber dispersion.

  4. Industrial, agricultural, and medical applications of radiation metrology

    International Nuclear Information System (INIS)

    Hubbell, J.H.

    1987-01-01

    Photon and particle radiations (gamma rays, X-rays, bremsstrahlung, electrons and other charged particles, neutrons) from radioactive isotopes, X-ray tubes, and accelerators are now widely used in gauging, production control, and other monitoring and metrology devices where avoidance of mechanical contact is desirable. The general principles of radiation gauges, which rely on detection of radiation transmitted by the sample, or on detection of scattered or other secondary radiations produced in the sample, are discussed. Examples of such devices currently used in industrial, agricultural, and medical situations are presented, and some anticipated developments are mentioned. (author)

  5. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  6. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    Science.gov (United States)

    Fisher, William P., Jr.; Stenner, A. Jackson

    2013-09-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice.

  7. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    International Nuclear Information System (INIS)

    Fisher, William P Jr; Stenner, A Jackson

    2013-01-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice

  8. Machine learning and predictive data analytics enabling metrology and process control in IC fabrication

    Science.gov (United States)

    Rana, Narender; Zhang, Yunlin; Wall, Donald; Dirahoui, Bachir; Bailey, Todd C.

    2015-03-01

    Integrate circuit (IC) technology is going through multiple changes in terms of patterning techniques (multiple patterning, EUV and DSA), device architectures (FinFET, nanowire, graphene) and patterning scale (few nanometers). These changes require tight controls on processes and measurements to achieve the required device performance, and challenge the metrology and process control in terms of capability and quality. Multivariate data with complex nonlinear trends and correlations generally cannot be described well by mathematical or parametric models but can be relatively easily learned by computing machines and used to predict or extrapolate. This paper introduces the predictive metrology approach which has been applied to three different applications. Machine learning and predictive analytics have been leveraged to accurately predict dimensions of EUV resist patterns down to 18 nm half pitch leveraging resist shrinkage patterns. These patterns could not be directly and accurately measured due to metrology tool limitations. Machine learning has also been applied to predict the electrical performance early in the process pipeline for deep trench capacitance and metal line resistance. As the wafer goes through various processes its associated cost multiplies. It may take days to weeks to get the electrical performance readout. Predicting the electrical performance early on can be very valuable in enabling timely actionable decision such as rework, scrap, feedforward, feedback predicted information or information derived from prediction to improve or monitor processes. This paper provides a general overview of machine learning and advanced analytics application in the advanced semiconductor development and manufacturing.

  9. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    Science.gov (United States)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  10. Contribution of the SLDC to the metrology of the ionizing radiations dosimetry in Mexico

    International Nuclear Information System (INIS)

    Alvarez R, J. T.

    2010-01-01

    The Secondary Laboratory of Dosimetric Calibration (SLDC), assigned to the Metrology Department of Ionizing Radiations of the ININ had its beginnings in the eighties, with the purpose of having a specialized area to develop and to establish measure patterns of the dosimetric magnitudes and units. In the year 2000 the National Center of Metrology of the country, delegated its functions to the SLDC, as regards to develop and to maintain the national patterns in the area of ionizing radiations. In this chapter a brief review is presented on the magnitudes and units used in dosimetry and and absorbed dose, as well as some of the activities of dosimetric calibration that have been made by part of the SLDC to the radiotherapy centers in Mexico and some applications to the nuclear power plant of Laguna Verde. (Author)

  11. Implementation of a metrology national network for radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, J.A. dos; Lopes, R.T.; Iwahara, A.; Tauhata, L.; Nicoli, I.G.; Dias, C.M.

    2003-01-01

    The Brazilian Laboratory for Metrology of Ionizing Radiation (LNMRI), of the Radioprotection and Dosimetry Institute, owned by the National Commission of Nuclear Energy (IRD/CNEN-RJ) has conducting since 1998, a comparison program for measurements of radiopharmaceuticals activities applied to patients at Nuclear Medicine sector aiming to the assessment the quality of those measurements. In the Rio de Janeiro state this program is successfully performed existing however the necessity to implement such program all over the country. This problem is being solved through the implementation of a reference laboratories network at several points in the brazilian territory. For the establishment and good working of the network the following factors must be observed: the radionuclide calibrators at the reference laboratories must be connected to the LNMRI; the operators must be trained by the staff or the LNMRI, and the quality guarantee must be assured through a comparison program. Presently, the network point placed in Brasilia is running and covering all the center-west region. The results obtained at this region show that the implementation of the metrology network is viable, important and achievable

  12. Metrology challenges for high-rate nanomanufacturing of polymer structures

    Science.gov (United States)

    Mead, Joey; Barry, Carol; Busnaina, Ahmed; Isaacs, Jacqueline

    2012-10-01

    The transfer of nanoscience accomplishments into commercial products is hindered by the lack of understanding of barriers to nanoscale manufacturing. We have developed a number of nanomanufacturing processes that leverage available high-rate plastics fabrication technologies. These processes include directed assembly of a variety of nanoelements, such as nanoparticles and nanotubes, which are then transferred onto a polymer substrate for the fabrication of conformal/flexible electronic materials, among other applications. These assembly processes utilize both electric fields and/or chemical functionalization. Conducting polymers and carbon nanotubes have been successfully transferred to a polymer substrate in times less than 5 minutes, which is commercially relevant and can be utilized in a continuous (reel to reel/roll to roll) process. Other processes include continuous high volume mixing of nanoelements (CNTs, etc) into polymers, multi-layer extrusion and 3D injection molding of polymer structures. These nanomanufacturing processes can be used for wide range of applications, including EMI shielding, flexible electronics, structural materials, and novel sensors (specifically for chem/bio detection). Current techniques to characterize the quality and efficacy of the processes are quite slow. Moreover, the instrumentation and metrology needs for these manufacturing processes are varied and challenging. Novel, rapid, in-line metrology to enable the commercialization of these processes is critically needed. This talk will explore the necessary measurement needs for polymer based nanomanufacturing processes for both step and continuous (reel to reel/roll to roll) processes.

  13. Adhesive Bonding for Optical Metrology Systems in Space Applications

    International Nuclear Information System (INIS)

    Gohlke, Martin; Schuldt, Thilo; Braxmaier, Claus; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis

    2015-01-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10 -15 range for longer integration times. The EM setup was thermally cycled and vibration tested. (paper)

  14. Plant equipment services with laser metrology

    International Nuclear Information System (INIS)

    Hayes, J.H.; Kreitman, P.J.

    1995-01-01

    A new industrial metrology process is now being applied to support PWR Nuclear Plant Steam Generator Replacement Projects. The method uses laser tracking interferometry to perform as built surveys of existing and replacement plant equipment. This method provides precision data with a minimum of setup when compared to alternative methods available. In addition there is no post processing required to ascertain validity. The data is obtained quickly, processed in real time and displayed during the survey in the desired coordinate system. These capabilities make this method of industrial measure ideal for various data acquisition needs throughout the power industry, from internal/external equipment templating to area mapping. Laser tracking interferometry is an improvement on the present use of optical instruments and surveying technique. In order to describe the laser tracking interferometry measurement process, previous methods of templating and surveying are first reviewed

  15. Running CMS remote analysis builder jobs on advanced resource connector middleware

    International Nuclear Information System (INIS)

    Edelmann, E; Happonen, K; Koivumäki, J; Lindén, T; Välimaa, J

    2011-01-01

    CMS user analysis jobs are distributed over the grid with the CMS Remote Analysis Builder application (CRAB). According to the CMS computing model the applications should run transparently on the different grid flavours in use. In CRAB this is handled with different plugins that are able to submit to different grids. Recently a CRAB plugin for submitting to the Advanced Resource Connector (ARC) middleware has been developed. The CRAB ARC plugin enables simple and fast job submission with full job status information available. CRAB can be used with a server which manages and monitors the grid jobs on behalf of the user. In the presentation we will report on the CRAB ARC plugin and on the status of integrating it with the CRAB server and compare this with using the gLite ARC interoperability method for job submission.

  16. The need for LWR metrology standardization: the imec roughness protocol

    Science.gov (United States)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  17. A three-fingered, touch-sensitive, metrological micro-robotic assembly tool

    International Nuclear Information System (INIS)

    Torralba, Marta; Hastings, D J; Thousand, Jeffery D; Nowakowski, Bartosz K; Smith, Stuart T

    2015-01-01

    This article describes a metrological, robotic hand to manipulate and measure micrometer size objects. The presented work demonstrates not only assembly operations, but also positioning control and metrology capability. Sample motion is achieved by a commercial positioning stage, which provides XYZ-displacements for assembly of components. A designed and manufactured gripper tool that incorporates 21 degrees-of-freedom for independent alignment of actuators, sensors, and the three fingers of this hand is presented. These fingers can be opened and closed by piezoelectric actuators through levered flexures providing an 80 μm displacement range measured with calibrated opto-interrupter based, knife-edge sensors. The operational ends of the fingers comprise of a quartz tuning fork with a 7 μm diameter 3.2 mm long carbon fiber extending from the end of one tuning fork tine. Finger-tip force-sensing is achieved by the monitoring of individual finger resonances typically at around 32 kHz. Experimental results included are focused on probe performance analysis. Pick and place operation using the three fingers is demonstrated with all fingers being continuously oscillated, a capability not possible with the previous single or two finger tweezer type designs. By monitoring electrical feedback during pick and place operations, changes in the response of the three probes demonstrate the ability to identify both grab and release operations. Component metrology has been assessed by contacting different micro-spheres of diameters 50(±7.5) μm, 135(±20) μm, and 140(±20) μm. These were measured by the micro robot to have diameters of 67, 133, and 126 μm respectively with corresponding deviations of 4.2, 4.9, and 4.3 μm. This deviation in the measured results was primarily due to the manual, joystick-based, contacting of the fingers, difficulties associated with centering the components to the axis of the hand, and lower contact sensitivity for the smallest sphere

  18. Development of a free software for laboratory of metrology

    International Nuclear Information System (INIS)

    Silveira, Renata R. da; Benevides, Clayton A.

    2014-01-01

    The Centro Regional de Ciencias Nucleares do Nordeste (CRCN-NE) has a Metrology Laboratory to realize radioactive assays and calibrations in X and gamma radiation. This job, realized before in a manual way, had only paper recording and a hard-working data recovery. The objective of this job was to develop an application with free software to manage the laboratory activities, as service recording, rastreability control and environmental conditions monitoring, beyond automate the certificates and reports. As result, we have obtained the optimization of the routine and the management of the laboratory. (author)

  19. Deep sub-wavelength metrology for advanced defect classification

    Science.gov (United States)

    van der Walle, P.; Kramer, E.; van der Donck, J. C. J.; Mulckhuyse, W.; Nijsten, L.; Bernal Arango, F. A.; de Jong, A.; van Zeijl, E.; Spruit, H. E. T.; van den Berg, J. H.; Nanda, G.; van Langen-Suurling, A. K.; Alkemade, P. F. A.; Pereira, S. F.; Maas, D. J.

    2017-06-01

    Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.

  20. Radionuclide metrology research for nuclear site decommissioning

    Science.gov (United States)

    Judge, S. M.; Regan, P. H.

    2017-11-01

    The safe and cost-effective decommissioning of legacy nuclear sites relies on accurate measurement of the radioactivity content of the waste materials, so that the waste can be assigned to the most appropriate disposal route. Such measurements are a new challenge for the science of radionuclide metrology which was established largely to support routine measurements on operating nuclear sites and other applications such as nuclear medicine. In this paper, we provide a brief summary of the international measurement system that is established to enable nuclear site operators to demonstrate that measurements are accurate, independent and fit for purpose, and highlight some of the projects that are underway to adapt the measurement system to meet the changing demands from the industry.

  1. Ionising radiation metrology for the metallurgical industry

    Directory of Open Access Journals (Sweden)

    García-Toraño E.

    2014-01-01

    Full Text Available Every year millions tons of steel are produced worldwide from recycled scrap loads. Although the detection systems in the steelworks prevent most orphan radioactive sources from entering the furnace, there is still the possibility of accidentally melting a radioactive source. The MetroMetal project, carried out in the frame of the European Metrology Research Programme (EMRP, addresses this problem by studying the existing measurement systems, developing sets of reference sources in various matrices (cast steel, slag, fume dust and proposing new detection instruments. This paper presents the key lines of the project and describes the preparation of radioactive sources as well as the intercomparison exercises used to test the calibration and correction methods proposed within the project.

  2. Accreditation experience of radioisotope metrology laboratory of Argentina

    Energy Technology Data Exchange (ETDEWEB)

    Iglicki, A. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)]. E-mail: iglicki@cae.cnea.gov.ar; Mila, M.I. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)]. E-mail: mila@cae.cnea.gov.ar; Furnari, J.C. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Arenillas, P. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Cerutti, G. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Carballido, M. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Guillen, V. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Araya, X. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Bianchini, R. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)

    2006-10-15

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the ({alpha}/{beta})-{gamma} coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved.

  3. Accreditation experience of radioisotope metrology laboratory of Argentina

    International Nuclear Information System (INIS)

    Iglicki, A.; Mila, M.I.; Furnari, J.C.; Arenillas, P.; Cerutti, G.; Carballido, M.; Guillen, V.; Araya, X.; Bianchini, R.

    2006-01-01

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the (α/β)-γ coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved

  4. LISA Pathfinder: Optical Metrology System monitoring during operations

    Science.gov (United States)

    Audley, Heather E.; LISA Pathfinder Collaboration

    2017-05-01

    The LISA Pathfinder (LPF) mission has demonstrated excellent performance. In addition to having surpassed the main mission goals, data has been collected from the various subsystems throughout the duration of the mission. This data is a valuable resource, both for a more complete understanding of the LPF satellite and the differential acceleration measurements, as well as for the design of the future Laser Interferometer Space Antenna (LISA) mission. Initial analysis of the Optical Metrology System (OMS) data was performed as part of daily system monitoring, and more in-depth analyses are ongoing. This contribution presents an overview of these activities along with an introduction to the OMS.

  5. Un proyecto europeo en metrología de altas temperaturas para aplicaciones industriales

    Directory of Open Access Journals (Sweden)

    del Campo, D.

    2013-08-01

    Full Text Available The measurement of temperatures above 1000 ºC is both difficult and yet vital for the success of a wide range of industrial processes; glass and ceramic manufacturing (1100 ºC to 2000 ºC or refractory metals production (2500+ ºC are clear examples. Many of these industries require improved process efficiency/control, because of growing environmental concerns (emissions/”zero waste” and competition from outside the EU. One of the keys to making advances to these drivers is improving process control by improved high temperature measurement. In the frame of the European Metrology Research Program (EMRP, a project named “High temperature metrology for industrial applications (HiTeMS with the overall objective of developing a suite of methods and techniques for improving the measurement of high temperatures in industry has been running since September 2011. This paper gives an overview of the main objectives of the project and the technical activities that are being performed.La medida de temperatura por encima de 1000 ºC es tanto complicada como vital para el éxito de una gran variedad de procesos industriales; la producción de cerámica y vidrio (de 1100 ºC a 2000 ºC o de materiales refractarios (temperaturas por encima de 2500 ºC, son claros ejemplos. Muchas de estas industrias requieren una mejora de la eficiencia y del control de sus procesos debido a la creciente preocupación por el medio ambiente (“cero emisiones” y la competencia de países fuera de la Unión Europea. Una de las claves para llevar a cabo avances en este sentido es mediante la mejora del control de los procesos mejorando la medida de alta temperatura. Dentro del Programa Europeo de Investigación en Metrología (EMRP en sus siglas en inglés se está desarrollando, desde septiembre de 2011, un proyecto llamado “Metrología de altas temperaturas para aplicaciones industriales” (HiTeMs, con el objetivo fundamental de desarrollar una serie de m

  6. Complex metrology on 3D structures using multi-channel OCD

    Science.gov (United States)

    Kagalwala, Taher; Mahendrakar, Sridhar; Vaid, Alok; Isbester, Paul K.; Cepler, Aron; Kang, Charles; Yellai, Naren; Sendelbach, Matthew; Ko, Mihael; Ilgayev, Ovadia; Katz, Yinon; Tamam, Lilach; Osherov, Ilya

    2017-03-01

    Device scaling has not only driven the use of measurements on more complex structures, in terms of geometry, materials, and tighter ground rules, but also the need to move away from non-patterned measurement sites to patterned ones. This is especially of concern for very thin film layers that have a high thickness dependence on structure geometry or wafer pattern factor. Although 2-dimensional (2D) sites are often found to be sufficient for process monitoring and control of very thin films, sometimes 3D sites are required to further simulate structures within the device. The measurement of film thicknesses only a few atoms thick on complex 3D sites, however, are very challenging. Apart from measuring thin films on 3D sites, there is also a critical need to measure parameters on 3D sites, which are weak and less sensitive for OCD (Optical Critical Dimension) metrology, with high accuracy and precision. Thus, state-ofthe-art methods are needed to address such metrology challenges. This work introduces the concept of Enhanced OCD which uses various methods to improve the sensitivity and reduce correlations for weak parameters in a complex measurement. This work also describes how more channels of information, when used correctly, can improve the precision and accuracy of weak, non-sensitive or complex parameters of interest.

  7. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    Czech Academy of Sciences Publication Activity Database

    Řeřucha, Šimon; Yacoot, A.; Pham, Minh Tuan; Čížek, Martin; Hucl, Václav; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 28, č. 4 (2017), s. 1-11, č. článku 045204. ISSN 0957-0233 R&D Projects: GA ČR GB14-36681G; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01; GA TA ČR TE01020233 Institutional support: RVO:68081731 Keywords : optical metrology * DBR laser diode * frequency stabilization * laser interferometry * dimensional metrology * iodine stabilization * displacement measurement Subject RIV: BH - Optics, Masers, Lasers OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.585, year: 2016

  8. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    OpenAIRE

    Berthold, J.; Imkamp, D.

    2013-01-01

    "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma). The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer...

  9. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    International Nuclear Information System (INIS)

    Maas, D. J.; Herfst, R.; Veldhoven, E. van; Fliervoet, T.; Meessen, J.; Vaenkatesan, V.; Sadeghian, H.

    2015-01-01

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays

  10. Metrology and Proportion in the Ecclesiastical Architecture of Medieval Ireland

    OpenAIRE

    Behan, Avril; Moss, Rachel

    2008-01-01

    The aim of this paper is to examine the extent to which detailed empirical analysis of the metrology and proportional systems used in the design of Irish ecclesiastical architecture can be analysed to provide historical information not otherwise available. Focussing on a relatively limited sample of window tracery designs as a case study, it will first set out to establish what, if any, systems were in use, and then what light these might shed on the background, training and work practices of...

  11. Laser metrology in fluid mechanics granulometry, temperature and concentration measurements

    CERN Document Server

    Boutier, Alain

    2013-01-01

    In fluid mechanics, non-intrusive measurements are fundamental in order to improve knowledge of the behavior and main physical phenomena of flows in order to further validate codes.The principles and characteristics of the different techniques available in laser metrology are described in detail in this book.Velocity, temperature and concentration measurements by spectroscopic techniques based on light scattered by molecules are achieved by different techniques: laser-induced fluorescence, coherent anti-Stokes Raman scattering using lasers and parametric sources, and absorption sp

  12. Performance-based gear metrology kinematic, transmission, error computation and diagnosis

    CERN Document Server

    Mark, William D

    2012-01-01

    A mathematically rigorous explanation of how manufacturing deviations and damage on the working surfaces of gear teeth cause transmission-error contributions to vibration excitations Some gear-tooth working-surface manufacturing deviations of significant amplitude cause negligible vibration excitation and noise, yet others of minuscule amplitude are a source of significant vibration excitation and noise.   Presently available computer-numerically-controlled dedicated gear metrology equipment can measure such error patterns on a gear in a few hours in sufficient detail to enable

  13. Dcumento Rechazo MetaBiblioteca

    OpenAIRE

    prueba1, prueba; Informe actividades realizadas sobre OJS UPTC

    2016-01-01

    Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Rechazo MetaBiblioteca Dcumento Recha...

  14. The blind faith deep water horizontal pipeline tie-in project

    Energy Technology Data Exchange (ETDEWEB)

    Charalambides, John Nicos [Oceaneering International, Inc., Houston, TX (United States)

    2009-12-19

    This presentation will concentrate on the design challenges, experience and learning from the SIT and offshore installation of two deepwater pipeline tie-ins using first-of-its-kind subsea technology and installation methodologies on the 'Blind Faith' project. The Blind Faith Subsea Tie-Ins join the Blind Faith oil and gas pipelines with the Canyon Chief gas pipeline and the Mountaineer oil pipeline in 5100 feet of water. Both of the Blind Faith oil and gas pipelines are terminated with conventional PLEMs providing a horizontal connector for the tie-in and a vertical connector for a future tie-in connection point. The connectors are ROV operable/installable clamp style connectors. The method of joining to the Canyon Chief oil and gas pipelines and the type of jumper employed are unique to the tie-in. An ROV operable / installable mechanical pipe end connector connects the bare ends of the Canyon Chief and Mountaineer pipelines. The mechanical pipe end connector is fitted with an integral clamp connector hub and rests on an ROV installed mud mat. After installation of the mechanical connector, metrology was performed to create a neutral state horizontal jumper for joining the mechanical connector hub and the Blind Faith PLEM. The neutral state horizontal jumper is a compliant 'Z-Bend' design. During installation the jumper was elastically pre-deformed using a bowstring system. Pre-deformation of the jumper provided installation clearances and facilitated the neutral stress state after installation. Once landed the jumper bowstring was relaxed, allowing the jumper to expand to fit between the hubs. After expansion and make-up, the clamp connectors were tightened via an ROV operated hydraulic torque wrench. A seal test verified the integrity of the connections. After installation the jumper remains in a minimal residual stress state atypical of most horizontal jumpers. The horizontal jumper was chosen over the vertical jumper because it provides a

  15. Manufacturing and metrology for IR conformal windows and domes

    Science.gov (United States)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  16. Proposal to negotiate an amendment to an existing blanket purchase contract for the supply of Burndy connectors for the LHC project and LHC experiments

    CERN Document Server

    2006-01-01

    This document concerns the proposal to negotiate an amendment to an existing blanket purchase contract for the supply of Burndy connectors for the LHC project and LHC experiments. For the reasons explained in this document, the Finance Committee is invited to agree to the negotiation of an amendment to the blanket purchase contract for the supply of Burndy connectors for the LHC project and LHC experiments with the company SOURIAU (CH), for the next three years for up to 600 000 euros (954 600 Swiss francs), subject to revision for inflation from January 2007, bringing the total amount of the blanket purchase contract to a maximum amount of 1 200 000 euros (1 909 200 Swiss francs), subject to revision for inflation from January 2007. The amounts in Swiss francs have been calculated using the present rate of exchange. 2006/60/5/e

  17. Evaluation of the role of Care Sport Connectors in connecting primary care, sport, and physical activity, and residents' participation in the Netherlands

    NARCIS (Netherlands)

    Smit, E.; Leenaars, K.E.F.; Wagemakers, M.A.E.; Molleman, G.R.M.; Koelen, M.A.; Velden, Van Der J.

    2015-01-01

    Background: The number of people with one or more chronic diseases is increasing, but this trend could be reduced by promoting physical activity. Therefore, in 2012, the Dutch Ministry of Health, Welfare, and Sport introduced Care Sport Connectors (CSCs), to whom a broker role has been ascribed.

  18. Metrology-based control and profitability in the semiconductor industry

    Science.gov (United States)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  19. A focal plane metrology system and PSF centroiding experiment

    Science.gov (United States)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  20. Metrological approach to quantitative analysis of clinical samples by LA-ICP-MS: A critical review of recent studies.

    Science.gov (United States)

    Sajnóg, Adam; Hanć, Anetta; Barałkiewicz, Danuta

    2018-05-15

    Analysis of clinical specimens by imaging techniques allows to determine the content and distribution of trace elements on the surface of the examined sample. In order to obtain reliable results, the developed procedure should be based not only on the properly prepared sample and performed calibration. It is also necessary to carry out all phases of the procedure in accordance with the principles of chemical metrology whose main pillars are the use of validated analytical methods, establishing the traceability of the measurement results and the estimation of the uncertainty. This review paper discusses aspects related to sampling, preparation and analysis of clinical samples by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) with emphasis on metrological aspects, i.e. selected validation parameters of the analytical method, the traceability of the measurement result and the uncertainty of the result. This work promotes the introduction of metrology principles for chemical measurement with emphasis to the LA-ICP-MS which is the comparative method that requires studious approach to the development of the analytical procedure in order to acquire reliable quantitative results. Copyright © 2018 Elsevier B.V. All rights reserved.