WorldWideScience

Sample records for metrology institutes nmis

  1. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  2. International comparison of resistance thermometers between NMIs from Spain, Mexico and Andean countries

    Science.gov (United States)

    del Campo, D.; Ruiz, V. C.; Méndez-Lango, E.; Córdova, L.; von Borries, E.; Sánchez, C. A.; Arévalo, A.; Aguilera, B.; Guillén, E.; Cabrera, C.; Quintana, L.

    2013-09-01

    An international comparison on semi-standard Platinum Resistance Thermometers (PRTs) among the National Metrology Institutes (NMIs) of Spain, Mexico and the Andean Countries (Bolivia, Colombia, Ecuador, Peru and Venezuela) began in 2004 and was successfully completed in 2005. Two PRTs were circulated (hand carried) and compared from -40 °C up to 250 °C. The Centro Español de Metrología (Spanish NMI), CEM, was the pilot laboratory and the Centro Nacional de Metrología (Mexican NMI), CENAM, was the co-pilot laboratory. This paper shows the details of the comparison and the final results as they were presented in the approved final report of the comparison in September of 2005.

  3. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  4. Computer Generated Inputs for NMIS Processor Verification

    International Nuclear Information System (INIS)

    J. A. Mullens; J. E. Breeding; J. A. McEvers; R. W. Wysor; L. G. Chiang; J. R. Lenarduzzi; J. T. Mihalczo; J. K. Mattingly

    2001-01-01

    Proper operation of the Nuclear Identification Materials System (NMIS) processor can be verified using computer-generated inputs [BIST (Built-In-Self-Test)] at the digital inputs. Preselected sequences of input pulses to all channels with known correlation functions are compared to the output of the processor. These types of verifications have been utilized in NMIS type correlation processors at the Oak Ridge National Laboratory since 1984. The use of this test confirmed a malfunction in a NMIS processor at the All-Russian Scientific Research Institute of Experimental Physics (VNIIEF) in 1998. The NMIS processor boards were returned to the U.S. for repair and subsequently used in NMIS passive and active measurements with Pu at VNIIEF in 1999

  5. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  6. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  7. Primary standards for measuring flow rates from 100 nl/min to 1 ml/min - gravimetric principle.

    Science.gov (United States)

    Bissig, Hugo; Petter, Harm Tido; Lucas, Peter; Batista, Elsa; Filipe, Eduarda; Almeida, Nelson; Ribeiro, Luis Filipe; Gala, João; Martins, Rui; Savanier, Benoit; Ogheard, Florestan; Niemann, Anders Koustrup; Lötters, Joost; Sparreboom, Wouter

    2015-08-01

    Microflow and nanoflow rate calibrations are important in several applications such as liquid chromatography, (scaled-down) process technology, and special health-care applications. However, traceability in the microflow and nanoflow range does not go below 16 μl/min in Europe. Furthermore, the European metrology organization EURAMET did not yet validate this traceability by means of an intercomparison between different National Metrology Institutes (NMIs). The NMIs METAS, Centre Technique des Industries Aérauliques et Thermiques, IPQ, Danish Technological Institute, and VSL have therefore developed and validated primary standards to cover the flow rate range from 0.1 μl/min to at least 1 ml/min. In this article, we describe the different designs and methods of the primary standards of the gravimetric principle and the results obtained at the intercomparison for the upper flow rate range for the various NMIs and Bronkhorst High-Tech, the manufacturer of the transfer standards used.

  8. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    CSIR Research Space (South Africa)

    Becerra, LO

    2006-09-01

    Full Text Available ), 1, Rue Gaston Boissier, 75015 Paris, France 13 Centro Espa?nol de Metrologia (CEM), c/ del Alfar, 2 ? Apartado 37, 28760 Tres Cantos (Madrid), Spain 14 National Metrology Institute of Japan, National Institute of Advanced Industrial Science...

  9. Comparison of the performance of the next generation of optical interferometers

    Science.gov (United States)

    Pisani, Marco; Yacoot, Andrew; Balling, Petr; Bancone, Nicola; Birlikseven, Cengiz; Çelik, Mehmet; Flügge, Jens; Hamid, Ramiz; Köchert, Paul; Kren, Petr; Kuetgens, Ulrich; Lassila, Antti; Bartolo Picotto, Gian; Şahin, Ersoy; Seppä, Jeremias; Tedaldi, Matthew; Weichert, Christoph

    2012-08-01

    Six European National Measurement Institutes (NMIs) have joined forces within the European Metrology Research Programme funded project NANOTRACE to develop the next generation of optical interferometers having a target uncertainty of 10 pm. These are needed for NMIs to provide improved traceable dimensional metrology that can be disseminated to the wider nanotechnology community, thereby supporting the growth in nanotechnology. Several approaches were followed in order to develop the interferometers. This paper briefly describes the different interferometers developed by the various partners and presents the results of a comparison of performance of the optical interferometers using an x-ray interferometer to generate traceable reference displacements.

  10. International comparison of 50/60 Hz power (1996-1999)

    CSIR Research Space (South Africa)

    Oldham, N

    2001-04-01

    Full Text Available An international comparison of 50/60 Hz power is described. The travelling standard was an electronic power transducer that was tested at 120 V, 5 A, 53 Hz, at five power factors (1.0, 0.5, and 0.0). Fifteen National Metrology Institutes (NMIs) from...

  11. Methods for determining the effect of flatness deviations, eccentricity and pyramidal errors on angle measurements

    CSIR Research Space (South Africa)

    Kruger, OA

    2000-01-01

    Full Text Available on face-to-face angle measurements. The results show that flatness and eccentricity deviations have less effect on angle measurements than do pyramidal errors. 1. Introduction Polygons and angle blocks are the most important transfer standards in the field... of angle metrology. Polygons are used by national metrology institutes (NMIs) as transfer standards to industry, where they are used in conjunction with autocollimators to calibrate index tables, rotary tables and other forms of angle- measuring equipment...

  12. A new NMIS characteristic signature acquisition method based on time-domain fission correlation spectrum

    International Nuclear Information System (INIS)

    Wei Biao; Feng Peng; Yang Fan; Ren Yong

    2014-01-01

    To deal with the disadvantages of the homogeneous signature of the nuclear material identification system (NMIS) and limited methods to extract the characteristic parameters of the nuclear materials, an enhanced method using the combination of the Time-of-Flight (TOF) and the Pulse Shape Discrimination (PSD) was introduced into the traditional characteristic parameters extraction and recognition system of the NMIS. With the help of the PSD, the γ signal and the neutron signal can be discriminated. Further based on the differences of the neutron-γ flight time of the detectors in various positions, a new time-domain signature reflecting the position information of unknown nuclear material was investigated. The simulation result showed that the algorithm is feasible and helpful to identify the relative position of unknown nuclear material. (authors)

  13. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  14. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  15. Time and Frequency Transfer Activities at NIST

    Science.gov (United States)

    2008-12-01

    differences. The graph shows data from MJD 54466 to MJD 54763 (January 1, 2008 to October 24, 2008). II.E. The Sistema Interamericano de...Metrologia (SIM) Time Network The Sistema Interamericano de Metrologia (SIM) consists of national metrology institutes (NMIs) located in the 34...designed to mitigate multipath signals. All SIM systems are connected to the Internet and upload their measurement results to Internet Web servers

  16. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  17. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  18. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  19. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  20. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  1. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  2. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  3. Comparison of measurement capability with 100 μmol/mol of carbon monoxide in nitrogen

    Science.gov (United States)

    Lee, Jeongsoon; Lee, JinBok; Lim, Jeongsik; Tarhan, Tanıl; Liu, Hsin-Wang; Aggarwal, Shankar G.

    2018-01-01

    Carbon monoxide (CO) in nitrogen was one of the first types of gas mixtures used in an international key comparison. The comparison dates back to 1998 (CCQMK1a) [1]. Since then, many National Metrology Institutes (NMIs) have developed calibration and measurement capabilities (CMCs) for these mixtures. Recently, NMIs in the APMP region have actively participated in international comparisons to provide domestic services. At the 2013 APMP meeting, several NMIs requested a CO comparison to establish CO/N2 certification for industrial applications, which was to be coordinated by KRISS. Consequently, this comparison provides an opportunity for APMP regional NMIs to develop CO/N2 CMC claims. The goal of this supplementary comparison is to support CMC claim for carbon monoxide in the N2 range of 50–2000 μmol/mol. An extended range may be supported as described in the GAWG strategy for comparisons and CMC claims. Main text To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by the CCQM, according to the provisions of the CIPM Mutual Recognition Arrangement (CIPM MRA).

  4. A Trial Intercomparison of Humidity Generators at Extremes of Range Using Relative Humidity Transmitters

    Science.gov (United States)

    Stevens, M.; Benyon, R.; Bell, S. A.; Vicente, T.

    2008-10-01

    In order to effectively implement the Mutual Recognition Arrangement (MRA) of the International Committee for Weights and Measures (CIPM), national metrology institutes (NMIs) are required to support their claims of calibration and measurement capability (CMC) with a quality system compliant with ISO/IEC 17025, and with suitable evidence of participation in key or supplementary comparisons. The CMC review process, both at regional and inter-regional levels, uses criteria that combine the provisions mentioned above, together with additional evidence demonstrating scientific and technical competence of the institutes. For dew-point temperatures, there are key comparisons in progress under the Consultative Committee for Thermometry (CCT) and under the European regional metrology organisation (EUROMET), together with information available on past regional supplementary comparisons. However, for relative humidity there are, to date, no such comparisons available to support CMC entries. This paper presents and discusses the results of a preliminary investigation of the use of relative humidity and temperature transmitters in order to determine their suitability for the intercomparison of standard humidity generators in support of CMC claims for the calibration of relative humidity instruments. The results of a recent bilateral comparison between 2 NMIs at the extremes of the range up to 98%rh at 70 °C, and down to 1%rh at -40 °C are reported. Specific precautions and recommendations on the use of the devices as transfer standards are presented.

  5. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  6. Traceable size determination of nanoparticles, a comparison among European metrology institutes

    International Nuclear Information System (INIS)

    Meli, Felix; Klein, Tobias; Buhr, Egbert; Frase, Carl Georg; Gleber, Gudrun; Krumrey, Michael; Duta, Alexandru; Duta, Steluta; Korpelainen, Virpi; Bellotti, Roberto; Picotto, Gian Bartolo; Boyd, Robert D; Cuenat, Alexandre

    2012-01-01

    Within the European iMERA-Plus project ‘Traceable Characterisation of Nanoparticles’ various particle measurement procedures were developed and finally a measurement comparison for particle size was carried out among seven laboratories across six national metrology institutes. Seven high quality particle samples made from three different materials and having nominal sizes in the range from 10 to 200 nm were used. The participants applied five fundamentally different measurement methods, atomic force microscopy, dynamic light scattering (DLS), small-angle x-ray scattering, scanning electron microscopy and scanning electron microscopy in transmission mode, and provided a total of 48 independent, traceable results. The comparison reference values were determined as weighted means based on the estimated measurement uncertainties of the participants. The comparison reference values have combined standard uncertainties smaller than 1.4 nm for particles with sizes up to 100 nm. All methods, except DLS, provided consistent results. (paper)

  7. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  8. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  9. Identification of Shielding Material Configurations Using NMIS Imaging

    International Nuclear Information System (INIS)

    Grogan, Brandon R.; Mihalczo, John T.; McConchie, Seth M.; Mullens, James Allen

    2011-01-01

    The Nuclear Materials Identification System (NMIS) uses fast neutron tomographic imaging to nonintrusively examine the interior structure of shielded objects. The pixel values in such images represent the attenuation coefficients of the time- and directionally-tagged fast neutrons from a deuterium-tritium (D T) neutron generator. The reconstruction techniques use either a filtered back projection or a maximum likelihood expectation maximization algorithm. As a first test of the capabilities of these reconstruction techniques to correctly identify individual parts inside of an object, fast neutron imaging was used to identify the regions of shielding surrounding a depleted uranium casting from a library of possible parts. The shielding consisted of multiple regions of common materials such as steel, lead, aluminum, and polyethylene. First, the full object was imaged, and then each of the individual parts was imaged. Several additional parts that were not present in the original object were also imaged to form a library. The individual parts were compared to the full object, and the correct ones were identified using three different methods. These methods included a visual match, an iterative fit of each part, and a mathematical test comparing the sum of squared errors. The successful results demonstrate an initial application of matching. This suggests that it should be possible to implement more sophisticated matching techniques using automated pixel-by-pixel comparison methods in the future.

  10. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  11. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  12. Final report on SIM.T-S2: Comparison of the calibration of 100 Ω platinum resistance thermometers

    Science.gov (United States)

    del Campo, D.; Chimenti Ruiz, V.; Méndez-Lango, E.; Córdova, L.; von Borries, E.; Sánchez, C. A.; Arévalo, A.; Aguilera, B.; Guillén, E.; Cabrera, C.; Quintana, L.

    2013-01-01

    An International Comparison on industrial platinum resistance thermometers (PRTs) among the National Metrology Institutes (NMIs) of Spain, Mexico and the Andean Countries (Bolivia, Colombia, Ecuador, Peru and Venezuela) began in 2004 and was successfully completed in 2005. Two PRTs were circulated (hand-carried) and compared from -40 °C up to 250 °C. The Centro Español de Metrología (Spanish NMI), CEM, was the pilot laboratory and the Centro Nacional de Metrología (Mexican NMI), CENAM, was the co-pilot laboratory. This report presents the results of this comparison and provides detailed information of the measurements performed by the participating laboratories. Main text. To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by SIM, according to the provisions of the CIPM Mutual Recognition Arrangement (CIPM MRA).

  13. BIPM comparison BIPM.RI(II)-K1.Eu-152 of activity measurements of the radionuclide 152Eu for the VNIIM (Russia), the LNE-LNHB (France) and the CNEA (Argentina), with linked results for the COOMET.RI(II)-K2.Eu-152 comparison

    International Nuclear Information System (INIS)

    Michotte, C.; Ratel, G.; Courte, S.; Kharitonov, I.A.; Zanevsky, A.V.; Terechtchenko, E.E.; Moune, M.; Aubineau-Laniece, I.; Bobin, C.; Arenillas, P.; Balpardo, C.

    2014-01-01

    Three new participants in the BIPM.RI(II)-K1.Eu-152 comparison have been added to the previous results and this has produced a revised value for the key comparison reference value (KCRV), calculated using the power-moderated weighted mean. A link has been made to the COOMET.RI(II)-K2.Eu-152 comparison held in 2010 through the VNIIM who participated in both comparisons. Three National Metrology Institutes (NMIs) used the K1 or K2 comparisons to update their degree of equivalence. The degrees of equivalence between each equivalent activity measured in the International Reference System (SIR) and the KCRV have been calculated and the results are given in the form of a table for four NMIs in the BIPM.RI(II)-K1.Eu-152 comparison, three participants in the COOMET.RI(II)-K2.Eu-152 comparison and the 18 other participants in the previous CCRI(II)-K2.Eu-152 comparison. A graphical presentation is also given. (authors)

  14. KEY COMPARISON: Final report on CCEM key comparison CCEM.RF-K10.CL (GT-RF/99-2) 'Power in 50 Ω coaxial lines, frequency: 50 MHz to 26 GHz' measurement techniques and results

    Science.gov (United States)

    Janik, Dieter; Inoue, T.; Michaud, A.

    2006-01-01

    This report summarizes the results and the measuring methods of an international key comparison between twelve national metrology institutes (NMIs) and is concerning the calibration factor of RF power sensors in the coaxial 3.5 mm line for frequencies up to 26 GHz. Two RF power travelling standards fitted with male PC 3.5 mm connectors were measured at seven frequencies. The following NMIs participated: NMIJ (Japan), NRC (Canada), NIST (USA), METAS (Switzerland), CSIR-NML (South Africa), NMIA (Australia), NPL (UK), SiQ (Slovenia), IEN (Italy), VNIIFTRI (Russian Federation), SPRING (Singapore) and PTB (Germany), as the pilot laboratory. Main text. To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by the CCEM, according to the provisions of the CIPM Mutual Recognition Arrangement (MRA).

  15. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  16. Establishment of a computer-controlled retroreflection measurement system at the National Metrology Institute of Turkey (UME)

    International Nuclear Information System (INIS)

    Samedov, Farhad; Celikel, Oguz; Bazkir, Ozcan

    2005-01-01

    In order to characterize photometric properties of retroreflectors, a fully automated retroreflector measurement system is designed in National Metrology Institute of Turkey (UME). The system is composed of a lighting projector, a goniometer, filter radiometers, 100 dB transimpedance amplifiers, and 24-bit resolution analog-digital converter card with a special software. The established system provides a new calibration capability to determine the luminous intensity and retroreflection coefficients of the retroreflective materials with the expanded uncertainties of 1.07% and 1.13% (k=2), respectively. The traceability in retroreflection measurements was linked to the detector-based photometric scale of UME

  17. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  18. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  19. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  20. Bilateral comparison between PTB and ENEA to check the performance of a commercial TDCR system for activity measurements

    International Nuclear Information System (INIS)

    Kossert, Karsten; Capogni, Marco; Nähle, Ole J.

    2014-01-01

    The only commercial TDCR counter from Hidex Oy (Finland), comprising three photomultiplier tubes, was tested at the two National Metrology Institutes (NMIs) PTB and ENEA. To this end, the two NMIs purchased a Hidex 300 SL TDCR counter (METRO version) each and carried out various tests at their laboratories. In addition, the two institutions agreed to organize a bilateral comparison in order to acquire information on the reproducibility of the results obtained with the counters. To achieve this, PTB prepared some 89 Sr liquid scintillation samples, which were first measured in various counters at PTB and then shipped to ENEA for comparative measurements. The aim of this paper is to summarize the findings on the counter characteristics and adjustments. In addition, the results of the bilateral comparison between PTB and ENEA are presented and the results from various commercial counters using the CIEMAT/NIST efficiency tracing and the TDCR method are discussed. - Highlights: • The TDCR counter from Hidex Oy was tested at PTB and ENEA. • The studies comprised linearity checks and investigation of adjustments. • A bilateral 89 Sr comparison was organized to compare two Hidex counters

  1. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  2. The New Kilogram Definition and its Implications for High-Precision Mass Tolerance Classes.

    Science.gov (United States)

    Abbott, Patrick J; Kubarych, Zeina J

    2013-01-01

    The SI unit of mass, the kilogram, is the only remaining artifact definition in the seven fundamental units of the SI system. It will be redefined in terms of the Planck constant as soon as certain experimental conditions, based on recommendations of the Consultative Committee for Mass and Related Quantities (CCM) are met. To better reflect reality, the redefinition will likely be accompanied by an increase in the uncertainties that National Metrology Institutes (NMIs) pass on to customers via artifact dissemination, which could have an impact on the reference standards that are used by secondary calibration laboratories if certain weight tolerances are adopted for use. This paper will compare the legal metrology requirements for precision mass calibration laboratories after the kilogram is redefined with the current capabilities based on the international prototype kilogram (IPK) realization of the kilogram.

  3. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  4. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  5. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  6. Report of the key comparison CCQM-K108 determination of arsenic species, total arsenic and cadmium in brown rice flour

    Science.gov (United States)

    Hioki, Akiharu; Narukawa, Tomohiro; Inagaki, Kazumi; Miyashita, Shinichi; Kotzeva, Boriana; Kakoulides, Elias; Sxoina, Vasiliki; Fung, W. H.; Choi, Y. Y.; Yau, H. P.; Tsoi, Y. T.; Lee, C. L.; Kong, M. F.; Shin, Richard; Juan, Wang; Sin Yee, Ng; Uribe, Christian; Marques Rodrigues, Janaína; Caciano de Sena, Rodrigo; Silva Dutra, Emily; Bergamaschi, Luigi; Giordani, Laura; D'Agostino, Giancarlo; Valiente, Liliana; Horvat, Milena; Jacimovic, Radojko; Oduor Okumu, Tom; Kang'Iri, Jacqueline; Owiti Orwa, Tabitha; Chao, Wei; Jingbo, Chao; Taebunpakul, Sutthinun; Yafa, Charun; Kaewkhomdee, Nattikarn; Chailap, Benjamat; Pharat, Yanee; Phukphattanachai, Pranee; Turk, Gregory C.; Long, Stephen; Murphy, K. E.; Davis, Clay; Ellisor, Michael; Merrick, Jeffrey; White, Ian; Saxby, David; Linsky, S. M.; Barzev, A.; Botha, A.

    2015-01-01

    The CCQM-K108 key comparison was organised by the Inorganic Analysis Working Group (IAWG) of CCQM to test the abilities of national metrology institutes (NMIs) or designated institutes (DIs) to measure the mass fractions of arsenic species, total arsenic and cadmium in brown rice flour. The National Metrology Institute of Japan (NMIJ) acted as the coordinating laboratory. The participants used different measurement methods, though most of them used inductively coupled plasma mass spectrometry (ICP-MS) or isotope-dilution inductively coupled plasma mass spectrometry (ID-ICP-MS) for Cd and ICP-MS for total arsenic. Regarding arsenic speciation, all participants used ICP-MS coupled with liquid chromatography (LC). Accounting for relative expanded uncertainty, comparability of measurement results for each of total arsenic and cadmium was successfully demonstrated by the participating NMIs or DIs for the measurement of the measurand at the level of less than 0.5 mg/kg. Regarding arsenic species (inorganic arsenic and dimethylarsinic acid (DMAA)), there was, however, a measurement problem still to be solved and that part of CCQM-K108 will be repeated. It is expected that arsenic, cadmium and other metals at mass fractions greater than approximately 0.1 mg/kg in rice flour can be determined by each participant using the same technique(s) employed for this key comparison to achieve similar uncertainties mentioned in the present report. Furthermore, the results of this key comparison can be utilised along with the IAWG core capability approach. Main text. To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by CCQM, according to the provisions of the CIPM Mutual Recognition Arrangement (CIPM MRA).

  7. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  8. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  9. KEY COMPARISON: Key comparison CCQM-K60: Total selenium and selenomethionine in selenised wheat flour

    Science.gov (United States)

    Goenaga Infante, Heidi; Sargent, Mike

    2010-01-01

    Key comparison CCQM-K60 was performed to assess the analytical capabilities of national metrology institutes (NMIs) to accurately quantitate the mass fraction of selenomethionine (SeMet) and total selenium (at low mg kg-1 levels) in selenised wheat flour. It was organized by the Inorganic Analysis Working Group (IAWG) of the Comité Consultatif pour la Quantité de Matière (CCQM) as a follow-up key comparison to the previous pilot study CCQM-P86 on selenised yeast tablets. LGC Limited (Teddington, UK) and the Institute for National Measurement Standards, National Research Council Canada (NRCC, Ottawa, Canada) acted as the coordinating laboratories. CCQM-K60 was organized in parallel with a pilot study (CCQM-P86.1) involving not only NMIs but also expert laboratories worldwide, thus enabling them to assess their capabilities, discover problems and learn how to modify analytical procedures accordingly. Nine results for total Se and four results for SeMet were reported by the participant NMIs. Methods used for sample preparation were microwave assisted acid digestion for total Se and multiple-step enzymatic hydrolysis and hydrolysis with methanesulfonic acid for SeMet. For total Se, detection techniques included inductively coupled plasma mass spectrometry (ICP-MS) with external calibration, standard additions or isotope dilution analysis (IDMS); instrumental neutron activation analysis (INAA); and graphite furnace atomic absorption spectrometry (GFAAS) with external calibration. For determination of SeMet in the wheat flour sample, the four NMIs relied upon measurements using species-specific IDMS (using 76Se-enriched SeMet) with HPLC-ICP-MS. Eight of the nine participating NMIs reported results for total Se within 3.5% deviation from the key comparison reference value (KCRV). For SeMet, the four participating NMIs reported results within 3.2% deviation from the KCRV. This shows that the performance of the majority of the CCQM-K60 participants was very good

  10. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  11. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  12. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  13. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  14. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  15. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  16. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  17. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  18. PREFACE: Advanced Metrology for Ultrasound in Medicine12-14 May 2010

    Science.gov (United States)

    Shaw, Adam

    2011-02-01

    Conference logo AMUM 2010 is the successor to the first AMUM conference held in 2004, which was the conference featured in the very first issue of the Journal of Physics: Conference Series (http://iopscience.iop.org/1742-6596/1/1). Like its predecessor, AMUM 2010 proved to be very successful and provided a fantastic opportunity for the world's ultrasound experts from medicine, industry and academia to explore the measurement challenges presented by new and emerging clinical ultrasound equipment. 2010 was a very difficult year economically for much of the world, and then air travel over Europe was thrown into chaos by prolonged ash clouds from the Icelandic volcano Eyjafjallajökull in April. So it was something of a relief to see such good attendance; this is a clear indication of the need for this conference with its focus on metrology in medical ultrasound. There were a total of 70 attendees: of these 34 were from the UK, 16 from the rest of Europe, and 20 from outside Europe. National Metrology Institutes from no fewer than nine different countries were represented, some of them very new to the field, some with a recent growth of interest in ultrasound, and others with a well-established reputation. I was particularly pleased to see younger researchers from those NMIs new to ultrasound attend and have the chance to mix with such a wide grouping of their peers and present their work. There was also a strong attendance by industry including the major imaging companies such as GE Healthcare, Siemens, Philips Healthcare, Hitachi Medical, Aloka, Medison and the newer Supersonic Imagine; and more specialist companies such as Imasonic, Onda Corporation, Unisyn Medical Technologies, and Polytec and Precision Acoustics, our partner in organizing the event. The conference was spread over 3 days with plenty of time for discussions over coffee; the afternoon of the 3rd day was dedicated to laboratory visits within NPL. We were fortunate to have some excellent Keynote

  19. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  20. An Investigation of the Relation Between Contact Thermometry and Dew-Point Temperature Realization

    Science.gov (United States)

    Benyon, R.; Böse, N.; Mitter, H.; Mutter, D.; Vicente, T.

    2012-09-01

    Precision optical dew-point hygrometers are the most commonly used transfer standards for the comparison of dew-point temperature realizations at National Metrology Institutes (NMIs) and for disseminating traceability to calibration laboratories. These instruments have been shown to be highly reproducible when properly used. In order to obtain the best performance, the resistance of the platinum resistance thermometer (PRT) embedded in the mirror is usually measured with an external, traceable resistance bridge or digital multimeter. The relation between the conventional calibration of miniature PRTs, prior to their assembly in the mirrors of state-of-the-art optical dew-point hygrometers and their subsequent calibration as dew-point temperature measurement devices, has been investigated. Standard humidity generators of three NMIs were used to calibrate hygrometers of different designs, covering the dew-point temperature range from -75 °C to + 95 °C. The results span more than a decade, during which time successive improvements and modifications were implemented by the manufacturer. The findings are presented and discussed in the context of enabling the optimum use of these transfer standards and as a basis for determining contributions to the uncertainty in their calibration.

  1. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  2. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  3. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  4. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  5. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  6. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  7. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  8. Metrology as part and parcel of training programmes for science and engineering

    NARCIS (Netherlands)

    Regtien, Paulus P.L.

    2007-01-01

    At many universities and training institutes education in metrology or measurement science is in strong competition with upcoming disciplines. Its importance for science and engineering remains, however, evident. Advanced instruments make measuring almost a routine activity, but it is shown that a

  9. Towards Comparison of Ultrasound Dose Measurements - Current Capabilities and Open Challenges

    Science.gov (United States)

    Durando, G.; Guglielmone, C.; Haller, J.; Georg, O.; Shaw, A.; Martin, E.; Karaböce, B.

    The aim of this work is to evaluate measurement methods for dosimetry and exposimetry quantities that were developed in the EMRP project "Dosimetry for Ultrasound Therapy -DUTy" by comparing the measurement results for three common quantities from three national laboratories. It further aims to investigate the general feasibility of possible future (key) comparisons for dosimetry and exposimetry quantities and to identify possible open challenges towards this goal. The general format is similar to a metrological comparison, with which the National Metrological Institutes, NMIs, are already familiar. The first step involved the agreement of the protocol that was to specify the set of transducers to be circulated and the measurement conditions. Two transducers were circulated and different drive voltage levels and pulsing regimes were defined and tissue mimicking materials (TMMs) characteristics were specified. Each lab was asked to prepare the TMMs for their own measurements with the inclusion of formulations and preparation instructions specified in the protocol. Uncertainties of the input data were to be declared by the participating laboratories.

  10. A blueprint for radioanalytical metrology CRMs, intercomparisons, and PE

    International Nuclear Information System (INIS)

    Inn, Kenneth G.W.; Kurosaki, Hiromu; Frechou, Carole; Gilligan, Chris; Jones, Robert; LaMont, Stephen; Leggitt, Jeff; Li Chunsheng; McCroan, Keith; Swatski, Ronald

    2008-01-01

    A workshop was held from 28 February to 2 March 2006 at the National Institute of Standards and Technology (NIST) to evaluate the needs for new directions for complex matrix reference materials certified for radionuclide content, interlaboratory comparisons and performance evaluation (PE) programs. The workshop identified new radioanalytical metrology thrust areas needed for environmental, radiobioassay, emergency consequence management, and nuclear forensics, attribution, nonproliferation, and safeguards

  11. Gravimetric preparation and characterization of primary reference solutions of molybdenum and rhodium.

    Science.gov (United States)

    Kaltenbach, Angela; Noordmann, Janine; Görlitz, Volker; Pape, Carola; Richter, Silke; Kipphardt, Heinrich; Kopp, Gernot; Jährling, Reinhard; Rienitz, Olaf; Güttler, Bernd

    2015-04-01

    Gravimetrically prepared mono-elemental reference solutions having a well-known mass fraction of approximately 1 g/kg (or a mass concentration of 1 g/L) define the very basis of virtually all measurements in inorganic analysis. Serving as the starting materials of all standard/calibration solutions, they link virtually all measurements of inorganic analytes (regardless of the method applied) to the purity of the solid materials (high-purity metals or salts) they were prepared from. In case these solid materials are characterized comprehensively with respect to their purity, this link also establishes direct metrological traceability to The International System of Units (SI). This, in turn, ensures the comparability of all results on the highest level achievable. Several national metrology institutes (NMIs) and designated institutes (DIs) have been working for nearly two decades in close cooperation with commercial producers on making an increasing number of traceable reference solutions available. Besides the comprehensive characterization of the solid starting materials, dissolving them both loss-free and completely under strict gravimetric control is a challenging problem in the case of several elements like molybdenum and rhodium. Within the framework of the European Metrology Research Programme (EMRP), in the Joint Research Project (JRP) called SIB09 Primary standards for challenging elements, reference solutions of molybdenum and rhodium were prepared directly from the respective metals with a relative expanded uncertainty associated with the mass fraction of U rel(w) methods required to assist with the preparation and as dissemination tools.

  12. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  13. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  14. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  15. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  16. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    Science.gov (United States)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  17. Importance of the metrological network for calibration of neutron logging methods

    International Nuclear Information System (INIS)

    Rysavy, F.

    1995-01-01

    The calibration characteristics of neutron logging instrumentation are discussed. The principles of the rock, plastics, and water models are briefly outlined. Indian limestone of 9% porosity is the primary standard in the neutron logging metrology network, from which secondary standards employed during certification measurements are derived. It is recommended that rock blocks should be used as national standards, and each secondary institution should possess a set of polyethylene cylinders, one of which would serve as the main standard for the institution in question. (J.B.)

  18. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  19. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  20. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  1. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  2. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Sophie M [Florida State Univ., Tallahassee, FL (United States); Tran, Hy D. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2014-07-01

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  3. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  4. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  5. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  6. The mutual recognition arrangement and primary standard dosimetry laboratory comparisons

    International Nuclear Information System (INIS)

    Allisy-Roberts, P.J.; Burns, D.T.

    2002-01-01

    Full text: The majority of the Member States of the Metre Convention have signed an arrangement for the mutual recognition (MRA) of national measurement standards and calibration and measurement capabilities (CMCs). Part of the MRA involves an open access database of CMCs that in turn need to be supported by key and supplementary comparisons of national standards. This paper outlines the MRA, describing the comparisons of national primary standards in the field of dosimetry that support the claims of the CMCs in the key comparison database (KCDB). The MRA was drawn up by the International Committee for Weights and Measures (Comite International des Poids et Mesures CIPM) and was signed at a meeting held in Paris on 14 October 1999 by the directors of the national metrology institutes (NMIs) of thirty-eight Member States of the Metre Convention and representatives of two international organizations. Since that date, the directors of the NMIs of several other Member States and nine Associates of the General Conference (Conference Generale des Poids et Mesures CGPM) have also signed the MRA. The metrological objectives of the MRA are to establish the degree of equivalence of national measurement standards maintained by NMIs and thus provide the basis for the mutual recognition of calibration and measurement certificates issued by NMIs. The NMIs provide the traceability to the international system of units (SI) required for these services and thus mutual recognition of the capabilities of the NMIs is a prerequisite for the mutual recognition of the metrology services of countries in general. The objectives of the MRA are achieved through a process of: (a) international comparisons of measurements, known as key comparisons (b) supplementary international comparisons of measurements, and (c) quality systems and demonstrations of competence by the NMIs. The outcome of the MRA is a determination of the degrees of equivalence of national standards and a set of statements of

  7. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  8. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  9. Implementation of the Brazilian primary standard for x-rays

    International Nuclear Information System (INIS)

    Peixoto, J.G.P.; Almeida, C.E.V. de

    2002-01-01

    In the field of ionizing radiation metrology, a primary standard of a given physical quantity is essentially an experimental set-up which allows one to attribute a numerical value to a particular sample of that quantity in terms of a unit given by an abstract definition. The absolute measurement of the radiation quantity air kerma, is performed with a free-air ionization chamber. A great deal of research to determine the absolute measurement resulted in different designs for primary standard free-air ionization chambers such as cilindrics or plane parallel chambers. The implementation of primary standard dosimetry with free-air ionization chambers is limited to the National Metrology Institutes - NMIs. Since 1975, the Bureau International des Poids et Mesures - BIPM has been conducting comparisons of NMIs primary free-air standard chambers in the medium energy x-rays range. These comparisons are carried out indirectly through the calibration at both the BIPM and at the NMI of one or more transfer ionization chambers at a series of four reference radiation qualities. The scientific work programme of the National Laboratory for Ionizing Radiation Metrology - LNMRI of the Institute of Radioprotection and Dosimetry - IRD, which belongs to the National Commission of Nuclear Energy - CNEN, includes the establishment of a primary standard for x-rays of medium energy x-ray range. This activity is justified by the demand to calibrate periodically Brazilian network of the secondary standards without losing quality of the measurement. The LNMRI decided to implement four reference radiation qualities establishing the use of a transfer chamber calibrated at BIPM. The LNMRI decided to implement the primary standard dosimetry using a free-air ionization chamber with variable volume, made by Victoreen, model 480. Parameters related to the measurement of the quantity air kerma were evaluated, such as: air absorption, scattering inside the ionization chamber, saturation, beam

  10. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  11. SUPPLEMENTARY COMPARISON: EUROMET.L-S10 Comparison of squareness measurements

    Science.gov (United States)

    Mokros, Jiri

    2005-01-01

    The idea of performing a comparison of squareness resulted from the need to review the MRA Appendix C, Category 90° square. At its meeting in October 1999 (in Prague) it was decided upon a first comparison of squareness measurements in the framework of EUROMET, numbered #570, starting in 2000, with the Slovak Institute of Metrology (SMU) as the pilot laboratory. During the preparation stage of the project, it was agreed that it should be submitted as a EUROMET supplementary comparison in the framework of the Mutual Recognition Arrangement (MRA) of the Metre Convention and would boost confidence in calibration and measurement certificates issued by the participating national metrology institutes. The aim of the comparison of squareness measurement was to compare and verify the declared calibration measurement capabilities of participating laboratories and to investigate the effect of systematic influences in the measurement process and their elimination. Eleven NMIs from the EUROMET region carried out this project. Two standards were calibrated: granite squareness standard of rectangular shape, cylindrical squareness standard of steel with marked positions for the profile lines. The following parameters had to be calibrated: granite squareness standard: interior angle γB between two lines AB and AC (envelope - LS regression) fitted through the measured profiles, and/or granite squareness standard: interior angle γLS between two LS regression lines AB and AC fitted through the measured profiles, cylindrical squareness standard: interior angles γ0°, γ90°, γ180°, γ270° between the LS regression line fitted through the measurement profiles at 0°, 90°, 180°, 270° and the envelope plane of the basis (resting on a surface plate), local LS straightness deviation for all measured profiles (2 and 4) of both standards. The results of the comparison are the deviations of profiles and angles measured by the individual NMIs from the reference values. These resulted

  12. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  13. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  14. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  15. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  16. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  17. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  18. BIPM direct on-site Josephson voltage standard comparisons: 20 years of results

    International Nuclear Information System (INIS)

    Solve, Stephane; Stock, Michael

    2012-01-01

    The discovery of the Josephson effect has for the first time given national metrology institutes (NMIs) the possibility of maintaining voltage references which are stable in time. In addition, the introduction in 1990 of a conventional value for the Josephson constant, K J-90 , has greatly improved world-wide consistency among representations of the volt. For 20 years, the Bureau International des Poids et Mesures (BIPM) has conducted an ongoing, direct, on-site key comparison of Josephson voltage standards among NMIs under the denominations BIPM.EM-K10.a (1 V) and BIPM.EM-K10.b (10 V) in the framework of the mutual recognition arrangement (CIPM MRA). The results of 41 comparisons illustrate the consistency among primary voltage standards and have demonstrated that a relative total uncertainty of a few parts in 10 10 is achievable if a few precautions are taken with regard to the measurement set-up. Of particular importance are the grounding, efficient filters and high insulation resistance of the measurement leads, and clean microwave distribution along the propagation line to the Josephson array. This paper reviews the comparison scheme and technical issues that need to be taken into account to achieve a relative uncertainty at the level of a few parts in 10 10 or even a few parts in 10 11 in the best cases. (paper)

  19. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  20. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  1. Update of the BIPM.RI(II)-K1.Tc-99m comparison of activity measurements for the radionuclide {sup 99m}Tc to include new results for the LNE-LNHB and the NPL

    Energy Technology Data Exchange (ETDEWEB)

    Michotte, C.; Courte, S.; Ratel, G. [Bureau International des Poids et Mesures (BIPM), 92 - Sevres (France); Moune, M. [LNE-LNHB, Laboratoire national de metrologie et d' essais-Laboratoire National Henri Becquerel, Gif sur Yvette (France); Johansson, L.; Keightley, J. [National Physical Laboratory (NPL), Middlesex (United Kingdom)

    2010-12-15

    In 2007 and 2008 respectively, the Laboratoire national de metrologie et d'essais -Laboratoire national Henri Becquerel (LNE-LNHB), France and the National Physical Laboratory (NPL), UK, submitted ampoules with between 10 MBq and 130 MBq activity of {sup 99m}Tc to the International Reference System (SIR), to update their results in the BIPM.RI(II)-K1.Tc-99m comparison. Together with the four other national metrology institutes (NMI) that are participants, thirteen samples have been submitted since 1983. The key comparison reference value (KCRV) has been recalculated to include the latest primary results of the PTB and the LNE-LNB as this makes the evaluation more robust. The degrees of equivalence between each equivalent activity measured in the SIR are given in the form of a matrix for all six NMIs. A graphical presentation is also given. (authors)

  2. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  3. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    Science.gov (United States)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  4. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  5. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  6. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  7. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  8. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  9. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  10. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  11. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    Czech Academy of Sciences Publication Activity Database

    Řeřucha, Šimon; Yacoot, A.; Pham, Minh Tuan; Čížek, Martin; Hucl, Václav; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 28, č. 4 (2017), s. 1-11, č. článku 045204. ISSN 0957-0233 R&D Projects: GA ČR GB14-36681G; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01; GA TA ČR TE01020233 Institutional support: RVO:68081731 Keywords : optical metrology * DBR laser diode * frequency stabilization * laser interferometry * dimensional metrology * iodine stabilization * displacement measurement Subject RIV: BH - Optics, Masers, Lasers OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.585, year: 2016

  12. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  13. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  14. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  15. System for automatic gauge block length measurement optimized for secondary length metrology

    Czech Academy of Sciences Publication Activity Database

    Buchta, Zdeněk; Šarbort, Martin; Čížek, Martin; Hucl, Václav; Řeřucha, Šimon; Pikálek, Tomáš; Dvořáčková, Š.; Dvořáček, F.; Kůr, J.; Konečný, P.; Weigl, M.; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 49, JULY (2017), s. 322-331 ISSN 0141-6359 R&D Projects: GA TA ČR(CZ) TA03010663; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : low-coherence interferometry * laser interferometry * Gauge block * metrology Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 2.237, year: 2016

  16. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  17. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  18. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  19. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    Science.gov (United States)

    Fisher, William P., Jr.; Stenner, A. Jackson

    2013-09-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice.

  20. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    International Nuclear Information System (INIS)

    Fisher, William P Jr; Stenner, A Jackson

    2013-01-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice

  1. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  2. Forum metrology 2009: control of optics, targets and optical analyzers; Forum metrologie 2009: controle des optiques, cibles et analyseurs optiques

    Energy Technology Data Exchange (ETDEWEB)

    Desenne, D.; Andre, R.

    2010-07-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  3. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  4. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  5. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  6. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  7. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  8. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  9. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  10. Activity standardisation of 18F and ionisation chamber calibration for nuclear medicine

    International Nuclear Information System (INIS)

    Schrader, H.; Klein, R.; Kossert, K.

    2007-01-01

    Primary activity standardisations were performed on solutions of 18 F using 4πβ-γ coincidence counting and liquid scintillation counting (LSC) according to the CIEMAT/NIST method. A β + -emission probability of 96.86% was used for both methods. The various standardised 18 F solutions were measured in ionisation chambers of the Physikalisch-Technische Bundesanstalt (PTB) and compared by determining radionuclide calibration factors. Already in 2001 an 18 F solution had been standardised at the PTB and compared with the results of nine national metrology institutes (NMIs), using the ISOCAL IV secondary radionuclide calibrators of the National Physical Laboratory (NPL) as transfer instruments and a 68 Ge check source solution. These results were linked to the International Reference System (SIR) at the Bureau International des Poids et Mesures (BIPM) by aliquots of solutions sent by the Laboratoire National Henri Becquerel (BNM-LNHB) and the NPL. Further on, in 2005, PTB sent an aliquot of an 18 F solution to the SIR for ionisation chamber measurements. A value of the equivalent activity was determined and included in the key comparison database (KCDB). The recent PTB value of the equivalent activity of the SIR is in good agreement with the key comparison reference value determined from five NMIs. These results confirm that the standardisation of 18 F solutions can be achieved with the accuracy required for use in nuclear medicine and, in particular, for applications in positron emission tomography (PET)

  11. Traceable nanoscale measurement at NML-SIRIM

    International Nuclear Information System (INIS)

    Dahlan, Ahmad M.; Abdul Hapip, A. I.

    2012-01-01

    The role of national metrology institute (NMI) has always been very crucial in national technology development. One of the key activities of the NMI is to provide traceable measurement in all parameters under the International System of Units (SI). Dimensional measurement where size and shape are two important features investigated, is one of the important area covered by NMIs. To support the national technology development, particularly in manufacturing sectors and emerging technology such nanotechnology, the National Metrology Laboratory, SIRIM Berhad (NML-SIRIM), has embarked on a project to equip Malaysia with state-of-the-art nanoscale measurement facility with the aims of providing traceability of measurement at nanoscale. This paper will look into some of the results from current activities at NML-SIRIM related to measurement at nanoscale particularly on application of atomic force microscope (AFM) and laser based sensor in dimensional measurement. Step height standards of different sizes were measured using AFM and laser-based sensors. These probes are integrated into a long-range nanoscale measuring machine traceable to the international definition of the meter thus ensuring their traceability. Consistency of results obtained by these two methods will be discussed and presented. Factors affecting their measurements as well as their related uncertainty of measurements will also be presented.

  12. Traceable nanoscale measurement at NML-SIRIM

    Science.gov (United States)

    Dahlan, Ahmad M.; Abdul Hapip, A. I.

    2012-06-01

    The role of national metrology institute (NMI) has always been very crucial in national technology development. One of the key activities of the NMI is to provide traceable measurement in all parameters under the International System of Units (SI). Dimensional measurement where size and shape are two important features investigated, is one of the important area covered by NMIs. To support the national technology development, particularly in manufacturing sectors and emerging technology such nanotechnology, the National Metrology Laboratory, SIRIM Berhad (NML-SIRIM), has embarked on a project to equip Malaysia with state-of-the-art nanoscale measurement facility with the aims of providing traceability of measurement at nanoscale. This paper will look into some of the results from current activities at NML-SIRIM related to measurement at nanoscale particularly on application of atomic force microscope (AFM) and laser based sensor in dimensional measurement. Step height standards of different sizes were measured using AFM and laser-based sensors. These probes are integrated into a long-range nanoscale measuring machine traceable to the international definition of the meter thus ensuring their traceability. Consistency of results obtained by these two methods will be discussed and presented. Factors affecting their measurements as well as their related uncertainty of measurements will also be presented.

  13. Traceable nanoscale measurement at NML-SIRIM

    Energy Technology Data Exchange (ETDEWEB)

    Dahlan, Ahmad M.; Abdul Hapip, A. I. [National Metrology Laboratory SIRIM Berhad (NML-SIRIM), Lot PT 4803, Bandar Baru Salak Tinggi, 43900 Sepang (Malaysia)

    2012-06-29

    The role of national metrology institute (NMI) has always been very crucial in national technology development. One of the key activities of the NMI is to provide traceable measurement in all parameters under the International System of Units (SI). Dimensional measurement where size and shape are two important features investigated, is one of the important area covered by NMIs. To support the national technology development, particularly in manufacturing sectors and emerging technology such nanotechnology, the National Metrology Laboratory, SIRIM Berhad (NML-SIRIM), has embarked on a project to equip Malaysia with state-of-the-art nanoscale measurement facility with the aims of providing traceability of measurement at nanoscale. This paper will look into some of the results from current activities at NML-SIRIM related to measurement at nanoscale particularly on application of atomic force microscope (AFM) and laser based sensor in dimensional measurement. Step height standards of different sizes were measured using AFM and laser-based sensors. These probes are integrated into a long-range nanoscale measuring machine traceable to the international definition of the meter thus ensuring their traceability. Consistency of results obtained by these two methods will be discussed and presented. Factors affecting their measurements as well as their related uncertainty of measurements will also be presented.

  14. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  15. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  16. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  17. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  18. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  19. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  20. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  1. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  2. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  3. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  4. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  5. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  6. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  7. Implementation of a metrology national network for radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, J.A. dos; Lopes, R.T.; Iwahara, A.; Tauhata, L.; Nicoli, I.G.; Dias, C.M.

    2003-01-01

    The Brazilian Laboratory for Metrology of Ionizing Radiation (LNMRI), of the Radioprotection and Dosimetry Institute, owned by the National Commission of Nuclear Energy (IRD/CNEN-RJ) has conducting since 1998, a comparison program for measurements of radiopharmaceuticals activities applied to patients at Nuclear Medicine sector aiming to the assessment the quality of those measurements. In the Rio de Janeiro state this program is successfully performed existing however the necessity to implement such program all over the country. This problem is being solved through the implementation of a reference laboratories network at several points in the brazilian territory. For the establishment and good working of the network the following factors must be observed: the radionuclide calibrators at the reference laboratories must be connected to the LNMRI; the operators must be trained by the staff or the LNMRI, and the quality guarantee must be assured through a comparison program. Presently, the network point placed in Brasilia is running and covering all the center-west region. The results obtained at this region show that the implementation of the metrology network is viable, important and achievable

  8. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  9. Certification of a meat reference material based on a collaborative study

    Directory of Open Access Journals (Sweden)

    Claudia Marcela Salazar Arzate

    2013-01-01

    Full Text Available Through a collaborative project, comparison studies were carried out to improve measurement capabilities of participating laboratories, supporting them to produce, characterize and distribute reference materials in the food sector. The project was planned in four annual stages (milk, water, meat and grains. The third stage aimed specifically to quantify and certify the nutritional content of the parameters (nitrogen, fat, sodium and potassium of a batch candidate as Certified Reference Material (CRM of canned beef. This study was conducted in collaboration between several National Metrology Institutes (NMIs and/or collaborating laboratories, which, once identified the possible causes of variability or bias in the measurements, as well as the opportunities of improvement, achieved the certification of the material beef. The CRM was distributed among the participants to cover the needs of the food industry of meat products and testing laboratories in their respective countries.

  10. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  11. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  12. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  13. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  14. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  15. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  16. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    Science.gov (United States)

    Fu, Wei-En

    2014-03-01

    hospitality. It is my privilege and pleasure to welcome you all to the 14th International Conference on Metrology and Properties of Engineering Surfaces here in Taipei. Tom Thomas Halmstad, 1st June 2013 Greetings from Chairman of Local Organizing CommitteeVictor Lin It is the great honor of Center for Measurement Standards (CMS), metrology group of Industrial Technology Research Institute (ITRI), to host the 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013) from 17-21 June, 2013, in Taipei, Taiwan. In collaboration with four local universities, National Taiwan University (NTU), National Cheng-Kung University (NCKU), National Taiwan University of Science and Technology (NTST) and National Tsing-Hua University (NTHU), we have spent more than one year to prepare this Conference since the approval by the International Programme Committee (IPC). With the guidance from the IPC, we are able to go through the laborious, but important, process of paper selection and review from more than 100 submissions, and also to maintain the tradition in gathering the high quality and state-of-the-art papers. Finally, more than 65 full papers are collected in the programme (oral and poster), and over 120 surface metrologists from 17 countries (or economies) will attend the Conference. As stated in the preface by Professor Thomas, this series of conferences were founded by Tom and late Professor Ken Stout in the United Kingdom more than thirty years ago. I was lucky to join Ken's research group in Birmingham, and to start my journey over surface metrology in 1989, under the financial support from ITRI. With the encouragement from Professor Liam Blunt and endeavors of my colleagues, we are able to hold the Conference first time in emerging Asia, and to ''carry on the heritage and pave the way to the future'' (a Chinese proverb) in surface metrology. Taiwan is also known as Formosa, from Portuguese Ilha Formosa, which means ''Beautiful Island

  17. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  18. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  19. Applications of surface metrology in firearm identification

    International Nuclear Information System (INIS)

    Zheng, X; Soons, J; Vorburger, T V; Song, J; Renegar, T; Thompson, R

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCF MAX ) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases. (paper)

  20. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  1. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  2. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  3. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  4. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  5. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  6. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  7. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  8. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  9. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  10. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  11. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  12. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  13. Testing of Anesthesia Machines and Defibrillators in Healthcare Institutions.

    Science.gov (United States)

    Gurbeta, Lejla; Dzemic, Zijad; Bego, Tamer; Sejdic, Ervin; Badnjevic, Almir

    2017-09-01

    To improve the quality of patient treatment by improving the functionality of medical devices in healthcare institutions. To present the results of the safety and performance inspection of patient-relevant output parameters of anesthesia machines and defibrillators defined by legal metrology. This study covered 130 anesthesia machines and 161 defibrillators used in public and private healthcare institutions, during a period of two years. Testing procedures were carried out according to international standards and legal metrology legislative procedures in Bosnia and Herzegovina. The results show that in 13.84% of tested anesthesia machine and 14.91% of defibrillators device performance is not in accordance with requirements and should either have its results be verified, or the device removed from use or scheduled for corrective maintenance. Research emphasizes importance of independent safety and performance inspections, and gives recommendations for the frequency of inspection based on measurements. Results offer implications for adequacy of preventive and corrective maintenance performed in healthcare institutions. Based on collected data, the first digital electronical database of anesthesia machines and defibrillators used in healthcare institutions in Bosnia and Herzegovina is created. This database is a useful tool for tracking each device's performance over time.

  14. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  15. Lithuanian Energy Institute: Annual Report '98

    International Nuclear Information System (INIS)

    Mishkinis, V.; Ushpuras, E.; Poshkas, P.

    1999-01-01

    Among main achievements of 1998 the huge and important set of research works concerning the second Ignalina NPP safety improvement programme and its preparation-ration for obtaining a licence should be mentioned. The total value of works carried out for this purpose reached about 2 million Lt. Intense preparations were carried out and new works were initiated linked to handling spent nuclear fuel and radioactive wastes and to the future shutdown and dismantling of the NPP. This is a very important and long term objective and its success will depend greatly on our level of preparations and readiness for this complex process. The Institute will make its decisive and substantial contribution to it. Much time and many efforts were dedicated to improvement of the Lithuanian Energy Strategy Project and its adjustments in consultations with representatives of various institutions. Discussions on this important political expanded widely over Lithuanian borders while preparation and analysis of information for it was the main concern of our energy economists. In 1998 institutes' metrological base was expanded and improved still further. As a result, Heat - Equipment Research and Testing Laboratory was acknowledged attestation confirming it being the main Lithuanian metrological laboratory in the field of measurement fluid and gas flow rate and heat amount (author)

  16. Contaminant and other elements in soil (CCQM-K127)

    Science.gov (United States)

    Rocio Arvizu Torres, M.; Manzano, J. Velina Lara; Valle Moya, Edith; Horvat, Milena; Jaćimović, Radojko; Zuliani, Tea; Vreča, Polona; Acosta, Osvaldo; Bennet, John; Snell, James; Almeida, Marcelo D.; de Sena, Rodrigo C.; Dutra, Emily S.; Yang, Lu; Li, Haifeng

    2017-01-01

    Non-contaminated soils contain trace and major elements at levels representing geochemical background of the region. The main sources of elements as contaminants/pollutants in soils are mining and smelting activities, fossil fuel combustion, agricultural practices, industrial activities and waste disposal. Contaminated/polluted sites are of great concern and represent serious environmental, health and economic problems. Characterization and identification of contaminated land is the first step in risk assessment and remediation activities. It is well known that soil is a complex matrix with huge variation locally and worldwide. According to the IAWG's five year plan, it is recommended to have a key comparison under the measurement service category of soils and sediments for the year 2015. Currently 13 NMI has claimed calibration and measurement capabilities (CMCs) in category 13 (sediments, soils, ores, and particulates): 29 CMCs in soil and 96 CMCs in sediments. In this regard this is a follow-up comparison in the category 13; wherein three key comparisons have been carried out during the years 2000 (CCQM-K13), 2003 (CCQM-K28) and 2004 (CCQM-K44). Since it is important to update the capabilities of NMIs in this category. CENAM and JSI proposed a key comparison in this category and a pilot study in parallel. The proposed study was agreed by IAWG members, where two soils samples were used in both CCQM-K127 representing a non-contaminated soil with low contents of elements (arsenic, cadmium, iron, lead and manganese), and a contaminated soil with much higher content of selected elements (arsenic, cadmium, iron and lead). This broadens the scope and a degree of complexity of earlier measurements in this field. National metrology institutes (NMIs)/designate institutes (DIs) should, therefore, demonstrate their measurement capabilities of trace and major elements in a wide concentration ranges, representing background/reference sites as well as highly contaminated soils

  17. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  18. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  19. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  20. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  1. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  2. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  3. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  4. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  5. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  6. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  7. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  8. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  9. International comparison of AC-DC current transfer standards

    Science.gov (United States)

    Heine, G.; Garcocz, M.; Waldmann, W.

    2017-01-01

    The measurements of the international comparison of ac-dc current transfer standards identified as EURAMET.EM-K12 started in June 2012 and were completed in December 2014. Twenty NMIs in the EURAMET region and one NMI in the AFRIMET region took part: BEV (Austria), CMI (Czech Republic), PTB (Germany), METAS (Switzerland), JV (Norway), UME (Turkey), GUM (Poland), IPQ (Portugal), CEM (Spain), INRIM (Italy), SP (Sweden), DANIAmet-MI-Trescal (Denmark), BIM (Bulgaria), MKEH (Hungary), SIQ (Slovenia), LNE (France), NSAI NML (Ireland), VSL (The Netherlands), NPL (United Kingdom), Metrosert (Estonia), NIS (Egypt). The comparison was proposed to link the National Metrology Institutes organised in EURAMET to the key comparison CCEM-K12. The ac-dc current transfer difference of each travelling standard had been measured at its nominal current 10 mA and 5 A at the following frequencies: 10 Hz, 55 Hz, 1 kHz, 10 kHz, 20 kHz, 50 kHz, 100 kHz. The test points were selected to link the results with the equivalent CCEM Key Comparison (CCEM-K12), through five NMIs participating in both EURAMET and CCEM key comparisons (PTB, JV, NPL, SP and BEV). The report shows the degree of equivalence in the EURAMET region and also the degree of equivalence with the corresponding CCEM reference value. Main text To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by the CCEM, according to the provisions of the CIPM Mutual Recognition Arrangement (CIPM MRA).

  10. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  11. Metrology of the radon in air volume activity at the italian radon reference chamber

    Energy Technology Data Exchange (ETDEWEB)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M. [Istituto Nazionale di Metrologia delle Radiazioni Ionizzanti, ENEA Centro Ricerche Casaccia Roma (Italy)

    2006-07-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of {sup 222}Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m{sup 3}. The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  12. Metrology of the radon in air volume activity at the italian radon reference chamber

    International Nuclear Information System (INIS)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M.

    2006-01-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of 222 Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m 3 . The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  13. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  14. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  15. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  16. Calibration of radioprotection equipment gamma radiation at the Laboratory of Ionizing Radiation Metrology - DEN/UFPE

    International Nuclear Information System (INIS)

    Nazario, Macilene; Khoury, Helen; Hazin, Clovis

    2003-01-01

    This work presents aspects of the radioprotection equipment calibration service of the Laboratory for Metrology of Ionizing Radiations (LMRI) of the DEN/UFPE related to the calibration procedures, characteristics of the radiation beam and the evaluation of equipment calibrated in the period of 2001-2002. The LMRI-DEN/UFPE is one of the four laboratories in Brazil licensed by the Brazilian Nuclear Energy Commission for the execution of calibration services on area, surface contamination and personal monitors used by industries, hospitals, universities and research institutes using radioactive sources

  17. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  18. SUPPLEMENTARY COMPARISON: Final report on supplementary comparison APMP-T-S3-03 of industrial platinum resistance and liquid in glass thermometers from -40 °C to 250 °C

    Science.gov (United States)

    Norranim, Uthai; Nguyen, Mong Kim; Ballico, Mark J.

    2007-01-01

    Industrial thermometers such as industrial platinum resistance thermometers (iprts) and liquid-in-glass thermometers (LIGTs) are widely used in industry. Because Key Comparisons are limited to direct realizations of ITS-90, and not all APMP NMIs have participated in them, the national metrology institutes (NMIs) of Thailand and Australia (NIMT and NIMA) organized an APMP supplementary comparison to support the approval of CMCs (calibration and measurement capabilities) for these laboratories. The comparison, performed in 2003, covered the range from -40.0 °C to 250.0 °C, using IPRTs (Hart Scientific 5626-12-S), total immersion (ASTM 62C, 120C) and partial immersion (ASTM 40C) LIGTs. Ten NMIs from the APMP: KIM-LIPI (Indonesia), ITDI (Philippines), MSL (New Zealand), NBSM (Nepal), NMIA (Australia), NIMT (Thailand), SCL (Hong Kong), SIRIM (Malaysia), SPRING (Singapore) and VMI (Vietnam) were divided into two loops to shorten the circulation time, and these were linked by the two pilot laboratories. This report describes details of the artifacts, the circulation schedule, the measurement procedures, the results submitted by participants, uncertainties and the analysis of the results. Reference values calculated using simple mean, median and weighted mean were consistent with each other, but as the Birge criterion was satisfied, the weighted mean with its lower uncertainty was adopted. The artifacts were found to be stable over the comparison and the results of the loop linking labs consistent, allowing an uncertainty of 2 mK to 4 mK to be achieved for the IPRT reference value and 10 mK to 20 mK for the LIGT reference values. These uncertainties allowed the comparison data to be used to adequately test the uncertainties of all the participant laboratories, and hence to directly support their CMC claims. Main text. To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database

  19. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  20. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  1. The need for LWR metrology standardization: the imec roughness protocol

    Science.gov (United States)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  2. Final report on CCQM-K79: Comparison of value-assigned CRMs and PT materials: Ethanol in aqueous matrix

    Science.gov (United States)

    Hein, Sebastian; Philipp, Rosemarie; Duewer, David L.; Gasca Aragon, Hugo; Lippa, Katrice A.; Toman, Blaza

    2013-01-01

    The 2010 CCQM-K79 'Comparison of value-assigned CRMs and PT materials: Ethanol in aqueous media' is the second key comparison directly testing the chemical measurement services provided to customers by National Metrology Institutes (NMIs) and Designated Institutes (DIs). CCQM-K79 compared the assigned ethanol values of proficiency test (PT) and certified reference materials (CRMs) using measurements made on these materials under repeatability conditions. Nine NMIs submitted 27 CRM or value-assigned PT materials for evaluation. These materials represent many of the higher-order reference materials then available for this commercially and forensically important measurand. The assigned ethanol mass fraction in the materials ranged from 0.1 mg/kg to 334 mg/kg. All materials were stored and prepared according the specifications provided by each NMI. Samples were processed and analyzed under repeatability conditions by one analytical team using a gas chromatography with flame ionization detection (GC-FID) method of demonstrated trueness and precision. Given the number of materials and the time required for each analysis, the majority of the measurements were made in two measurement campaigns ('runs'). Due to a shipping delay from one NMI, an unanticipated third campaign was required. In all three campaigns, replicate analyses (three injections of one preparation separated in time) were made for one randomly selected unit of each of the 27 materials. Nine of the 27 materials were gravimetrically diluted before measurement to provide solutions with ethanol mass fraction in the established linear range of the GC-FID method. The repeatability measurement value for each analyzed solution was estimated as the mean of all replicate values. The within- and between-campaign variance components were estimated using one-way ANOVA. Markov Chain Monte Carlo Bayesian analysis was used to estimate 95% level-of-confidence coverage intervals for the mean values. Uncertainty

  3. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  4. Final report on AFRIMETS.QM-K27: Determination of ethanol in aqueous matrix

    Science.gov (United States)

    Archer, Marcellé; Fernandes-Whaley, Maria; Visser, Ria; de Vos, Jayne; Prins, Sara; Rosso, Adriana; Ruiz de Arechavaleta, Mariana; Tahoun, Ibrahim; Kakoulides, Elias; Luvonga, Caleb; Muriira, Geoffrey; Naujalis, Evaldas; Zakaria, Osman Bin; Buzoianu, Mirella; Bebic, Jelena; Achour Mounir, Ben; Thanh, Ngo Huy

    2013-01-01

    From within AFRIMETS, the Regional Metrology Organization (RMO) for Africa, the RMO Key Comparison AFRIMETS.QM-K27 was coordinated by the National Metrology Institute of South Africa (NMISA) in 2011. Ten Metrology Institutes participated, comprising three AFRIMETS, two APMP, four EURAMET and one SIM participant. Participants were required to determine the forensic level concentration of two aqueous ethanol solutions that were gravimetrically prepared by the NMISA. Concentrations were expected to lie in the range of 0.1 mg/g to 5.0 mg/g. The accurate determination of ethanol content in aqueous medium is critical for regulatory forensic and trade purposes. The CCQM Organic Analysis Working Group has carried out several key comparisons (CCQM-K27 series) on the determination of ethanol in wine and aqueous matrices. Developing NMIs now had the opportunity to link to the earlier CCQM-K27 studies through the AFRIMETS.QM-K27 study. Gas chromatography coupled to flame ionisation or mass spectrometric detection was applied by eight of the participants, while three participants (including NMISA) applied titrimetry for the ethanol assay. The assigned reference value of the aqueous ethanol solutions was used to link AFRIMETS.QM-K27 to the CCQM-K27 key comparison reference value. The assigned reference values for AFRIMETS.QM-K27 Level 1 and Level 2 were (0.3249 ± 0.0021) mg/g (k = 2) and (4.6649 ± 0.0152) mg/g (k = 2), respectively. The reference values were determined using the purity-corrected gravimetric preparation values, while the standard uncertainty incorporated the gravimetric preparation and titrimetric homogeneity uncertainties. From previous CCQM-K27 studies, the expected spread (%CV) of higher order measurements of ethanol in aqueous medium is about 0.85% relative. In this study the CV for Level 1 is about 12% (10% with two outliers removed) and for Level 2 about 4%. Three of the ten laboratories submitted results within 1.5% of the gravimetric reference value for

  5. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  6. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  7. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  8. Final report on COOMET.AUV.A-S1: Technical report on supplementary comparison 'Comparison of national standards of the sound pressure unit in air through calibration of working reference microphones'

    Science.gov (United States)

    Pozdeeva, Valentina; Chalyy, Vladimir

    2014-01-01

    The supplementary comparison COOMET.AUV.A-S1 for secondary calibration methods using WS1 and WS2 measurement microphones was carried out from 2009 to 2010. The results were submitted to and approved by CCAUV in April 2014. Four National Metrology Institutes took part in this comparison and are as follows: BelGIM (Belarus), VNIIFTRI (Russia), SMU (Slovakia) and DP NDI 'Sistema' (Ukraine). Three of the above NMIs (VNIIFTRI, SMU and DP NDI 'Sistema') had earlier participated in COOMET key comparisons and one NMI (VNIIFTRI) had also participated in CCAUV key comparisons. The Comparison Reference Values were calculated as the weighted mean values from results obtained by three institutes. The comparison results show agreement for all participants in the frequency range from 20 Hz to 12.5 kHz for WS1 microphones, and in the frequency range from 20 Hz to 16 kHz for WS2 microphones. Main text. To reach the main text of this paper, click on Final Report. Note that this text is that which appears in Appendix B of the BIPM key comparison database kcdb.bipm.org/. The final report has been peer-reviewed and approved for publication by the CCAUV, according to the provisions of the CIPM Mutual Recognition Arrangement (CIPM MRA).

  9. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  10. Critical evaluation of the Laboratory of Radionuclide Metrology results of the Institute of Radiation Protection and Dosimetry - IRD in the international key comparisons of activity measurement of radioactive solutions

    International Nuclear Information System (INIS)

    Iwahara, A.; Tauhata, L.; Silva, C.J. da

    2014-01-01

    The Radionuclide Metrology Laboratory (LMR) of LNMRI/IRD has been participating since 1984, in international key-comparisons of activity measurement of radioactive sources organized by BIPM and the Regional Metrology Organizations as EURAMET and APMP. The measured quantity is the activity of a radioactive solution, in becquerel (Bq), containing the radionuclide involved and the of measurement methods used are 4αβ-γ coincidence/anticoincidence, coincidence sum-peak and liquid scintillation. In this paper a summary of the methods used and a performance analysis of the results obtained are presented. (author)

  11. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  12. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  13. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  14. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  15. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  16. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  17. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  18. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  19. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  20. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  1. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  2. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  3. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  4. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  5. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  6. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  7. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  8. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  9. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine; Metodologia para a implementacao de uma rede de referencia para metrologia de radiofarmacos no Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Santos, Joyra Amaral dos

    2004-01-15

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides {sup 67}Ga, {sup 123}I, {sup 131}I,{sup 99m}Tc and {sup 210}Tl were evaluated and {sup 201}TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  10. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  11. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  12. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  13. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    Science.gov (United States)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  14. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  15. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  16. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  17. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  18. Means to verify the accuracy of CT systems for metrology applications (In the Absence of Established International Standards)

    International Nuclear Information System (INIS)

    Lettenbauer, H.; Georgi, B.; Weib, D.

    2007-01-01

    X-ray computed tomography (CT) reconstructs an unknown object from X-ray projections and has long been used for qualitative investigation of internal structures in industrial applications. Recently there has been increased interest in applying X-ray cone beam CT to the task of high-precision dimensional measurements of machined parts, since it is a relatively fast method of measuring both inner and outer geometries of arbitrary complexity. The important information for the user in dimensional metrology is if measured elements of a machined part are within the defined tolerances or not. In order to qualify cone beam CT as an established measurement technology, it must be qualified in the same manner as established measurement technologies such as coordinate measurement machines (CMMs) with tactile or optical sensors. In international standards artefacts are defined that are calibrated by certified institutions. These artefacts are defined by certain geometrical elements. CT measurements are performed on the reconstructed object volume, either directly or using an intermediate surface-extraction step. The results of these measurements have to be compared to the values of the calibrated elements; the level of agreement of the results defines the accuracy of the measurements. By using established methods to define measurement uncertainty a very high level of acceptance in dimensional metrology can be reached for the user. Only if results are comparable to standards of the established technologies the barriers of entry into metrology will be removed and all benefits of this technology will be available for the user. (authors)

  19. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  20. The spectral irradiance traceability chain at PTB

    International Nuclear Information System (INIS)

    Sperfeld, P.; Pape, S.; Nevas, S.

    2013-01-01

    Spectral irradiance is a fundamental radiometric unit. Its application to measurement results requires qualified traceability to basic units of the international system of units (Système international d’unités, SI). The Physikalisch-Technische Bundesanstalt (PTB) is amongst other national metrological institutes (NMIs) responsible for the realization, maintenance and dissemination of various radiometric and photometric units based on and traceable to national standards. The unit of spectral irradiance is realized and represented by a blackbody-radiator as the national primary standard of the PTB. Based on Planck’s radiation law, the irradiance is calculated and realized for any wavelength taking into account the exact knowledge of the radiation temperature and the geometrical parameters. Using a double-monochromator-based spectroradiometer system, secondary standard lamps can be calibrated by direct comparison to the blackbody-radiator (substitution method). These secondary standard lamps are then used at the PTB to calibrate standard lamps of customers. The customers themselves use these so-called transfer standards to calibrate their working standard lamps. These working standards are then used to calibrate own spectroradiometers or sources. This rather complex calibration chain is a common procedural method that for the customers generally leads to satisfying measurement results on site. Nevertheless, the standard lamps in use have to fulfill highest requirements concerning stability and reproducibility. Only this allows achieving comparably low transfer measurement uncertainties, which occur at each calibration step. Thus, the PTB is constantly investigating the improvement and further development of transfer standards and measurement methods for various spectral regions. The realization and dissemination of the spectral irradiance using the blackbody-radiator at the PTB is accomplished with worldwide approved minimized measurement uncertainties confirmed

  1. The spectral irradiance traceability chain at PTB

    Energy Technology Data Exchange (ETDEWEB)

    Sperfeld, P.; Pape, S.; Nevas, S. [Physikalisch-Technische Bundesanstalt, Bundesallee 10, 381160 Braunschweig (Germany)

    2013-05-10

    Spectral irradiance is a fundamental radiometric unit. Its application to measurement results requires qualified traceability to basic units of the international system of units (Systeme international d'unites, SI). The Physikalisch-Technische Bundesanstalt (PTB) is amongst other national metrological institutes (NMIs) responsible for the realization, maintenance and dissemination of various radiometric and photometric units based on and traceable to national standards. The unit of spectral irradiance is realized and represented by a blackbody-radiator as the national primary standard of the PTB. Based on Planck's radiation law, the irradiance is calculated and realized for any wavelength taking into account the exact knowledge of the radiation temperature and the geometrical parameters. Using a double-monochromator-based spectroradiometer system, secondary standard lamps can be calibrated by direct comparison to the blackbody-radiator (substitution method). These secondary standard lamps are then used at the PTB to calibrate standard lamps of customers. The customers themselves use these so-called transfer standards to calibrate their working standard lamps. These working standards are then used to calibrate own spectroradiometers or sources. This rather complex calibration chain is a common procedural method that for the customers generally leads to satisfying measurement results on site. Nevertheless, the standard lamps in use have to fulfill highest requirements concerning stability and reproducibility. Only this allows achieving comparably low transfer measurement uncertainties, which occur at each calibration step. Thus, the PTB is constantly investigating the improvement and further development of transfer standards and measurement methods for various spectral regions. The realization and dissemination of the spectral irradiance using the blackbody-radiator at the PTB is accomplished with worldwide approved minimized measurement uncertainties

  2. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  3. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  4. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  5. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  6. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  7. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  8. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  9. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  10. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  11. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  12. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  13. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  14. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  15. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  16. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  17. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  18. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  19. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  20. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  1. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  2. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  3. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  4. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  5. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  6. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  7. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  8. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  9. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  10. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  11. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  12. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  13. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  14. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  15. Final report on CCQM-K80: Comparison of value-assigned CRMs and PT materials: Creatinine in human serum

    Science.gov (United States)

    Camara, Johanna E.; Duewer, David L.; Gasca Aragon, Hugo; Lippa, Katrice A.; Toman, Blaza

    2013-01-01

    The 2009 CCQM-K80 'Comparison of value-assigned CRMs and PT materials: creatinine in human serum' is the first in a series of key comparisons directly testing the chemical measurement services provided to customers by National Metrology Institutes (NMIs) and Designated Institutes. CCQM-K80 compared the assigned serum creatinine values of certified reference materials (CRMs) using measurements made on these materials under repeatability conditions. Six NMIs submitted 17 CRM materials for evaluation, all intended for sale to customers. These materials represent nearly all of the higher-order CRMs then available for this clinically important measurand. The certified creatinine mass fraction in the materials ranged from 3 mg/kg to 57 mg/kg. All materials were stored and prepared according the specifications provided by each NMI. Samples were processed and analyzed under repeatability conditions by one analyst using isotope dilution liquid chromatography-mass spectrometry. The instrumental repeatability imprecision, expressed as a percent relative standard deviation, was 1.2%. Given the number of materials and the time required for each analysis, the measurements were made in two measurement campaigns ('runs'). In both campaigns, replicate analyses (two injections of one preparation separated in time) were made on each of two or three independently prepared aliquots from one randomly selected unit of each of the 17 materials. The mean value, between-campaign, between-aliquot and between-replicate variance components, standard uncertainty of the mean value, and the number of degrees of freedom associated with the standard uncertainty were estimated using a linear mixed model. Since several of the uncertainties estimated using this traditional frequentist approach were associated with a single degree of freedom, Markov Chain Monte Carlo Bayesian analysis was used to estimate 95% level-of-confidence coverage intervals, U95. Uncertainty-weighted generalized distance

  16. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  17. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  18. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  19. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  20. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  1. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  2. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  3. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  4. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  5. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  6. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  7. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  8. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  9. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  10. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  11. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  12. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  13. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  14. REFERENCE MATERIALS SYSTEM OF SCIENTIFIC METHODICAL CENTRE OF STATE SERVICE OF REFERENCE MATERIALS FOR COMPOSITION AND PROPERTIES OF SUBSTANCES AND MATERIALS URAL RESEARCH INSTITUTE FOR METROLOGY

    Directory of Open Access Journals (Sweden)

    E. V. Osinseva

    2015-01-01

    Full Text Available Since 1960s UNIIM performs research in the field of needs in reference materials of composition and properties of substances and materials (RM as well as develops it. During the research UNIIM has developed 757 types of RMs for metrological measurement assurance of factors of composition and properties of substance and materials for test laboratories of chemical, pharmaceutical, fuel, food industry, agriculture, metallurgy and ecological monitoring laboratories. List ofRMs enlarges thanks to development of UNIIM standards and transmission measurement facility from State standards of units. Taking into account the actual requirements in the field of measurements, the UNIIM's key destination is to assure the accuracy and the metrological traceability of measurements. The present-day system of RMs to be developed in UNIIM includes RMs of composition of inorganic and organic compounds and their solutions, fuels, stable isotopic materials, water, grounds, food products, biomaterials, nanomaterials, metals, alloys and other materials offerrous and non-ferrous industry, RMs of properties (thermodynamic, magnetic, physical-chemical, technical of substances and materials. The present article considers history of RMs list development which were created by UNIIM and the strategy of this direction.

  15. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    Science.gov (United States)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  16. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  17. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  18. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  19. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  20. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  1. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  2. Application of Vision Metrology to In-Orbit Measurement of Large Reflector Onboard Communication Satellite for Next Generation Mobile Satellite Communication

    Science.gov (United States)

    Akioka, M.; Orikasa, T.; Satoh, M.; Miura, A.; Tsuji, H.; Toyoshima, M.; Fujino, Y.

    2016-06-01

    Satellite for next generation mobile satellite communication service with small personal terminal requires onboard antenna with very large aperture reflector larger than twenty meters diameter because small personal terminal with lower power consumption in ground base requires the large onboard reflector with high antenna gain. But, large deployable antenna will deform in orbit because the antenna is not a solid dish but the flexible structure with fine cable and mesh supported by truss. Deformation of reflector shape deteriorate the antenna performance and quality and stability of communication service. However, in case of digital beam forming antenna with phased array can modify the antenna beam performance due to adjustment of excitation amplitude and excitation phase. If we can measure the reflector shape precisely in orbit, beam pattern and antenna performance can be compensated with the updated excitation amplitude and excitation phase parameters optimized for the reflector shape measured every moment. Softbank Corporation and National Institute of Information and Communications Technology has started the project "R&D on dynamic beam control technique for next generation mobile communication satellite" as a contracted research project sponsored by Ministry of Internal Affairs and Communication of Japan. In this topic, one of the problem in vision metrology application is a strong constraints on geometry for camera arrangement on satellite bus with very limited space. On satellite in orbit, we cannot take many images from many different directions as ordinary vision metrology measurement and the available area for camera positioning is quite limited. Feasibility of vision metrology application and general methodology to apply to future mobile satellite communication satellite is to be found. Our approach is as follows: 1) Development of prototyping simulator to evaluate the expected precision for network design in zero order and first order 2) Trial

  3. Brazilian Institute for Radiation Protection and Dosimetry (IRD/CNEN-RJ): Report 2000-2010

    International Nuclear Information System (INIS)

    2010-01-01

    This report presents the activities of the Institute for Radiation Protection and Dosimetry of the Brazilian Nuclear Energy Commission from 2000 to 2010 and has eight chapters: 1) history; 2) main designations and attributions; 3) metrology and assays; 4) conformity evaluation; 5) assistance to radiological and nuclear emergencies; 6) capacity and training; 7) infrastructure of information technology and 8) operational indicators

  4. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  5. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  6. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  7. Characterization of an extrapolation chamber and radiochromic films for verifying the metrological coherence among beta radiation fields

    International Nuclear Information System (INIS)

    Castillo, Jhonny Antonio Benavente

    2011-01-01

    The metrological coherence among standard systems is a requirement for assuring the reliability of dosimetric quantities measurements in ionizing radiation field. Scientific and technologic improvements happened in beta radiation metrology with the installment of the new beta secondary standard BSS2 in Brazil and with the adoption of the internationally recommended beta reference radiations. The Dosimeter Calibration Laboratory of the Development Center for Nuclear Technology (LCD/CDTN), in Belo Horizonte, implemented the BSS2 and methodologies are investigated for characterizing the beta radiation fields by determining the field homogeneity, the accuracy and uncertainties in the absorbed dose in air measurements. In this work, a methodology to be used for verifying the metrological coherence among beta radiation fields in standard systems was investigated; an extrapolation chamber and radiochromic films were used and measurements were done in terms of absorbed dose in air. The reliability of both the extrapolation chamber and the radiochromic film was confirmed and their calibrations were done in the LCD/CDTN in 90 Sr/ 90 Y, 85 Kr and 147 Pm beta radiation fields. The angular coefficients of the extrapolation curves were determined with the chamber; the field mapping and homogeneity were obtained from dose profiles and isodose with the radiochromic films. A preliminary comparison between the LCD/CDTN and the Instrument Calibration Laboratory of the Nuclear and Energy Research Institute / Sao Paulo (LCI/IPEN) was carried out. Results with the extrapolation chamber measurements showed in terms of absorbed dose in air rates showed differences between both laboratories up to de -I % e 3%, for 90 Sr/ 90 Y, 85 Kr and 147 Pm beta radiation fields, respectively. Results with the EBT radiochromic films for 0.1, 0.3 and 0.15 Gy absorbed dose in air, for the same beta radiation fields, showed differences up to 3%, -9% and -53%. The beta radiation field mappings with

  8. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  9. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  10. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  11. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  12. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  13. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  14. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  15. Digital holography for MEMS and microsystem metrology

    CERN Document Server

    Asundi, Anand

    2011-01-01

    Approaching the topic of digital holography from the practical perspective of industrial inspection, Digital Holography for MEMS and Microsystem Metrology describes the process of digital holography and its growing applications for MEMS characterization, residual stress measurement, design and evaluation, and device testing and inspection. Asundi also provides a thorough theoretical grounding that enables the reader to understand basic concepts and thus identify areas where this technique can be adopted. This combination of both practical and theoretical approach will ensure the

  16. Traceability and uncertainty estimation in coordinate metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Savio, Enrico; De Chiffre, Leonardo

    2001-01-01

    National and international standards have defined performance verification procedures for coordinate measuring machines (CMMs) that typically involve their ability to measure calibrated lengths and to a certain extent form. It is recognised that, without further analysis or testing, these results...... are required. Depending on the requirements for uncertainty level, different approaches may be adopted to achieve traceability. Especially in the case of complex measurement situations and workpieces the procedures are not trivial. This paper discusses the establishment of traceability in coordinate metrology...

  17. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  18. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  19. Aerosol metrology: aerodynamic and electrostatic techniques

    International Nuclear Information System (INIS)

    Prodi, V.

    1988-01-01

    Aerosols play an ever increasing role in science, engineering and especially in industrial and environmental hygiene. They are being studied since a long time, but only recently the progress in aerosol instrumentation has made it possible to pose of aerosol metrology, especially the problem of absolute measurements, as based directly on measurements of fundamental quantities. On the basis of absolute measurements, the hierarchy of standards can be prepared and adequately disseminated. In the aerosol field, the quantities to be measured are mainly size, charge, density, and shape. In this paper a possible standardisation framework for aerosols is proposed, for the main physical quantities

  20. APMP.QM-S8: determination of mass fraction of benzoic acid, methyl paraben and n-butyl paraben in soy sauce

    Science.gov (United States)

    Teo, Tang Lin; Gui, Ee Mei; Lu, Ting; Sze Cheow, Pui; Giannikopoulou, Panagiota; Kakoulides, Elias; Lampi, Evgenia; Choi, Sik-man; Yip, Yiu-chung; Chan, Pui-kwan; Hui, Sin-kam; Wollinger, Wagner; Carvalho, Lucas J.; Garrido, Bruno C.; Rego, Eliane C. P.; Ahn, Seonghee; Kim, Byungjoo; Li, Xiuqin; Guo, Zhen; Styarini, Dyah; Aristiawan, Yosi; Putri Ramadhaningtyas, Dillani; Aryana, Nurhani; Ebarvia, Benilda S.; Dacuaya, Aaron; Tongson, Alleni; Aganda, Kim Christopher; Junvee Fortune, Thippaya; Tangtrirat, Pradthana; Mungmeechai, Thanarak; Ceyhan Gören, Ahmet; Gündüz, Simay; Yilmaz, Hasibe

    2017-01-01

    The supplementary comparison APMP.QM-S8: determination of mass fraction of benzoic acid, methyl paraben and n-butyl paraben in soy sauce was coordinated by the Health Sciences Authority, Singapore under the auspices of the Organic Analysis Working Group (OAWG) of the Comité Consultatif pour la Quantité de Matière (CCQM). Ten national metrology institutes (NMIs) or designated institutes (DIs) participated in the comparison. All the institutes participated in the comparison for benzoic acid, while six NMIs/DIs participated in the comparison for methyl paraben and n-butyl paraben. The comparison was designed to enable participating institutes to demonstrate their measurement capabilities in the determination of common preservatives in soy sauce, using procedure(s) that required simple sample preparation and selective detection in the mass fraction range of 50 to 1000 mg/kg. The demonstrated capabilities can be extended to include other polar food preservatives (e.g. sorbic acid, propionic acid and other alkyl benzoates) in water, aqueous-based beverages (e.g. fruit juices, tea extracts, sodas, sports drinks, etc) and aqueous-based condiments (e.g. vinegar, fish sauce, etc). Liquid--liquid extraction and/or dilution were applied, followed by instrumental analyses using LC-MS/MS, LC-MS, GC-MS (with or without derivatisation) or HPLC-DAD. Isotope dilution mass spectrometry was used for quantification, except in the case of a participating institute, where external calibration method was used for quantification of all three measurands. The assigned Supplementary Comparison Reference Values (SCRVs) were the medians of ten results for benzoic acid, six results for methyl paraben and six results for n-butyl paraben. Benzoic acid was assigned a SCRV of 154.55 mg/kg with a combined standard uncertainty of 0.94 mg/kg, methyl paraben was assigned a SCRV of 100.95 mg/kg with a combined standard uncertainty of 0.40 mg/kg, and n-butyl paraben was assigned a SCRV of 99.05 mg

  1. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  2. Theory-based metrological traceability in education: A reading measurement network.

    Science.gov (United States)

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  3. Calibration Laboratory of the Paul Scherrer Institute

    International Nuclear Information System (INIS)

    Gmuer, K.; Wernli, C.

    1994-01-01

    Calibration and working checks of radiation protection instruments are carried out at the Calibration Laboratory of the Paul Scherrer Institute. In view of the new radiation protection regulation, the calibration laboratory received an official federal status. The accreditation procedure in cooperation with the Federal Office of Metrology enabled a critical review of the techniques and methods applied. Specifically, personal responsibilities, time intervals for recalibration of standard instruments, maximum permissible errors of verification, traceability and accuracy of the standard instruments, form and content of the certificates were defined, and the traceability of the standards and quality assurance were reconsidered. (orig.) [de

  4. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  5. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    International Nuclear Information System (INIS)

    Brau-Avila, A; Valenzuela-Galvan, M; Herrera-Jimenez, V M; Santolaria, J; Aguilar, J J; Acero, R

    2017-01-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs. (paper)

  6. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    Science.gov (United States)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  7. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  8. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  9. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  10. Implementation of a metrology national network for radionuclides used in nuclear medicine; Implementacao de uma rede nacional de metrologia de radionuclideos utilizados em medicina nuclear

    Energy Technology Data Exchange (ETDEWEB)

    Santos, J.A. dos; Lopes, R.T. [Universidade Federal do Rio de Janeiro (UFRJ), RJ (Brazil). Coordenacao dos Programas de Pos-Graduacao de Engenharia (COPPE)], e-mail: joyra@ird.gov.br, e-mail: ricardo@lin.ufrj.br; Iwahara, A.; Tauhata, L. [Instituto de Radioprotecao e Dosimetria (IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiacoes Ionizantes (LNMRI)], e-mail: iwahara@ird.gov.br, e-mail: tauhata@ird.gov.br; Nicoli, I.G.; Dias, C.M. [Comissao Nacional de Energia Nuclear (DIPLAN/CNEN-DF), Brasilia, DF (Brazil). Distrito do Planalto], e-mail: ieda@cnen.gov.br, e-mail: cintia@cnen.gov.br

    2003-07-01

    The Brazilian Laboratory for Metrology of Ionizing Radiation (LNMRI), of the Radioprotection and Dosimetry Institute, owned by the National Commission of Nuclear Energy (IRD/CNEN-RJ) has conducting since 1998, a comparison program for measurements of radiopharmaceuticals activities applied to patients at Nuclear Medicine sector aiming to the assessment the quality of those measurements. In the Rio de Janeiro state this program is successfully performed existing however the necessity to implement such program all over the country. This problem is being solved through the implementation of a reference laboratories network at several points in the brazilian territory. For the establishment and good working of the network the following factors must be observed: the radionuclide calibrators at the reference laboratories must be connected to the LNMRI; the operators must be trained by the staff or the LNMRI, and the quality guarantee must be assured through a comparison program. Presently, the network point placed in Brasilia is running and covering all the center-west region. The results obtained at this region show that the implementation of the metrology network is viable, important and achievable.

  11. The origins of the metrology of ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Paschoa, Anselmo S. [Pontificia Univ. Catolica do Rio de Janeiro, RJ (Brazil). Dept. de Fisica]. E-mail: aspas@itaipu.vdg.fis.puc-rio.br

    2000-07-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of {sup 226} Ra and {sup 228} Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  12. The origins of the metrology of ionizing radiation

    International Nuclear Information System (INIS)

    Paschoa, Anselmo S.

    2000-01-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of 226 Ra and 228 Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  13. Preface: The 5th International Workshop on X-ray Mirror Design, Fabrication, and Metrology

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, Lahsen [Argonne National Laboratory, 9700 South Cass Avenue, Lemont, Illinois 60439 (United States); Goldberg, Kenneth; Yashchuk, Valeriy V. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2016-05-15

    Recent developments in synchrotron storage rings and free-electron laser-based x-ray sources with ever-increasing brightness and coherent flux have pushed x-ray optics requirements to new frontiers. This Special Topic gathers a set of articles derived from a subset of the key presentations of the International Workshop on X-ray Mirrors Fabrication (IWXM-2015) and Metrology held at Lawrence Berkley National Laboratory, Berkeley, California, USA, July 14–16, 2015. The workshop objective was to report on recent progress in x-ray synchrotron radiation mirrors fabrication as well as on new developments in related metrology tools and methods.

  14. Metrology for Fuel Cell Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Stocker, Michael [National Inst. of Standards and Technology, Gaithersburg, MD (United States); Stanfield, Eric [National Inst. of Standards and Technology, Gaithersburg, MD (United States)

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. The objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.

  15. Metrological challenges for measurements of key climatological observables: oceanic salinity and pH, and atmospheric humidity. Part 1: overview

    Science.gov (United States)

    Feistel, R.; Wielgosz, R.; Bell, S. A.; Camões, M. F.; Cooper, J. R.; Dexter, P.; Dickson, A. G.; Fisicaro, P.; Harvey, A. H.; Heinonen, M.; Hellmuth, O.; Kretzschmar, H.-J.; Lovell-Smith, J. W.; McDougall, T. J.; Pawlowicz, R.; Ridout, P.; Seitz, S.; Spitzer, P.; Stoica, D.; Wolf, H.

    2016-02-01

    Water in its three ambient phases plays the central thermodynamic role in the terrestrial climate system. Clouds control Earth’s radiation balance, atmospheric water vapour is the strongest ‘greenhouse’ gas, and non-equilibrium relative humidity at the air-sea interface drives evaporation and latent heat export from the ocean. On climatic time scales, melting ice caps and regional deviations of the hydrological cycle result in changes of seawater salinity, which in turn may modify the global circulation of the oceans and their ability to store heat and to buffer anthropogenically produced carbon dioxide. In this paper, together with three companion articles, we examine the climatologically relevant quantities ocean salinity, seawater pH and atmospheric relative humidity, noting fundamental deficiencies in the definitions of those key observables, and their lack of secure foundation on the International System of Units, the SI. The metrological histories of those three quantities are reviewed, problems with their current definitions and measurement practices are analysed, and options for future improvements are discussed in conjunction with the recent seawater standard TEOS-10. It is concluded that the International Bureau of Weights and Measures, BIPM, in cooperation with the International Association for the Properties of Water and Steam, IAPWS, along with other international organizations and institutions, can make significant contributions by developing and recommending state-of-the-art solutions for these long standing metrological problems in climatology.

  16. Context-based virtual metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  17. Sensors for Metering Heat Flux Area Density and Metrological Equipment for the Heat Flux Density Measurement

    Science.gov (United States)

    Doronin, D. O.

    2018-04-01

    The demand in measuring and studies of heat conduction of various media is very urgent now. This article considers the problem of heat conduction monitoring and measurement in various media and materials in any industries and branches of science as well as metrological support of the heat flux measurement equipment. The main study objects are both the sensors manufactured and facilities onto which these sensors will be installed: different cladding structures of the buildings, awnings, rocket fairings, boiler units, internal combustion engines. The Company develops and manufactures different types of heat flux sensors: thermocouple, thin-film, heterogeneous gradient as well as metrological equipment for the gauging calibration of the heat flux density measurement. The calibration shall be performed using both referencing method in the unit and by fixed setting of the heat flux in the unit. To manufacture heterogeneous heat flux gradient sensors (HHFGS) the Company developed and designed a number of units: diffusion welding unit, HHFGS cutting unit. Rather good quality HHFGS prototypes were obtained. At this stage the factory tests on the equipment for the heat flux density measurement equipment are planned. A high-sensitivity heat flux sensor was produced, now it is tested at the Construction Physics Research Institute (Moscow). It became possible to create thin-film heat flux sensors with the sensitivity not worse than that of the sensors manufactured by Captec Company (France). The Company has sufficient premises to supply the market with a wide range of sensors, to master new sensor manufacture technologies which will enable their application range.

  18. Metrology of reflection optics for synchrotron radiation

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1985-09-01

    Recent years have seen an almost explosive growth in the number of beam lines on new and existing synchrotron radiation facilities throughout the world. The need for optical components to utilize the unique characteristics of synchrotron radiation has increased accordingly. Unfortunately, the technology to manufacture and measure the large, smooth, exotic optical surfaces required to focus and steer the synchrotron radiation beam has not progressed as rapidly as the operational demands on these components. Most companies do not wish to become involved with a project that requires producing a single, very expensive, aspheric optic with surface roughness and figure tolerances that are beyond their capabilities to measure. This paper will review some of the experiences of the National Synchrotron Light Source in procuring grazing incidence optical components over the past several years. We will review the specification process - how it is related to the function of the optic, and how it relates to the metrology available during the manufacturing process and after delivery to the user's laboratory. We will also discuss practical aspects of our experience with new technologies, such as single point diamond turning of metal mirrors and the use of SiC as a mirror material. Recent advances in metrology instrumentation have the potential to move the measurement of surface figure and finish from the research laboratory into the optical shop, which should stimulate growth and interest in the manufacturing of optics to meet the needs of the synchrotron radiation user community

  19. Dimensional quality control of Ti-Ni dental file by optical coordinate metrology and computed tomography

    DEFF Research Database (Denmark)

    Yagüe-Fabra, J.A.; Tosello, Guido; Ontiveros, S.

    2014-01-01

    Endodontic dental files usually present complex 3D geometries, which make the complete measurement of the component very challenging with conventional micro metrology tools. Computed Tomography (CT) can represent a suitable alternative solution to micro metrology tools based on optical and tactile...... techniques. However, the establishment of CT systems traceability when measuring 3D complex geometries is still an open issue. In this work, to verify the quality of the CT dimensional measurements, the dental file has been measured both with a μCT system and an optical CMM (OCMM). The uncertainty...

  20. 3D-SEM Metrology for Coordinate Measurements at the Nanometer Scale

    DEFF Research Database (Denmark)

    Carli, Lorenzo

    to be addressed concerning uncertainty evaluation have been discussed. Most recent developments in the field of micro and nano-metrology, in terms of measuring machines and techniques, are described pointing out advantages and limitations. The importance of multi-sensor and multi-orientation strategy...

  1. Metrological characteristics of the new BSS2 beta secondary standard system

    International Nuclear Information System (INIS)

    Reynaldo, Sibele R.

    2005-01-01

    Due to the increased interest and the importance of beta radiation dosimetry for radiation protection purposes, the Centro de Desenvolvimento da Tecnologia Nuclear. MG, Brazil, acquired the newest Beta Secondary Standard system (BSS2) in order to replace the old BSS1 model, with the goal of implement a beta radiation metrology laboratory and provide the corresponding reference radiation. The new system BSS2, unique in Latin America, requires operational testing and metrological characterization for reliability purposes. For this, some comparative investigations of the two systems were made. The influence of opening and closing the shutter in the final dose of radiation was identified as the highest in the BSS2 in relation to the one founded in BSS1, justified by the structural difference of the shutters of the systems and the reproducibility of source-detector geometry was better in BSS2, because of the robustness of the same

  2. Tests of operating conditions for metrological application of HTS Josephson arrays

    International Nuclear Information System (INIS)

    Sosso, A; Lacquaniti, V; Andreone, D; Cerri, R; Klushin, A M

    2006-01-01

    We report on an experimental study of metrological properties of High Temperature Superconductor arrays, made of shunted bicrystal YBCO Josephson junctions, to assess their accuracy. A detailed analysis of measurement errors is presented, mainly based on a direct comparison of an HTS array against a low temperature array. Owing to the high sensitivity of the comparison, we were able to measure the changes in the HTS array voltage on a step at nanovolt level. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results provided by the usual, low sensitivity, techniques, confirming that the method we adopted is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was applied in the derivation of the temperature dependence of the critical current as well, providing some insights on the behaviour of the HTS array

  3. Quantum metrology for gravitational wave astronomy.

    Science.gov (United States)

    Schnabel, Roman; Mavalvala, Nergis; McClelland, David E; Lam, Ping K

    2010-11-16

    Einstein's general theory of relativity predicts that accelerating mass distributions produce gravitational radiation, analogous to electromagnetic radiation from accelerating charges. These gravitational waves (GWs) have not been directly detected to date, but are expected to open a new window to the Universe once the detectors, kilometre-scale laser interferometers measuring the distance between quasi-free-falling mirrors, have achieved adequate sensitivity. Recent advances in quantum metrology may now contribute to provide the required sensitivity boost. The so-called squeezed light is able to quantum entangle the high-power laser fields in the interferometer arms, and could have a key role in the realization of GW astronomy.

  4. X-ray metrology for ULSI structures

    International Nuclear Information System (INIS)

    Bowen, D. K.; Matney, K. M.; Wormington, M.

    1998-01-01

    Non-destructive X-ray metrological methods are discussed for application to both process development and process control of ULSI structures. X-ray methods can (a) detect the unacceptable levels of internal defects generated by RTA processes in large wafers, (b) accurately measure the thickness and roughness of layers between 1 and 1000 nm thick and (c) can monitor parameters such as crystallographic texture and the roughness of buried interfaces. In this paper we review transmission X-ray topography, thin film texture measurement, grazing-incidence X-ray reflectivity and high-resolution X-ray diffraction. We discuss in particular their suitability as on-line sensors for process control

  5. UPWIND Metrology, Deliverable D 1A2.1, List of measurement Parameters

    DEFF Research Database (Denmark)

    Hansen, Kurt Schaldemose

    performance measurements - Improvement of aerodynamic codes - Assessment of wind resources In general terms the uncertainty of the testing techniques and methods are typically much higher than the need. Since this problem covers many areas of wind energy, the work package is de-fined as a crosscutting...... activity. The problem is especially relevant for the following areas: Production related - Power performance testing especially in wind farms - Testing of turbine improvements in the order of several percent - Testing of aerodynamic codes - Testing of turbine response to effects such as turbulence...... profiles, turbulence, surface shear recovery distances etc) - Measurements of the interaction wind farms and microclimate The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The development...

  6. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    Science.gov (United States)

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  7. FOREWORD: The 4th CCM International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa)

    Science.gov (United States)

    Legras, Jean-Claude; Jousten, Karl; Severn, Ian

    2005-12-01

    The fourth CCM (Consultative Committee for Mass and related quantities) International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa) was held at the Institute of Physics in London from 19-21 April 2005. The event, which was organized by the Low, Medium and High Pressure working groups of the CCM, was attended by in excess of one hundred participants with representatives from five continents and every regional metrology organization. The purpose of this conference is to review all the work that is devoted to the highest quality of pressure measurement by primary standards as well as the dissemination of the pressure scale. A total of 52 papers were presented orally, and 26 as posters, in sessions that covered the following topics: Latest scientific advances in pressure and vacuum metrology Innovative transfer standards, advanced sensors and new instrument development Primary (top-level) measurement standards International and regional key comparisons New approaches to calibration It is interesting the note that since the third conference in 1999 the pressure range covered has increased by two orders of magnitude to 109 Pa, to take into account more exacting scientific and industrial demands for traceable vacuum measurement. A further feature of the conference was the increased range of instrumentation and techniques used in the realization and potential realization of pressure standards. Seton Bennett, Director of International Metrology at the National Physical Laboratory, opened the conference and Andrew Wallard, Director of the Bureau International des Poids et Mesures (BIPM), gave the keynote address which described the implementation of the mutual recognition arrangement and the resulting removal of metrological barriers to international trade. Many experts have contributed significant amounts of their time to organize the event and to review the submitted papers. Thanks are due to all of these people

  8. Unconditional violation of the shot-noise limit in photonic quantum metrology

    Science.gov (United States)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  9. Determination of the elementary charge and the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Feltin, N.; Piquemal, F. [Laboratoire National de Metrologie et d' Essais (LNE), 78 - Trappes (France)

    2009-06-15

    The elementary charge e is of fundamental importance in physics. The determination of its value, which is closely linked to progress of the measurement techniques, started in the beginning of the twentieth century and is still on-going. Today, in the frame of the CODATA adjustment, the evaluation of the fundamental constant, e, is derived from a complex calculation and is no more related to a single experiment. But the development of single electron tunneling (SET) devices, started in the early nineties, has opened the path towards modern metrological systems as quantum current sources. Thus a new direct determination of e is possible by implementing an electron pump and the set-up of the quantum metrological triangle (QMT) in combination with the experiments linking mechanical and electrical units. Furthermore, we show how the QMT experiment can contribute to the establishment of a new system of units based on fundamental constants of physics. (authors)

  10. Alignment of KB mirrors with at-wavelength metrology tool simulated using SRW

    Science.gov (United States)

    Idir, Mourad; Rakitin, Maksim; Gao, Bo; Xue, Junpeng; Huang, Lei; Chubar, Oleg

    2017-08-01

    Synchrotron Radiation Workshop (SRW) is a powerful synchrotron radiation simulation tool and has been widely used at synchrotron facilities all over the world. During the last decade, many types of X-ray wavefront sensors have been developed and used. In this work, we present our recent effort on the development of at-wavelength metrology simulation based on SRW mainly focused on the Hartmann Wavefront Sensor (HWS). Various conditions have been studied to verify that the simulated HWS is performing as expected in terms of accuracy. This at-wavelength metrology simulation tool is then used to align KB mirrors by minimizing the wavefront aberrations. We will present our optimization process to perform an `in situ' alignment using conditions as close as possible to the real experiments (KB mirrors with different levels of figure errors or different misalignment geometry).

  11. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  12. Plutonium glove boxes - metrology and operational states

    International Nuclear Information System (INIS)

    Thyer, A.M.

    2001-01-01

    The main objective was to undertake a literature review in support of NII's ongoing work in improving safety in the nuclear industry to help define suitable standards of cleanliness for plutonium glove boxes. This is to cover the following areas: existing or proposed national/international standards relating to plutonium glove box cleanliness management; practicable metrology options for assessing the plutonium content of glove boxes; any available dose information relating to the operation of modern and 'old design'; current contamination levels of specific significance (i.e. any accepted level in decommissioning/waste terms, typical criticality limits (if available), any box plutonium loadings that are documented with corresponding operator doses etc.); and, techniques for the decontamination of plutonium glove boxes and their relative effectiveness. This should then form the basis of any further development work undertaken by the UK nuclear industry. Main recommendations are as follows: 1) No information could be found in open literature on acceptable levels of contamination in boxes and action levels for cleanup. If these are not available in closed publications the 2) Where possible, the decontamination methods identified should be tested and dose information recorded against each method to allow informed decisions on which is the optimum technique for a particular form of contamination. 3) Consideration should be given to utilisation of metrology options which have the lowest potential for exposure of operators. Preferred options, may be detection from the outside of boxes using hand-held or permanently located radiation detectors, or semi-intrusive methods such as air-ionisation readings which would require one-off installation of detectors in ductwork

  13. Innovative Ge Quantum Dot Functional Sensing and Metrology Devices

    Science.gov (United States)

    2017-08-21

    Sensing/Metrology Devices Period: May 26th 2015May 25th 2017 Investigators: Pei-Wen Li Affiliation: Department of Electrical Engineering , National...light sources as well as low-power, high-speed Ge photodetectors indeed requires the growth of direct-gap Ge, heterostructure engineering for...All these tasks cannot be simply conducted in terms of bulk Ge technology, and it is no doubt that nanoscience and nanotechnology would offer

  14. Conceptual design finalisation of the ITER In-Vessel Viewing and Metrology System (IVVS)

    Energy Technology Data Exchange (ETDEWEB)

    Dubus, Gregory, E-mail: gregory.dubus@f4e.europa.eu [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Puiu, Adrian; Damiani, Carlo; Van Uffelen, Marco; Lo Bue, Alessandro; Izquierdo, Jesus; Semeraro, Luigi [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Martins, Jean-Pierre; Palmer, Jim [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France)

    2013-10-15

    The In-Vessel Viewing and Metrology System (IVVS) is a fundamental tool for the ITER machine operations, aiming at performing inspections as well as providing information related to the erosion of in-vessel components. Periodically or on request, the IVVS probes will be deployed into the Vacuum Vessel from their storage positions (still within the ITER primary confinement) in order to perform both viewing and metrology on plasma facing components (blanket, divertor, heating/diagnostic plugs, test blanket modules) and, more generically, to provide information on the status of the in-vessel components. In 2011, the IO proposed to simplify and strengthen the six IVVS port extensions situated at the divertor level. Among other important consequences, such as the relocation of the Glow Discharge Cleaning (GDC) electrodes at other levels of the machine, this major design change implied the need for a substantial redesign of the IVVS plug, which took part to an on-going effort to bring the integrated IVVS concept – including the scanning probe and its deployment system – to the level of maturity suitable for the Conceptual Design Review. This paper gives an overview of the various design and R and D activities in progress: plug design integration, probe concept validation under environmental conditions, development of a metrology strategy, the whole supported by a nuclear analysis.

  15. Metrology with synchrotron radiation. A short introduction; Metrologie mit Synchrotronstrahlung. Eine kurze Einfuehrung

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Mathias [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Fachbereich ' Radiometrie mit Synchrotronstrahlung' ; Ulm, Gerhard

    2014-09-15

    The beam tubes and measuring places at the Metrology Light Source and BESSY II are listed together with their monochromator types, spectral ranges, spectral resolution powers, photon fluxes, beam sizes, and divergences. (HSI)

  16. Opportunities for scientists to influence policy: When does radiation metrology matter in development of national policy?

    International Nuclear Information System (INIS)

    Coursey, Bert M.

    2014-01-01

    Accurate measurements of radiation and radioactivity rarely rise to the level of national policy. The things that matter most to ordinary citizens do not normally include questions of science and technology. Citizens are more often concerned with issues close to home relating to commerce, health, safety, security and the environment. When questions of confidence in measurements arise, they are first directed to the ministry that has responsibilities in that area. When the required uncertainty in field measurements challenges the capability of the regulatory authorities, the National Metrology Institute may be asked to develop transfer standards to enhance the capabilities of the ministry with the mission lead. In this paper, we will consider eight instances over the past nine decades in which questions in radiation and radionuclide metrology in the US did rise to the level that they influenced decisions on national policy. These eight examples share some common threads. Radioactivity and ionizing radiation are useful tools in many disciplines, but can often represent potential or perceived threats to health and public safety. When unforeseen applications of radiation arise, or when environmental radioactivity from natural and man-made sources presents a possible health hazard, the radiation metrologists may be called upon to provide the technical underpinning for policy development. - Highlights: • We review instances in which accurate measurements of radiation influence policy. • Heads of state rely on senior science advisors to frame policy decisions. • Metrologists support federal agencies that have mission leads in different fields. • Metrologists are called on when other agencies lack requisite expertise. • Radionuclide metrologists must recognize and accept challenges

  17. STAT, GAPS, STRAIN, DRWDIM: a system of computer codes for analyzing HTGR fuel test element metrology data. User's manual

    Energy Technology Data Exchange (ETDEWEB)

    Saurwein, J.J.

    1977-08-01

    A system of computer codes has been developed to statistically reduce Peach Bottom fuel test element metrology data and to compare the material strains and fuel rod-fuel hole gaps computed from these data with HTGR design code predictions. The codes included in this system are STAT, STRAIN, GAPS, and DRWDIM. STAT statistically evaluates test element metrology data yielding fuel rod, fuel body, and sleeve irradiation-induced strains; fuel rod anisotropy; and additional data characterizing each analyzed fuel element. STRAIN compares test element fuel rod and fuel body irradiation-induced strains computed from metrology data with the corresponding design code predictions. GAPS compares test element fuel rod, fuel hole heat transfer gaps computed from metrology data with the corresponding design code predictions. DRWDIM plots the measured and predicted gaps and strains. Although specifically developed to expedite the analysis of Peach Bottom fuel test elements, this system can be applied, without extensive modification, to the analysis of Fort St. Vrain or other HTGR-type fuel test elements.

  18. Elements for successful sensor-based process control {Integrated Metrology}

    International Nuclear Information System (INIS)

    Butler, Stephanie Watts

    1998-01-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended

  19. Elements for successful sensor-based process control {Integrated Metrology}

    Science.gov (United States)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  20. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    International Nuclear Information System (INIS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility. (paper)

  1. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    Science.gov (United States)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  2. Statistical metrology - measurement and modeling of variation for advanced process development and design rule generation

    International Nuclear Information System (INIS)

    Boning, Duane S.; Chung, James E.

    1998-01-01

    Advanced process technology will require more detailed understanding and tighter control of variation in devices and interconnects. The purpose of statistical metrology is to provide methods to measure and characterize variation, to model systematic and random components of that variation, and to understand the impact of variation on both yield and performance of advanced circuits. Of particular concern are spatial or pattern-dependencies within individual chips; such systematic variation within the chip can have a much larger impact on performance than wafer-level random variation. Statistical metrology methods will play an important role in the creation of design rules for advanced technologies. For example, a key issue in multilayer interconnect is the uniformity of interlevel dielectric (ILD) thickness within the chip. For the case of ILD thickness, we describe phases of statistical metrology development and application to understanding and modeling thickness variation arising from chemical-mechanical polishing (CMP). These phases include screening experiments including design of test structures and test masks to gather electrical or optical data, techniques for statistical decomposition and analysis of the data, and approaches to calibrating empirical and physical variation models. These models can be integrated with circuit CAD tools to evaluate different process integration or design rule strategies. One focus for the generation of interconnect design rules are guidelines for the use of 'dummy fill' or 'metal fill' to improve the uniformity of underlying metal density and thus improve the uniformity of oxide thickness within the die. Trade-offs that can be evaluated via statistical metrology include the improvements to uniformity possible versus the effect of increased capacitance due to additional metal

  3. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    Science.gov (United States)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  4. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    International Nuclear Information System (INIS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-01-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes. (paper)

  5. Physics colloquium: Single-electron counting in quantum metrology and in statistical mechanics

    CERN Multimedia

    Geneva University

    2011-01-01

    GENEVA UNIVERSITY Ecole de physique Département de physique nucléaire et corspusculaire 24, quai Ernest-Ansermet 1211 Genève 4 Tél.: (022) 379 62 73 Fax: (022) 379 69 92olé   Lundi 17 octobre 2011 17h00 - Ecole de Physique, Auditoire Stueckelberg PHYSICS COLLOQUIUM « Single-electron counting in quantum metrology and in statistical mechanics » Prof. Jukka Pekola Low Temperature Laboratory, Aalto University Helsinki, Finland   First I discuss the basics of single-electron tunneling and its potential applications in metrology. My main focus is in developing an accurate source of single-electron current for the realization of the unit ampere. I discuss the principle and the present status of the so-called single- electron turnstile. Investigation of errors in transporting electrons one by one has revealed a wealth of observations on fundamental phenomena in mesoscopic superconductivity, including individual Andreev...

  6. Quantum metrology subject to spatially correlated Markovian noise: restoring the Heisenberg limit

    International Nuclear Information System (INIS)

    Jeske, Jan; Cole, Jared H; Huelga, Susana F

    2014-01-01

    Environmental noise can hinder the metrological capabilities of entangled states. While the use of entanglement allows for Heisenberg-limited resolution, the largest permitted by quantum mechanics, deviations from strictly unitary dynamics quickly restore the standard scaling dictated by the central limit theorem. Product and maximally entangled states become asymptotically equivalent when the noisy evolution is both local and strictly Markovian. However, temporal correlations in the noise have been shown to lift this equivalence while fully (spatially) correlated noise allows for the identification of decoherence-free subspaces. Here we analyze precision limits in the presence of noise with finite correlation length and show that there exist robust entangled state preparations which display persistent Heisenberg scaling despite the environmental decoherence, even for small correlation length. Our results emphasize the relevance of noise correlations in the study of quantum advantage and could be relevant beyond metrological applications. (paper)

  7. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform

    Science.gov (United States)

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-01-01

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform’s mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument’s working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform. PMID:27869722

  8. Virtual Distances Methodology as Verification Technique for AACMMs with a Capacitive Sensor Based Indexed Metrology Platform.

    Science.gov (United States)

    Acero, Raquel; Santolaria, Jorge; Brau, Agustin; Pueo, Marcos

    2016-11-18

    This paper presents a new verification procedure for articulated arm coordinate measuring machines (AACMMs) together with a capacitive sensor-based indexed metrology platform (IMP) based on the generation of virtual reference distances. The novelty of this procedure lays on the possibility of creating virtual points, virtual gauges and virtual distances through the indexed metrology platform's mathematical model taking as a reference the measurements of a ball bar gauge located in a fixed position of the instrument's working volume. The measurements are carried out with the AACMM assembled on the IMP from the six rotating positions of the platform. In this way, an unlimited number and types of reference distances could be created without the need of using a physical gauge, therefore optimizing the testing time, the number of gauge positions and the space needed in the calibration and verification procedures. Four evaluation methods are presented to assess the volumetric performance of the AACMM. The results obtained proved the suitability of the virtual distances methodology as an alternative procedure for verification of AACMMs using the indexed metrology platform.

  9. Comparison of the dimensions of electrical resistance units, supported on the basis of the Hall quantum effect, in the all-Russia research institute of metrological service and the Czech metrological institute

    Czech Academy of Sciences Publication Activity Database

    Semenchinsky, S. G.; Chrobok, P.; Svoboda, Pavel

    2008-01-01

    Roč. 51, č. 12 (2008), s. 1351-1356 ISSN 0543-1972 Institutional research plan: CEZ:AV0Z10100521 Keywords : resistance unit * quantum Hall effect * comparison * standards Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.151, year: 2008

  10. Metrological Array of Cyber-Physical Systems. Part 11. Remote Error Correction of Measuring Channel

    Directory of Open Access Journals (Sweden)

    Yuriy YATSUK

    2015-09-01

    Full Text Available The multi-channel measuring instruments with both the classical structure and the isolated one is identified their errors major factors basing on general it metrological properties analysis. Limiting possibilities of the remote automatic method for additive and multiplicative errors correction of measuring instruments with help of code-control measures are studied. For on-site calibration of multi- channel measuring instruments, the portable voltage calibrators structures are suggested and their metrological properties while automatic errors adjusting are analysed. It was experimentally envisaged that unadjusted error value does not exceed ± 1 mV that satisfies most industrial applications. This has confirmed the main approval concerning the possibilities of remote errors self-adjustment as well multi- channel measuring instruments as calibration tools for proper verification.

  11. Radiation monitoring of the Slovak Hydrometeorological Institute - Present and future

    International Nuclear Information System (INIS)

    Melicherova, T.

    2008-01-01

    Network for air radioactivity monitoring was developed in the frame Slovak Hydrometeorological Institute (SHMI) since 1963. There are data available for many years for beta radioactivity of the air particulate and deposition. At present network consist from 26 monitoring points for measurement of dose rate and 3 monitoring points for aerosol monitors. Measuring instrument are placed in the professional stations of the selected parts of Slovakia. They are regularly verified and calibrated in the Slovak Institute for Metrology. Radiation monitoring in the SHMI is one part of the Environmental monitoring of Slovakia. All activities and operation of this system are financed from governmental budget of the Environmental monitoring. All information about this system are available on the web page http://enviroportal.sk/ in the part 'Informacny system monitoringu'. (authors)

  12. Radiation monitoring of the Slovak Hydrometeorological Institute - Present and future

    International Nuclear Information System (INIS)

    Melicherova, T.

    2009-01-01

    Network for air radioactivity monitoring was developed in the frame Slovak Hydrometeorological Institute (SHMI) since 1963. There are data available for many years for beta radioactivity of the air particulate and deposition. At present network consist from 26 monitoring points for measurement of dose rate and 3 monitoring points for aerosol monitors. Measuring instrument are placed in the professional stations of the selected parts of Slovakia. They are regularly verified and calibrated in the Slovak Institute for Metrology. Radiation monitoring in the SHMI is one part of the Environmental monitoring of Slovakia. All activities and operation of this system are financed from governmental budget of the Environmental monitoring. All information about this system are available on the web page http://enviroportal.sk/ in the part 'Informacny system monitoringu'. (authors)

  13. Activities of the IPEN laboratory (CNEN/SP - Brazil) of nuclear metrology

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The determination of radionuclide activity for radioactive sources and standardized solutions is reported as the main purpose of the IPEN laboratory of nuclear metrology. The measurement systems installed in the laboratory, the measurable activity intervals and some of the standardized radionuclides (emphasizing the ones used in nuclear medicine) are presented. (M.A.C.) [pt

  14. Metrology measurements for large-aperture VPH gratings

    Science.gov (United States)

    Zheng, Jessica R.; Gers, Luke; Heijmans, Jeroen

    2013-09-01

    The High Efficiency and Resolution Multi Element Spectrograph (HERMES) for the Australian Astronomical Observatory (AAO) uses four large aperture, high angle of incidence volume phase holographic gratings (VPHG) for high resolution `Galactic archaeology' spectroscopy. The large clear aperture, the high diffraction efficiency, the line frequency homogeneity, and mosaic alignment made manufacturing and testing challenging. We developed new metrology systems at the AAO to verify the performance of these VPH gratings. The measured diffraction efficiencies and line frequency of the VPH gratings received so far meet the vendor's provided data. The wavefront quality for the Blue VPH grating is good but the Green and Red VPH gratings need to be post polishing.

  15. Characteristics of the radiation prevention metrology laboratory 'Cajavec' - Banjaluka

    International Nuclear Information System (INIS)

    Tomljenovic, I.; Ninkovic, M.; Kolonic, Dz.

    2004-01-01

    Radiation metrology laboratory built in the factory 'Cajavec' in Banja Luka, planed for gauge the detectors of ionization radiation. Laboratory as part of the large factory building , thus projected and formed according to positive radiation principles. Walls are constructed of basic concrete, main entrance of lead, approaching the radiation bench from the back side. Sound and light signal system connected with dosemeter for showing mini dose of radiation creating conditions for safe work of the dosemeterists. (author) [sr

  16. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    Science.gov (United States)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  17. Bayesian estimation methods in metrology

    International Nuclear Information System (INIS)

    Cox, M.G.; Forbes, A.B.; Harris, P.M.

    2004-01-01

    In metrology -- the science of measurement -- a measurement result must be accompanied by a statement of its associated uncertainty. The degree of validity of a measurement result is determined by the validity of the uncertainty statement. In recognition of the importance of uncertainty evaluation, the International Standardization Organization in 1995 published the Guide to the Expression of Uncertainty in Measurement and the Guide has been widely adopted. The validity of uncertainty statements is tested in interlaboratory comparisons in which an artefact is measured by a number of laboratories and their measurement results compared. Since the introduction of the Mutual Recognition Arrangement, key comparisons are being undertaken to determine the degree of equivalence of laboratories for particular measurement tasks. In this paper, we discuss the possible development of the Guide to reflect Bayesian approaches and the evaluation of key comparison data using Bayesian estimation methods

  18. Metrological aspects of enzyme production

    International Nuclear Information System (INIS)

    Kerber, T M; Pereira-Meirelles, F V; Dellamora-Ortiz, G M

    2010-01-01

    Enzymes are frequently used in biotechnology to carry out specific biological reactions, either in industrial processes or for the production of bioproducts and drugs. Microbial lipases are an important group of biotechnologically valuable enzymes that present widely diversified applications. Lipase production by microorganisms is described in several published papers; however, none of them refer to metrological evaluation and the estimation of the uncertainty in measurement. Moreover, few of them refer to process optimization through experimental design. The objectives of this work were to enhance lipase production in shaken-flasks with Yarrowia lipolytica cells employing experimental design and to evaluate the uncertainty in measurement of lipase activity. The highest lipolytic activity obtained was about three- and fivefold higher than the reported activities of CRMs BCR-693 and BCR-694, respectively. Lipase production by Y. lipolytica cells aiming the classification as certified reference material is recommended after further purification and stability studies

  19. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    International Nuclear Information System (INIS)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.; Domning, Edward E.; McKinney, Wayne R.; Morrison, Gregory Y.; Smith, Brian V.; Yashchuk, Valeriy V.

    2008-01-01

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performance and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation

  20. Metrological Traceability in the Social Sciences: A Model from Reading Measurement

    International Nuclear Information System (INIS)

    Stenner, A Jackson; Fisher, William P Jr

    2013-01-01

    The central importance of reading ability in learning makes it the natural place to start in formative and summative assessments in education. The Lexile Framework for Reading constitutes a commercial metrological traceability network linking books, test results, instructional materials, and students in elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia

  1. Quality control of the analysis of IAEA samples in the radium institute

    International Nuclear Information System (INIS)

    Belyaev, B.N.; Lovtsus, A.V.; Makarova, T.P.; Stepanov, A.V.

    1989-01-01

    Metrological chracteristics of mass and alpha spectrometric methods in the Radium Institute for analysis of spent fuel control samples are evaluated. The techniques of analysis and the procedure of quality control for isotopic ratio measurements based on the use of uranium and plutonium standard reference materials (NBS, NBL, SAL made in USSR) are described. The results of measurements performed during cooperation with IAEA are discussed, and the sources of systematic and random errors are analyzed. The results obtained agree well with the target values. (author)

  2. Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM

    Science.gov (United States)

    Zhang, Xiaoxiao; Zhou, Hua; Ge, Zhenhua; Vaid, Alok; Konduparthi, Deepasree; Osorio, Carmen; Ventola, Stefano; Meir, Roi; Shoval, Ori; Kris, Roman; Adan, Ofer; Bar-Zvi, Maayan

    2014-04-01

    At 1X node, 3D FinFETS raise a number of new metrology challenges. Gate height and fin height are two of the most important parameters for process control. At present there is a metrology gap in inline in-die measurement of these parameters. In order to fill this metrology gap, in-column beam tilt has been developed and implemented on Applied Materials V4i+ top-down CD-SEM for height measurement. A low tilt (5°) beam and a high tilt (14°) beam have been calibrated to obtain two sets of images providing measurement of sidewall edge width to calculate height in the host. Evaluations are done with applications in both gate height and fin height. TEM correlation with R2 being 0.89 and precision of 0.81nm have been achieved on various in-die features in gate height application. Fin height measurement shows less accuracy (R2 being 0.77) and precision (1.49 nm) due to challenges brought by fin geometry, yet still promising as first attempt. Sensitivity to DOE offset, die-to-die and in-die variation is demonstrated in both gate height and fin height. Process defect is successfully captured from inline wafers with gate height measurement implemented in production. This is the first successful demonstration of inline in-die gate height measurement for 14nm FinFET process control.

  3. Ensuring Food Integrity by Metrology and FAIR Data Principles

    Directory of Open Access Journals (Sweden)

    Michael Rychlik

    2018-05-01

    Full Text Available Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  4. Metrological and operational performance of measuring systems used in vehicle compressed natural gas filling stations

    Energy Technology Data Exchange (ETDEWEB)

    Velosa, Jhonn F.; Abril, Henry; Garcia, Luis E. [CDT de GAS (Venezuela). Gas Technological Development Center Corporation

    2008-07-01

    Corporation CDT GAS financially supported by the Colombian government through COLCIENCIAS, carried out a study aimed at designing, developing and implementing in Colombia a calibration and metrological verification 'specialized service' for gas meters installed at dispensers of filling stations using compressed natural gas. The results permitted the identification of improving opportunities (in measuring systems, equipment and devices used to deliver natural gas) which are focused on achieving the highest security and reliability of trading processes of CNG for vehicles. In the development of the first stage of the project, metrological type variables were initially considered, but given the importance of the measuring system and its interaction with the various elements involving gas supply to the filling station, the scope of the work done included aspects related to the operational performance, that is, those influencing the security of the users and the metrological performance of the measuring system. The development of the second stage counted on the collaboration of national companies from the sector of CNG for vehicles, which permitted the carrying out of multiple calibrations to the measuring systems installed in the CNG dispensers, thus achieving, in a concrete way, valid and reliable technological information of the implemented procedures. (author)

  5. Overlay improvement methods with diffraction based overlay and integrated metrology

    Science.gov (United States)

    Nam, Young-Sun; Kim, Sunny; Shin, Ju Hee; Choi, Young Sin; Yun, Sang Ho; Kim, Young Hoon; Shin, Si Woo; Kong, Jeong Heung; Kang, Young Seog; Ha, Hun Hwan

    2015-03-01

    To accord with new requirement of securing more overlay margin, not only the optical overlay measurement is faced with the technical limitations to represent cell pattern's behavior, but also the larger measurement samples are inevitable for minimizing statistical errors and better estimation of circumstance in a lot. From these reasons, diffraction based overlay (DBO) and integrated metrology (IM) were mainly proposed as new approaches for overlay enhancement in this paper.

  6. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  7. Holistic metrology qualification extension and its application to characterize overlay targets with asymmetric effects

    Science.gov (United States)

    Dos Santos Ferreira, Olavio; Sadat Gousheh, Reza; Visser, Bart; Lie, Kenrick; Teuwen, Rachel; Izikson, Pavel; Grzela, Grzegorz; Mokaberi, Babak; Zhou, Steve; Smith, Justin; Husain, Danish; Mandoy, Ram S.; Olvera, Raul

    2018-03-01

    Ever increasing need for tighter on-product overlay (OPO), as well as enhanced accuracy in overlay metrology and methodology, is driving semiconductor industry's technologists to innovate new approaches to OPO measurements. In case of High Volume Manufacturing (HVM) fabs, it is often critical to strive for both accuracy and robustness. Robustness, in particular, can be challenging in metrology since overlay targets can be impacted by proximity of other structures next to the overlay target (asymmetric effects), as well as symmetric stack changes such as photoresist height variations. Both symmetric and asymmetric contributors have impact on robustness. Furthermore, tweaking or optimizing wafer processing parameters for maximum yield may have an adverse effect on physical target integrity. As a result, measuring and monitoring physical changes or process abnormalities/artefacts in terms of new Key Performance Indicators (KPIs) is crucial for the end goal of minimizing true in-die overlay of the integrated circuits (ICs). IC manufacturing fabs often relied on CD-SEM in the past to capture true in-die overlay. Due to destructive and intrusive nature of CD-SEMs on certain materials, it's desirable to characterize asymmetry effects for overlay targets via inline KPIs utilizing YieldStar (YS) metrology tools. These KPIs can also be integrated as part of (μDBO) target evaluation and selection for final recipe flow. In this publication, the Holistic Metrology Qualification (HMQ) flow was extended to account for process induced (asymmetric) effects such as Grating Imbalance (GI) and Bottom Grating Asymmetry (BGA). Local GI typically contributes to the intrafield OPO whereas BGA typically impacts the interfield OPO, predominantly at the wafer edge. Stack height variations highly impact overlay metrology accuracy, in particular in case of multi-layer LithoEtch Litho-Etch (LELE) overlay control scheme. Introducing a GI impact on overlay (in nm) KPI check quantifies the

  8. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    Energy Technology Data Exchange (ETDEWEB)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sawhney, Kawal [Diamond Light Source Ltd., Harwell Science and Innovation Campus, Didcot, Oxfordshire OX11 0DE (United Kingdom)

    2016-05-15

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  9. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    International Nuclear Information System (INIS)

    Alcock, Simon G.; Nistea, Ioana; Sawhney, Kawal

    2016-01-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  10. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad.

    Science.gov (United States)

    Alcock, Simon G; Nistea, Ioana; Sawhney, Kawal

    2016-05-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM's autocollimator adds into the overall measured value of the mirror's slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  11. Laser metrology applied to the nuclear maintenance; Metrologia laser aplicada al mantenimiento nuclear

    Energy Technology Data Exchange (ETDEWEB)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-07-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  12. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  13. Estudio sobre la metrología de la ceca romana de Augusta Emerita

    Directory of Open Access Journals (Sweden)

    Cebrián Sánchez, Miguel Ángel

    2006-12-01

    Full Text Available Metrology analysis of the Roman currency in Augusta Emerita has allowed to distinguish two different metrological patterns. The former is a Roman imperial; the latter is a Hispanic one, this being used until the end of the Augustan reign. From B.C. 2 on, and throughout the Tiberian reign, coinage is only carried out following the imperial pattern. This happens at a time of high coinage production in Augusta Emerita, which might probably explain the city’s urban development and embellishment heyday.Se estudia la metrología en la ceca romana –imperial y provincial- de Augusta Emerita. Dividimos el trabajo metrológico en dos grandes apartados, el primero analiza los pesos de las doce emisiones de la Mérida romana y el segundo pretende hacer una comparación con las otras cecas hispanas que, por su emisiones, están más próximas a las emeritenses. A esos dos apartados se añade una pequeña sección dedicada a la metalografía. A través de todo ello se demuestra que hubo dos sistemas metrológicos conviviendo, el republicano y el augústeo, emitiendose en Emerita y que el periodo de mayor auge de las emisiones incluye la etapa final del reinado de Augusto, post 2 a.C., y todo el reinado de Tiberio, lo que podría muy bien señalar el momento de apogeo y embellecimiento de la ciudad.

  14. Advanced Metrology for Characterization of Magnetic Tunnel Junctions

    DEFF Research Database (Denmark)

    Kjær, Daniel

    -plane tunneling (CIPT) for characterization of magnetic tunnel junctions (MTJs), which constitutes the key component not only in MRAM but also the read-heads of modern hard disk drives. MTJs are described by their tunnel magnetoresistance (TMR), which is the relative difference of the resistance area products (RA...... of this project has been to provide cheaper, faster and more precise metrology for MTJs. This goal has been achieved in part by the demonstration of a static field CIPT method, which allows us to reduce the measurement time by a factor of 5, by measuring only RA thus excluding TMR. This enhancement is obtained...

  15. Ultrabroadband optical chirp linearization for precision metrology applications.

    Science.gov (United States)

    Roos, Peter A; Reibel, Randy R; Berg, Trenton; Kaylor, Brant; Barber, Zeb W; Babbitt, Wm Randall

    2009-12-01

    We demonstrate precise linearization of ultrabroadband laser frequency chirps via a fiber-based self-heterodyne technique to enable extremely high-resolution, frequency-modulated cw laser-radar (LADAR) and a wide range of other metrology applications. Our frequency chirps cover bandwidths up to nearly 5 THz with frequency errors as low as 170 kHz, relative to linearity. We show that this performance enables 31-mum transform-limited LADAR range resolution (FWHM) and 86 nm range precisions over a 1.5 m range baseline. Much longer range baselines are possible but are limited by atmospheric turbulence and fiber dispersion.

  16. Industrial, agricultural, and medical applications of radiation metrology

    International Nuclear Information System (INIS)

    Hubbell, J.H.

    1987-01-01

    Photon and particle radiations (gamma rays, X-rays, bremsstrahlung, electrons and other charged particles, neutrons) from radioactive isotopes, X-ray tubes, and accelerators are now widely used in gauging, production control, and other monitoring and metrology devices where avoidance of mechanical contact is desirable. The general principles of radiation gauges, which rely on detection of radiation transmitted by the sample, or on detection of scattered or other secondary radiations produced in the sample, are discussed. Examples of such devices currently used in industrial, agricultural, and medical situations are presented, and some anticipated developments are mentioned. (author)

  17. Computer-assisted generation of individual training concepts for advanced education in manufacturing metrology

    International Nuclear Information System (INIS)

    Werner, Teresa; Weckenmann, Albert

    2010-01-01

    Due to increasing requirements on the accuracy and reproducibility of measurement results together with a rapid development of novel technologies for the execution of measurements, there is a high demand for adequately qualified metrologists. Accordingly, a variety of training offers are provided by machine manufacturers, universities and other institutions. Yet, for an interested learner it is very difficult to define an optimal training schedule for his/her individual demands. Therefore, a computer-based assistance tool is developed to support a demand-responsive scheduling of training. Based on the difference between the actual and intended competence profile and under consideration of amending requirements, an optimally customized qualification concept is derived. For this, available training offers are categorized according to different dimensions: regarding contents of the course, but also intended target groups, focus of the imparted competences, implemented methods of learning and teaching, expected constraints for learning and necessary preknowledge. After completing a course, the achieved competences and the transferability of gathered knowledge are evaluated. Based on the results, recommendations for amending measures of learning are provided. Thus, a customized qualification for manufacturing metrology is facilitated, adapted to the specific needs and constraints of each individual learner

  18. Machine learning and predictive data analytics enabling metrology and process control in IC fabrication

    Science.gov (United States)

    Rana, Narender; Zhang, Yunlin; Wall, Donald; Dirahoui, Bachir; Bailey, Todd C.

    2015-03-01

    Integrate circuit (IC) technology is going through multiple changes in terms of patterning techniques (multiple patterning, EUV and DSA), device architectures (FinFET, nanowire, graphene) and patterning scale (few nanometers). These changes require tight controls on processes and measurements to achieve the required device performance, and challenge the metrology and process control in terms of capability and quality. Multivariate data with complex nonlinear trends and correlations generally cannot be described well by mathematical or parametric models but can be relatively easily learned by computing machines and used to predict or extrapolate. This paper introduces the predictive metrology approach which has been applied to three different applications. Machine learning and predictive analytics have been leveraged to accurately predict dimensions of EUV resist patterns down to 18 nm half pitch leveraging resist shrinkage patterns. These patterns could not be directly and accurately measured due to metrology tool limitations. Machine learning has also been applied to predict the electrical performance early in the process pipeline for deep trench capacitance and metal line resistance. As the wafer goes through various processes its associated cost multiplies. It may take days to weeks to get the electrical performance readout. Predicting the electrical performance early on can be very valuable in enabling timely actionable decision such as rework, scrap, feedforward, feedback predicted information or information derived from prediction to improve or monitor processes. This paper provides a general overview of machine learning and advanced analytics application in the advanced semiconductor development and manufacturing.

  19. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    Science.gov (United States)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  20. Contribution of the SLDC to the metrology of the ionizing radiations dosimetry in Mexico

    International Nuclear Information System (INIS)

    Alvarez R, J. T.

    2010-01-01

    The Secondary Laboratory of Dosimetric Calibration (SLDC), assigned to the Metrology Department of Ionizing Radiations of the ININ had its beginnings in the eighties, with the purpose of having a specialized area to develop and to establish measure patterns of the dosimetric magnitudes and units. In the year 2000 the National Center of Metrology of the country, delegated its functions to the SLDC, as regards to develop and to maintain the national patterns in the area of ionizing radiations. In this chapter a brief review is presented on the magnitudes and units used in dosimetry and and absorbed dose, as well as some of the activities of dosimetric calibration that have been made by part of the SLDC to the radiotherapy centers in Mexico and some applications to the nuclear power plant of Laguna Verde. (Author)

  1. Metrology challenges for high-rate nanomanufacturing of polymer structures

    Science.gov (United States)

    Mead, Joey; Barry, Carol; Busnaina, Ahmed; Isaacs, Jacqueline

    2012-10-01

    The transfer of nanoscience accomplishments into commercial products is hindered by the lack of understanding of barriers to nanoscale manufacturing. We have developed a number of nanomanufacturing processes that leverage available high-rate plastics fabrication technologies. These processes include directed assembly of a variety of nanoelements, such as nanoparticles and nanotubes, which are then transferred onto a polymer substrate for the fabrication of conformal/flexible electronic materials, among other applications. These assembly processes utilize both electric fields and/or chemical functionalization. Conducting polymers and carbon nanotubes have been successfully transferred to a polymer substrate in times less than 5 minutes, which is commercially relevant and can be utilized in a continuous (reel to reel/roll to roll) process. Other processes include continuous high volume mixing of nanoelements (CNTs, etc) into polymers, multi-layer extrusion and 3D injection molding of polymer structures. These nanomanufacturing processes can be used for wide range of applications, including EMI shielding, flexible electronics, structural materials, and novel sensors (specifically for chem/bio detection). Current techniques to characterize the quality and efficacy of the processes are quite slow. Moreover, the instrumentation and metrology needs for these manufacturing processes are varied and challenging. Novel, rapid, in-line metrology to enable the commercialization of these processes is critically needed. This talk will explore the necessary measurement needs for polymer based nanomanufacturing processes for both step and continuous (reel to reel/roll to roll) processes.

  2. Adhesive Bonding for Optical Metrology Systems in Space Applications

    International Nuclear Information System (INIS)

    Gohlke, Martin; Schuldt, Thilo; Braxmaier, Claus; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis

    2015-01-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10 -15 range for longer integration times. The EM setup was thermally cycled and vibration tested. (paper)

  3. Plant equipment services with laser metrology

    International Nuclear Information System (INIS)

    Hayes, J.H.; Kreitman, P.J.

    1995-01-01

    A new industrial metrology process is now being applied to support PWR Nuclear Plant Steam Generator Replacement Projects. The method uses laser tracking interferometry to perform as built surveys of existing and replacement plant equipment. This method provides precision data with a minimum of setup when compared to alternative methods available. In addition there is no post processing required to ascertain validity. The data is obtained quickly, processed in real time and displayed during the survey in the desired coordinate system. These capabilities make this method of industrial measure ideal for various data acquisition needs throughout the power industry, from internal/external equipment templating to area mapping. Laser tracking interferometry is an improvement on the present use of optical instruments and surveying technique. In order to describe the laser tracking interferometry measurement process, previous methods of templating and surveying are first reviewed

  4. A three-fingered, touch-sensitive, metrological micro-robotic assembly tool

    International Nuclear Information System (INIS)

    Torralba, Marta; Hastings, D J; Thousand, Jeffery D; Nowakowski, Bartosz K; Smith, Stuart T

    2015-01-01

    This article describes a metrological, robotic hand to manipulate and measure micrometer size objects. The presented work demonstrates not only assembly operations, but also positioning control and metrology capability. Sample motion is achieved by a commercial positioning stage, which provides XYZ-displacements for assembly of components. A designed and manufactured gripper tool that incorporates 21 degrees-of-freedom for independent alignment of actuators, sensors, and the three fingers of this hand is presented. These fingers can be opened and closed by piezoelectric actuators through levered flexures providing an 80 μm displacement range measured with calibrated opto-interrupter based, knife-edge sensors. The operational ends of the fingers comprise of a quartz tuning fork with a 7 μm diameter 3.2 mm long carbon fiber extending from the end of one tuning fork tine. Finger-tip force-sensing is achieved by the monitoring of individual finger resonances typically at around 32 kHz. Experimental results included are focused on probe performance analysis. Pick and place operation using the three fingers is demonstrated with all fingers being continuously oscillated, a capability not possible with the previous single or two finger tweezer type designs. By monitoring electrical feedback during pick and place operations, changes in the response of the three probes demonstrate the ability to identify both grab and release operations. Component metrology has been assessed by contacting different micro-spheres of diameters 50(±7.5) μm, 135(±20) μm, and 140(±20) μm. These were measured by the micro robot to have diameters of 67, 133, and 126 μm respectively with corresponding deviations of 4.2, 4.9, and 4.3 μm. This deviation in the measured results was primarily due to the manual, joystick-based, contacting of the fingers, difficulties associated with centering the components to the axis of the hand, and lower contact sensitivity for the smallest sphere

  5. Development of a free software for laboratory of metrology

    International Nuclear Information System (INIS)

    Silveira, Renata R. da; Benevides, Clayton A.

    2014-01-01

    The Centro Regional de Ciencias Nucleares do Nordeste (CRCN-NE) has a Metrology Laboratory to realize radioactive assays and calibrations in X and gamma radiation. This job, realized before in a manual way, had only paper recording and a hard-working data recovery. The objective of this job was to develop an application with free software to manage the laboratory activities, as service recording, rastreability control and environmental conditions monitoring, beyond automate the certificates and reports. As result, we have obtained the optimization of the routine and the management of the laboratory. (author)

  6. Deep sub-wavelength metrology for advanced defect classification

    Science.gov (United States)

    van der Walle, P.; Kramer, E.; van der Donck, J. C. J.; Mulckhuyse, W.; Nijsten, L.; Bernal Arango, F. A.; de Jong, A.; van Zeijl, E.; Spruit, H. E. T.; van den Berg, J. H.; Nanda, G.; van Langen-Suurling, A. K.; Alkemade, P. F. A.; Pereira, S. F.; Maas, D. J.

    2017-06-01

    Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.

  7. I.R.D. annual report - 1988. Institute of Radioprotection and Dosimetry of Brazilian Nuclear Energy Commission (CNEN)

    International Nuclear Information System (INIS)

    1989-01-01

    This is the annual report of the activities developed by the Institute of Radioprotection and Dosimetry -IRD/CNEN-Brazil, in the year of 1988. It is composed by the individual reports of its several divisions, describing the main activities and personnel: DEPRO - Occupational and Radiological Protection Dept.; DEPRA - Environmental and Radiological Protection Dept.; DEMET - Metrology Dept.; DEPAT - Technical Support Dept.; DETAC - Scientific Support and Training Dept.; DEPAD -Administrative Support Dept. (J.A.M.M.)

  8. Radionuclide metrology research for nuclear site decommissioning

    Science.gov (United States)

    Judge, S. M.; Regan, P. H.

    2017-11-01

    The safe and cost-effective decommissioning of legacy nuclear sites relies on accurate measurement of the radioactivity content of the waste materials, so that the waste can be assigned to the most appropriate disposal route. Such measurements are a new challenge for the science of radionuclide metrology which was established largely to support routine measurements on operating nuclear sites and other applications such as nuclear medicine. In this paper, we provide a brief summary of the international measurement system that is established to enable nuclear site operators to demonstrate that measurements are accurate, independent and fit for purpose, and highlight some of the projects that are underway to adapt the measurement system to meet the changing demands from the industry.

  9. Ionising radiation metrology for the metallurgical industry

    Directory of Open Access Journals (Sweden)

    García-Toraño E.

    2014-01-01

    Full Text Available Every year millions tons of steel are produced worldwide from recycled scrap loads. Although the detection systems in the steelworks prevent most orphan radioactive sources from entering the furnace, there is still the possibility of accidentally melting a radioactive source. The MetroMetal project, carried out in the frame of the European Metrology Research Programme (EMRP, addresses this problem by studying the existing measurement systems, developing sets of reference sources in various matrices (cast steel, slag, fume dust and proposing new detection instruments. This paper presents the key lines of the project and describes the preparation of radioactive sources as well as the intercomparison exercises used to test the calibration and correction methods proposed within the project.

  10. Accreditation experience of radioisotope metrology laboratory of Argentina

    Energy Technology Data Exchange (ETDEWEB)

    Iglicki, A. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)]. E-mail: iglicki@cae.cnea.gov.ar; Mila, M.I. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)]. E-mail: mila@cae.cnea.gov.ar; Furnari, J.C. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Arenillas, P. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Cerutti, G. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Carballido, M. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Guillen, V. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Araya, X. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina); Bianchini, R. [Laboratorio de Metrologia de Radioisotopos, Comision Nacional de Energia Atomica (Argentina)

    2006-10-15

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the ({alpha}/{beta})-{gamma} coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved.

  11. Accreditation experience of radioisotope metrology laboratory of Argentina

    International Nuclear Information System (INIS)

    Iglicki, A.; Mila, M.I.; Furnari, J.C.; Arenillas, P.; Cerutti, G.; Carballido, M.; Guillen, V.; Araya, X.; Bianchini, R.

    2006-01-01

    This work presents the experience developed by the Radioisotope Metrology Laboratory (LMR), of the Argentine National Atomic Energy Commission (CNEA), as result of the accreditation process of the Quality System by ISO 17025 Standard. Considering the LMR as a calibration laboratory, services of secondary activity determinations and calibration of activimeters used in Nuclear Medicine were accredited. A peer review of the (α/β)-γ coincidence system was also carried out. This work shows in detail the structure of the quality system, the results of the accrediting audit and gives the number of non-conformities detected and of observations made which have all been resolved

  12. LISA Pathfinder: Optical Metrology System monitoring during operations

    Science.gov (United States)

    Audley, Heather E.; LISA Pathfinder Collaboration

    2017-05-01

    The LISA Pathfinder (LPF) mission has demonstrated excellent performance. In addition to having surpassed the main mission goals, data has been collected from the various subsystems throughout the duration of the mission. This data is a valuable resource, both for a more complete understanding of the LPF satellite and the differential acceleration measurements, as well as for the design of the future Laser Interferometer Space Antenna (LISA) mission. Initial analysis of the Optical Metrology System (OMS) data was performed as part of daily system monitoring, and more in-depth analyses are ongoing. This contribution presents an overview of these activities along with an introduction to the OMS.

  13. Un proyecto europeo en metrología de altas temperaturas para aplicaciones industriales

    Directory of Open Access Journals (Sweden)

    del Campo, D.

    2013-08-01

    Full Text Available The measurement of temperatures above 1000 ºC is both difficult and yet vital for the success of a wide range of industrial processes; glass and ceramic manufacturing (1100 ºC to 2000 ºC or refractory metals production (2500+ ºC are clear examples. Many of these industries require improved process efficiency/control, because of growing environmental concerns (emissions/”zero waste” and competition from outside the EU. One of the keys to making advances to these drivers is improving process control by improved high temperature measurement. In the frame of the European Metrology Research Program (EMRP, a project named “High temperature metrology for industrial applications (HiTeMS with the overall objective of developing a suite of methods and techniques for improving the measurement of high temperatures in industry has been running since September 2011. This paper gives an overview of the main objectives of the project and the technical activities that are being performed.La medida de temperatura por encima de 1000 ºC es tanto complicada como vital para el éxito de una gran variedad de procesos industriales; la producción de cerámica y vidrio (de 1100 ºC a 2000 ºC o de materiales refractarios (temperaturas por encima de 2500 ºC, son claros ejemplos. Muchas de estas industrias requieren una mejora de la eficiencia y del control de sus procesos debido a la creciente preocupación por el medio ambiente (“cero emisiones” y la competencia de países fuera de la Unión Europea. Una de las claves para llevar a cabo avances en este sentido es mediante la mejora del control de los procesos mejorando la medida de alta temperatura. Dentro del Programa Europeo de Investigación en Metrología (EMRP en sus siglas en inglés se está desarrollando, desde septiembre de 2011, un proyecto llamado “Metrología de altas temperaturas para aplicaciones industriales” (HiTeMs, con el objetivo fundamental de desarrollar una serie de m

  14. Complex metrology on 3D structures using multi-channel OCD

    Science.gov (United States)

    Kagalwala, Taher; Mahendrakar, Sridhar; Vaid, Alok; Isbester, Paul K.; Cepler, Aron; Kang, Charles; Yellai, Naren; Sendelbach, Matthew; Ko, Mihael; Ilgayev, Ovadia; Katz, Yinon; Tamam, Lilach; Osherov, Ilya

    2017-03-01

    Device scaling has not only driven the use of measurements on more complex structures, in terms of geometry, materials, and tighter ground rules, but also the need to move away from non-patterned measurement sites to patterned ones. This is especially of concern for very thin film layers that have a high thickness dependence on structure geometry or wafer pattern factor. Although 2-dimensional (2D) sites are often found to be sufficient for process monitoring and control of very thin films, sometimes 3D sites are required to further simulate structures within the device. The measurement of film thicknesses only a few atoms thick on complex 3D sites, however, are very challenging. Apart from measuring thin films on 3D sites, there is also a critical need to measure parameters on 3D sites, which are weak and less sensitive for OCD (Optical Critical Dimension) metrology, with high accuracy and precision. Thus, state-ofthe-art methods are needed to address such metrology challenges. This work introduces the concept of Enhanced OCD which uses various methods to improve the sensitivity and reduce correlations for weak parameters in a complex measurement. This work also describes how more channels of information, when used correctly, can improve the precision and accuracy of weak, non-sensitive or complex parameters of interest.

  15. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    OpenAIRE

    Berthold, J.; Imkamp, D.

    2013-01-01

    "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma). The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer...

  16. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    International Nuclear Information System (INIS)

    Maas, D. J.; Herfst, R.; Veldhoven, E. van; Fliervoet, T.; Meessen, J.; Vaenkatesan, V.; Sadeghian, H.

    2015-01-01

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays

  17. Metrology and Proportion in the Ecclesiastical Architecture of Medieval Ireland

    OpenAIRE

    Behan, Avril; Moss, Rachel

    2008-01-01

    The aim of this paper is to examine the extent to which detailed empirical analysis of the metrology and proportional systems used in the design of Irish ecclesiastical architecture can be analysed to provide historical information not otherwise available. Focussing on a relatively limited sample of window tracery designs as a case study, it will first set out to establish what, if any, systems were in use, and then what light these might shed on the background, training and work practices of...

  18. Laser metrology in fluid mechanics granulometry, temperature and concentration measurements

    CERN Document Server

    Boutier, Alain

    2013-01-01

    In fluid mechanics, non-intrusive measurements are fundamental in order to improve knowledge of the behavior and main physical phenomena of flows in order to further validate codes.The principles and characteristics of the different techniques available in laser metrology are described in detail in this book.Velocity, temperature and concentration measurements by spectroscopic techniques based on light scattered by molecules are achieved by different techniques: laser-induced fluorescence, coherent anti-Stokes Raman scattering using lasers and parametric sources, and absorption sp

  19. Performance-based gear metrology kinematic, transmission, error computation and diagnosis

    CERN Document Server

    Mark, William D

    2012-01-01

    A mathematically rigorous explanation of how manufacturing deviations and damage on the working surfaces of gear teeth cause transmission-error contributions to vibration excitations Some gear-tooth working-surface manufacturing deviations of significant amplitude cause negligible vibration excitation and noise, yet others of minuscule amplitude are a source of significant vibration excitation and noise.   Presently available computer-numerically-controlled dedicated gear metrology equipment can measure such error patterns on a gear in a few hours in sufficient detail to enable

  20. Manufacturing and metrology for IR conformal windows and domes

    Science.gov (United States)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  1. Metrology-based control and profitability in the semiconductor industry

    Science.gov (United States)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  2. A focal plane metrology system and PSF centroiding experiment

    Science.gov (United States)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  3. Metrological approach to quantitative analysis of clinical samples by LA-ICP-MS: A critical review of recent studies.

    Science.gov (United States)

    Sajnóg, Adam; Hanć, Anetta; Barałkiewicz, Danuta

    2018-05-15

    Analysis of clinical specimens by imaging techniques allows to determine the content and distribution of trace elements on the surface of the examined sample. In order to obtain reliable results, the developed procedure should be based not only on the properly prepared sample and performed calibration. It is also necessary to carry out all phases of the procedure in accordance with the principles of chemical metrology whose main pillars are the use of validated analytical methods, establishing the traceability of the measurement results and the estimation of the uncertainty. This review paper discusses aspects related to sampling, preparation and analysis of clinical samples by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) with emphasis on metrological aspects, i.e. selected validation parameters of the analytical method, the traceability of the measurement result and the uncertainty of the result. This work promotes the introduction of metrology principles for chemical measurement with emphasis to the LA-ICP-MS which is the comparative method that requires studious approach to the development of the analytical procedure in order to acquire reliable quantitative results. Copyright © 2018 Elsevier B.V. All rights reserved.

  4. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates

    Science.gov (United States)

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-01

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F =1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure—the local operations, the measurements, and the inequality—necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  5. Bell Inequality, Einstein-Podolsky-Rosen Steering, and Quantum Metrology with Spinor Bose-Einstein Condensates.

    Science.gov (United States)

    Wasak, Tomasz; Chwedeńczuk, Jan

    2018-04-06

    We propose an experiment, where the Bell inequality is violated in a many-body system of massive particles. The source of correlated atoms is a spinor F=1 Bose-Einstein condensate residing in an optical lattice. We characterize the complete procedure-the local operations, the measurements, and the inequality-necessary to run the Bell test. We show how the degree of violation of the Bell inequality depends on the strengths of the two-body correlations and on the number of scattered pairs. We show that the system can be used to demonstrate the Einstein-Podolsky-Rosen paradox. Also, the scattered pairs are an excellent many-body resource for the quantum-enhanced metrology. Our results apply to any multimode system where the spin-changing collision drives the scattering into separate regions. The presented inquiry shows that such a system is versatile as it can be used for the tests of nonlocality, quantum metrology, and quantum information.

  6. Calibration of an interfacial force microscope for MEMS metrology : FY08-09 activities.

    Energy Technology Data Exchange (ETDEWEB)

    Houston, Jack E.; Baker, Michael Sean; Crowson, Douglas A.; Mitchell, John Anthony; Moore, Nathan W.

    2009-10-01

    Progress in MEMS fabrication has enabled a wide variety of force and displacement sensing devices to be constructed. One device under intense development at Sandia is a passive shock switch, described elsewhere (Mitchell 2008). A goal of all MEMS devices, including the shock switch, is to achieve a high degree of reliability. This, in turn, requires systematic methods for validating device performance during each iteration of design. Once a design is finalized, suitable tools are needed to provide quality assurance for manufactured devices. To ensure device performance, measurements on these devices must be traceable to NIST standards. In addition, accurate metrology of MEMS components is needed to validate mechanical models that are used to design devices to accelerate development and meet emerging needs. Progress towards a NIST-traceable calibration method is described for a next-generation, 2D Interfacial Force Microscope (IFM) for applications in MEMS metrology and qualification. Discussed are the results of screening several suitable calibration methods and the known sources of uncertainty in each method.

  7. Editorial: 3DIM-DS 2015: Optical image processing in the context of 3D imaging, metrology, and data security

    Science.gov (United States)

    Alfalou, Ayman

    2017-02-01

    Following the first International Symposium on 3D Imaging, Metrology, and Data Security (3DIM-DS) held in Shenzhen during september 2015, this special issue gathers a series of articles dealing with the main topics discussed during this symposium. These topics highlighted the importance of studying complex data treatment systems and intensive calculations designed for high dimensional imaging and metrology for which high image quality and high transmission speed become critical issues in a number of technological applications. A second purpose was to celebrate the International Year of Light by emphasizing the important role of optics in actual information processing systems.

  8. Calibration technique and study on metrological characteristics of a high-voltage inverse square-law function generator

    International Nuclear Information System (INIS)

    Popov, V.P.; Semenov, A.L.

    1987-01-01

    The calibration technique is described, and the metrological characteristics of a high-voltage generator of the inverse-quadratic function (HGF), being a functional unit of the diagnostic system of an electrodynamic analyser of a ionic component of a laser plasma, is analysed. The results of HGF testing in the range of time constants of the τ=(5-25)μs function are given. Analysis of metrologic and experimental characteristics shows, that HGF with automatic calibration has quite high accurate parameters. The high accuracy of function generation is provided with the possibility of calibration and adjustment conduction under experimental working conditions. Increase of the generated pulse amplitude to several tens of kilovelts is possible. Besides, the possibility of timely function adjustment to the necessary parameter (τ) increases essentially the HGF functional possibilities

  9. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    Directory of Open Access Journals (Sweden)

    J. Berthold

    2013-02-01

    Full Text Available "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma. The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer, more accurately and more flexibly'' with the aid of examples.

  10. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2010-2011

    International Nuclear Information System (INIS)

    2012-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2010-2011 years: 1 - Forewords; 2 - Research activities: Quarks and Leptons, Astro-particles, Hadronic and nuclear matter, Theoretical physics, trans-disciplinary activities, publications, communications, partnerships; 3 - Teaching and research training; 4 - Technical services: electronics, Computers, Mechanics, Instrumentation, Accelerators, LABRADOR metrology service; 5 - Laboratory administration; 6 - Communication and scientific mediation (seminars, conferences, exhibitions..)

  11. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2008-2009

    International Nuclear Information System (INIS)

    2010-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2008-2009 years: 1 - Forewords; 2 - Quarks and Leptons; 3 - Astro-particles; 4 - Hadronic and nuclear matter; 5 - Theoretical physics; 6 - Radiation sciences; 7 - Technical support to experiments (electronics, Computers, Mechanics, Instrumentation, Accelerators, LABRADOR metrology service); 8 - Laboratory administration; 9 - Scientific life (publications, seminars, conferences, exhibitions, PhDs..)

  12. The place of highly accurate methods by RNAA in metrology

    International Nuclear Information System (INIS)

    Dybczynski, R.; Danko, B.; Polkowska-Motrenko, H.; Samczynski, Z.

    2006-01-01

    With the introduction of physical metrological concepts to chemical analysis which require that the result should be accompanied by uncertainty statement written down in terms of Sl units, several researchers started to consider lD-MS as the only method fulfilling this requirement. However, recent publications revealed that in certain cases also some expert laboratories using lD-MS and analyzing the same material, produced results for which their uncertainty statements did not overlap, what theoretically should not have taken place. This shows that no monopoly is good in science and it would be desirable to widen the set of methods acknowledged as primary in inorganic trace analysis. Moreover, lD-MS cannot be used for monoisotopic elements. The need for searching for other methods having similar metrological quality as the lD-MS seems obvious. In this paper, our long-time experience on devising highly accurate ('definitive') methods by RNAA for the determination of selected trace elements in biological materials is reviewed. The general idea of definitive methods based on combination of neutron activation with the highly selective and quantitative isolation of the indicator radionuclide by column chromatography followed by gamma spectrometric measurement is reminded and illustrated by examples of the performance of such methods when determining Cd, Co, Mo, etc. lt is demonstrated that such methods are able to provide very reliable results with very low levels of uncertainty traceable to Sl units

  13. Precision metrology of NSTX surfaces using coherent laser radar ranging

    International Nuclear Information System (INIS)

    Kugel, H.W.; Loesser, D.; Roquemore, A. L.; Menon, M. M.; Barry, R. E.

    2000-01-01

    A frequency modulated Coherent Laser Radar ranging diagnostic is being used on the National Spherical Torus Experiment (NSTX) for precision metrology. The distance (range) between the 1.5 microm laser source and the target is measured by the shift in frequency of the linearly modulated beam reflected off the target. The range can be measured to a precision of < 100microm at distances of up to 22 meters. A description is given of the geometry and procedure for measuring NSTX interior and exterior surfaces during open vessel conditions, and the results of measurements are elaborated

  14. Scientific language and metrology; El lenguaje cientificio y la metrologia

    Energy Technology Data Exchange (ETDEWEB)

    Campo Maldonado, D. del; Martin Blasco, B.; Prieto Esteban, E.

    2011-07-01

    The International System of Units (SI) reflects all the decisions and recommendations regarding units of measurement issued by the General Conference on Weights and Measures, including rules for writing the names and symbols of measurement units and for expressing the values of quantities. Even though the SI is internationally accepted and is the declared legal system whose use is obligatory in Spain, the Spanish Metrology Centre has been detecting an incorrect use of the units of measurement both in textbooks at all levels and in scientific articles. (Author) 5 refs.

  15. Fringe pattern analysis for optical metrology theory, algorithms, and applications

    CERN Document Server

    Servin, Manuel; Padilla, Moises

    2014-01-01

    The main objective of this book is to present the basic theoretical principles and practical applications for the classical interferometric techniques and the most advanced methods in the field of modern fringe pattern analysis applied to optical metrology. A major novelty of this work is the presentation of a unified theoretical framework based on the Fourier description of phase shifting interferometry using the Frequency Transfer Function (FTF) along with the theory of Stochastic Process for the straightforward analysis and synthesis of phase shifting algorithms with desired properties such

  16. Process and system - A dual definition, revisited with consequences in metrology

    Science.gov (United States)

    Ruhm, K. H.

    2010-07-01

    Lets assert that metrology life could be easier scientifically as well as technologically, if we, intentionally, would make an explicit distinction between two outstanding domains, namely the given, really existent domain of processes and the just virtually existent domain of systems, the latter of which is designed and used by the human mind. The abstract domain of models, by which we map the manifold reality of processes, is itself part of the domain of systems. Models support comprehension and communication, although they are normally extreme simplifications of properties and behaviour of a concrete reality. So, systems and signals represent processes and quantities, which are described by means of Signal and System Theory as well as by Stochastics and Statistics. The following presentation of this new, demanding and somehow irritating definition of the terms process and system as a dual pair is unusual indeed, but it opens the door widely to a better and more consistent discussion and understanding of manifold scientific tools in many areas. Metrology [4] is one of the important fields of concern due to many reasons: One group of the soft and hard links between the domain of processes and the domain of systems is realised by concepts of measurement science on the one hand and by instrumental tools of measurement technology on the other hand.

  17. Metrology and quality assurance for European XFEL long flat mirrors installation

    Science.gov (United States)

    Freijo Martín, Idoia; Vannoni, Maurizio; Sinn, Harald

    2017-06-01

    The European XFEL is a large-scale user facility under construction in Hamburg, Germany. It will provide a transversally fully coherent X-ray radiation with outstanding characteristics: high repetition rate (up to 2700 pulses with a 0.6 milliseconds long pulse train at 10Hz), short wavelength (down to 0.05 nm), short pulses (in the femtoseconds scale) and high average brilliance (1.6x1025 photons / s / mm2 / mrad2/ 0.1% bandwidth)1. Due to the short wavelength and high pulse energies, mirrors need to have a high-quality surface, have to be very long (1 m), and at the same time an effective cooling system has to be implemented. Matching these tight specifications and assessing them with high precision optical measurements is very challenging. The mirrors go through a complicated and long process, starting from classical polishing to deterministic polishing, ending with a special coating and a final metrology assessment inside their mechanical mounts just before the installation. The installation itself is also difficult for such big mirrors and needs special care. In this contribution we will explain how we implemented the installation process, how we used the metrology information to optimize the installation procedure and we will show some preliminary results with the first mirrors installed in the European XFEL beam transport.

  18. The Quality Control of Reference Standards in Metrology Dosimetry

    International Nuclear Information System (INIS)

    Lazarevic, Dj.; Ciraj Bjelac, O.; Kovacevic, M.; Vukcevic, M.

    2008-01-01

    This works presents the quality control tests applied to two types of ionization chambers with suitable electrometers. Measuring assemblies were tested in order to assess their performance and adequacy for use as reference standards in ionising radiation metrology laboratory for calibrations in the field of radiotherapy and radiation protection. Two types of ionizing chambers (Farmer type, 0.6 cm 3 and spherical ionizing chamber, 1 l) with suitable electrometers were tested. Following test were performed: repeatability, long term stability, polarity and leakage current measurement. All tested measuring assemblies demonstrated proper performance, correctness and high reliance of measurements, since all implemented quality control test results were within acceptable limits. (author)

  19. Measuring up to the challenges of the 21st century. An international evaluation of the Centre for Metrology and Accreditation

    Energy Technology Data Exchange (ETDEWEB)

    Clapman, P.; Kaarls, R.; Temmes, M.

    1997-04-01

    The international evaluation of the Centre for Metrology and Accreditation (MIKES) is part of the process in which all relevant industrial and technology policy measures and organizations under the auspices of the Ministry of Trade and Industry (MTI) are being evaluated with the aim of improving their effectiveness. The overall conclusion of the evaluation is that MIKES is serving the country well. An effective national measurement system (FINMET) is being maintained which provides a wide range of calibration services covering most of the nation`s needs. The accreditation service (FINAS) is now well established, is operating effectively, and has good prospects for growth. The evaluators present, however, a number of proposals (including 33 specific recommendations) where they feel that the metrology and accreditation arrangements could be better-suited to meet future national and international challenges. According to the recommendations the Finnish quality policy framework should be developed in a consistent way. There is a need of a comprehensive governmental quality policy statement upon which the inter-ministry coordination and harmonization of various conformity assessment activities can be based. MIKES should retain its current status as an agency within MTI. The national measurement system should be more centralised and a new purpose-built national standards laboratory should be procured. The responsibility for legal metrology should be transferred to MIKES. The terms of reference and membership of Advisory Committee for Metrology, as well as the Advisory Committee for Accreditation should be revised to ensure wider representation of all relevant, and especially industrial interests

  20. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  1. New reference object for metrological performance testing of industrial CT systems

    DEFF Research Database (Denmark)

    Müller, Pavel; Hiller, Jochen; Cantatore, Angela

    2012-01-01

    This paper presents a new reference object, so called “CT ball plate”, used for metrological performance testing of industrial CT systems, and discusses both the calibration procedure using a tactile coordinate measuring machine and the first results carried out using an industrial CT scanner....... This artefact can be used to determine several characteristics of the CT system like, probing errors of spheres, length measuring errors between sphere centers, measurement errors in the whole CT volume and effects in connection with image artefacts....

  2. Fabrication, performance, and figure metrology of epoxy-replicated aluminum foils for hard x-ray focusing multilayer-coated segmented conical optics

    DEFF Research Database (Denmark)

    Jimenez-Garate, M.A.; Craig, W.W.; Hailey, C.J.

    2000-01-01

    We fabricated x-ray mirrors for hard x-ray (greater than or equal to 10 keV) telescopes using multilayer coatings and an improved epoxy-replicated aluminum foil (ERAF) nonvacuum technology. The ERAF optics have similar to1 arcmin axial figure half-power diameter (HPD) and passed environmental...... telescope HPD, we designed a figure metrology system and a new mounting technique. We describe a cylindrical metrology system built for fast axial and roundness figure measurement of hard x-ray conical optics. These developments lower cost and improve the optics performance of the HEFT (high-energy focusing...

  3. Metrological data and risk assessment in France during the Chernobyl accident (26 april 1986)

    International Nuclear Information System (INIS)

    Galle, P.; Paulin, R.; Coursaget, J.

    2005-01-01

    Three world famous radio biologists have presented in june 2003 a communication entitled ' metrological data and risk assessment in France during the Chernobyl accident. Historical statement'. This text is published at the tome 326, fsc. 8, page 699-715 at the 'Comptes Rendus de Biologie de l'Academie'. The digest is presented here. (N.C.)

  4. Development of an ultrasensitive interferometry system as a key to precision metrology applications

    Science.gov (United States)

    Gohlke, Martin; Schuldt, Thilo; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2009-06-01

    We present a symmetric heterodyne interferometer as a prototype of a highly sensitive translation and tilt measurement system. This compact optical metrology system was developed over the past several years by EADS Astrium (Friedrichshafen) in cooperation with the Humboldt-University (Berlin) and the university of applied science Konstanz (HTWG-Konstanz). The noise performance was tested at frequencies between 10-4 and 3 Hz, the noise levels are below 1 nm/Hz 1/2 for translation and below 1 μrad/Hz1/2, for tilt measurements. For frequencies higher than 10 mHz noise levels below 5pm/Hz1/2 and 4 nrad/Hz1/2 respectively, were demonstrated. Based on this highly sensitive metrology system we also developed a dilatometer for the characterization of the CTE (coefficient of thermal expansion) of various materials, i.e. CFRP (carbon fiber reinforced plastic) or Zerodur. The currently achieved sensitivity of these measurements is better than 10-7 K-1. Future planned applications of the interferometer include ultra-high-precision surface profiling and characterization of actuator noise in low-noise opto-mechanics setups. We will give an overview of the current experimental setup and the latest measurement results.

  5. Overview of environmental radiological monitoring program of Institute of Radiation Protection And Dosimetry - IRD

    Energy Technology Data Exchange (ETDEWEB)

    Gomes, Sarah Barreto Oliveira de Christo; Peres, Sueli da Silva, E-mail: suelip@ird.gov.br, E-mail: sarah.barreto1@gmail.com [Instituto de Radioproteção e Dosimetria (IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Div. de Radioproteção

    2017-07-01

    As a branch of the Brazilian Nuclear Energy Commission (CNEN), the Institute of Radiation Protection and Dosimetry (IRD) performs extensive activities in the fields of radiation protection, metrology, and dosimetry, as well as specific education, onto a wide operational scope that includes the technical support to national regulatory authorities in the licensing process for nationwide nuclear and radioactive facilities. IRD has several laboratories where are performed radiometric and radiochemical analyses and others radioactivity evaluation procedures in different types of samples obtained in the inspection activities, production of radioactivity metrological standards and reference material by National Laboratory of Metrology of Ionizing Radiation (LMNRI), besides others research activities. In this laboratories can be used sealed or unsealed radioactive sources and radiation-producing devices and are classified with radioactive installations in accordance to national regulations. This way, radioactive liquid effluents can be eventually produced and released into the environment in the course of such activities and an effluent monitoring program to control and reduce the releases to environment is carried out. Additionally, IRD maintains the Radioactive Waste Management Program and Environmental Radiological Monitoring Program (ERMP) in accordance to national regulations requirements. The primary focus of ERMP comprises the validation of the dose prognostics for the public members due to effluents discharge and the provision of consistent projections of the radiation levels at the monitoring sites. In this study, a long term ERMP data survey is discussed, spanning the last thirteen years of activities. On the basis of such discussions and prognostics, it could be observed that the radiological environmental radiological impact due to operation of IRD installations is negligible. (author)

  6. Overview of environmental radiological monitoring program of Institute of Radiation Protection And Dosimetry - IRD

    International Nuclear Information System (INIS)

    Gomes, Sarah Barreto Oliveira de Christo; Peres, Sueli da Silva

    2017-01-01

    As a branch of the Brazilian Nuclear Energy Commission (CNEN), the Institute of Radiation Protection and Dosimetry (IRD) performs extensive activities in the fields of radiation protection, metrology, and dosimetry, as well as specific education, onto a wide operational scope that includes the technical support to national regulatory authorities in the licensing process for nationwide nuclear and radioactive facilities. IRD has several laboratories where are performed radiometric and radiochemical analyses and others radioactivity evaluation procedures in different types of samples obtained in the inspection activities, production of radioactivity metrological standards and reference material by National Laboratory of Metrology of Ionizing Radiation (LMNRI), besides others research activities. In this laboratories can be used sealed or unsealed radioactive sources and radiation-producing devices and are classified with radioactive installations in accordance to national regulations. This way, radioactive liquid effluents can be eventually produced and released into the environment in the course of such activities and an effluent monitoring program to control and reduce the releases to environment is carried out. Additionally, IRD maintains the Radioactive Waste Management Program and Environmental Radiological Monitoring Program (ERMP) in accordance to national regulations requirements. The primary focus of ERMP comprises the validation of the dose prognostics for the public members due to effluents discharge and the provision of consistent projections of the radiation levels at the monitoring sites. In this study, a long term ERMP data survey is discussed, spanning the last thirteen years of activities. On the basis of such discussions and prognostics, it could be observed that the radiological environmental radiological impact due to operation of IRD installations is negligible. (author)

  7. True-color 3D surface metrology for additive manufacturing using interference microscopy

    OpenAIRE

    DiSciacca, Jack; Gomez, Carlos; Thompson, Adam; Lawes, Simon; Leach, Richard; Colonna de Lega, Xavier; de Groot, Peter

    2017-01-01

    Coherence scanning interferometry (CSI) is widely used for surface topography characterisation. With the ability to measure both rough surfaces with the high slopes and optical finishes, CSI has made contibutions in fields from industrial machining to optical fabrication and polishing [1,2]. While the low coherence sources for CSI are typically broadband and suitable for color imaging, the metrology is usually performed without regards for the color information [3]. We present color surface t...

  8. Metrological Array of Cyber-Physical Systems. Part 10. Foundations of Objective Qualimetry

    Directory of Open Access Journals (Sweden)

    Svyatoslav YATSYSHYN

    2015-07-01

    Full Text Available Contemporary trend of Cyber-Physical Systems evolution considers as promising line the metrology science development ability for estimation the quality of final or intermediate product. The reliability and perfection of smart and flexible operation of mentioned systems could be permanently improved if determination of critical characteristics would be performed correctly, and particular coordinated assessment would be non-correlatively fulfilled. Last is guaranteed at applying thermodynamic principles of coordinates’ choice.

  9. Coordinate metrology accuracy of systems and measurements

    CERN Document Server

    Sładek, Jerzy A

    2016-01-01

    This book focuses on effective methods for assessing the accuracy of both coordinate measuring systems and coordinate measurements. It mainly reports on original research work conducted by Sladek’s team at Cracow University of Technology’s Laboratory of Coordinate Metrology. The book describes the implementation of different methods, including artificial neural networks, the Matrix Method, the Monte Carlo method and the virtual CMM (Coordinate Measuring Machine), and demonstrates how these methods can be effectively used in practice to gauge the accuracy of coordinate measurements. Moreover, the book includes an introduction to the theory of measurement uncertainty and to key techniques for assessing measurement accuracy. All methods and tools are presented in detail, using suitable mathematical formulations and illustrated with numerous examples. The book fills an important gap in the literature, providing readers with an advanced text on a topic that has been rapidly developing in recent years. The book...

  10. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  11. TSOM Method for Nanoelectronics Dimensional Metrology

    International Nuclear Information System (INIS)

    Attota, Ravikiran

    2011-01-01

    Through-focus scanning optical microscopy (TSOM) is a relatively new method that transforms conventional optical microscopes into truly three-dimensional metrology tools for nanoscale to microscale dimensional analysis. TSOM achieves this by acquiring and analyzing a set of optical images collected at various focus positions going through focus (from above-focus to under-focus). The measurement resolution is comparable to what is possible with typical light scatterometry, scanning electron microscopy (SEM) and atomic force microscopy (AFM). TSOM method is able to identify nanometer scale difference, type of the difference and magnitude of the difference between two nano/micro scale targets using a conventional optical microscope with visible wavelength illumination. Numerous industries could benefit from the TSOM method--such as the semiconductor industry, MEMS, NEMS, biotechnology, nanomanufacturing, data storage, and photonics. The method is relatively simple and inexpensive, has a high throughput, provides nanoscale sensitivity for 3D measurements and could enable significant savings and yield improvements in nanometrology and nanomanufacturing. Potential applications are demonstrated using experiments and simulations.

  12. Metrology and process control: dealing with measurement uncertainty

    Science.gov (United States)

    Potzick, James

    2010-03-01

    Metrology is often used in designing and controlling manufacturing processes. A product sample is processed, some relevant property is measured, and the process adjusted to bring the next processed sample closer to its specification. This feedback loop can be remarkably effective for the complex processes used in semiconductor manufacturing, but there is some risk involved because measurements have uncertainty and product specifications have tolerances. There is finite risk that good product will fail testing or that faulty product will pass. Standard methods for quantifying measurement uncertainty have been presented, but the question arises: how much measurement uncertainty is tolerable in a specific case? Or, How does measurement uncertainty relate to manufacturing risk? This paper looks at some of the components inside this process control feedback loop and describes methods to answer these questions.

  13. On in-vivo skin topography metrology and replication techniques

    International Nuclear Information System (INIS)

    Rosen, B-G; Blunt, L; Thomas, T R

    2005-01-01

    Human skin metrology is an area of growing interest for many disciplines both in research and for commercial purposes. Changes in the skin topography are an early stage diagnosis tool not only for diseases but also give indication of the response to medical and cosmetic treatment. This paper focuses on the evaluation of in vivo and in vitro methodologies for accurate measurements of skin and outlines the quantitative characterisation of the skin topography. The study shows the applicability of in-vivo skin topography characterisation and also the advantages and limitations compared to conventional replication techniques. Finally, aspects of stripe projection methodology and 3D characterisation are discussed as a background to the proposed methodology in this paper

  14. Metrological provision in radiometry of long-lived radionuclide aerosols

    International Nuclear Information System (INIS)

    Belkina, S.K.; Zalmanzon, Yu.E.; Kuznetsov, Yu.V.; Fertman, D.E.

    1984-01-01

    An optimal, as regards expenditures and resulting effect in development, production and operation, scheme is given for metrological provision of working means to measure radioactive aerosols. Model solid sources are recommended to be used for testing calibration and certification of aerosol radiometers when no losses or distortions of information take place. A model radiometer for long-lived radionuclides operating in the 3x10 -2 - 4x10 4 BK/m 3 range of volumetric activity of α-active nuclides and 5-2x10 5 BK/m 3 range of β-active nuclides is successfully utilized at present. Recommendations on reducing the measurement errors by means of different means are given

  15. Metrological provision in radiometry of long-lived radionuclide aerosols

    Energy Technology Data Exchange (ETDEWEB)

    Belkina, S.K.; Zalmanzon, Yu.E.; Kuznetsov, Yu.V.; Fertman, D.E.

    1984-05-01

    An optimal, as regards expenditures and resulting effect in development, production and operation, scheme is given for metrological provision of working means to measure radioactive aerosols. Model solid sources are recommended to be used for testing calibration and certification of aerosol radiometers when no losses or distortions of information take place. A model radiometer for long-lived radionuclides operating in the 3 x 10/sup -2/ - 4 x 10/sup 4/ BK/m/sup 3/ range of volumetric activity of ..cap alpha..-active nuclides and 5-2 x 10/sup 5/ BK/m/sup 3/ range of ..beta..-active nuclides is successfully utilized at present. Recommendations on reducing the measurement errors by means of different means are given.

  16. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    Science.gov (United States)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  17. Metrological assessment of the methods for measuring the contents of acids and ion metals responsible for the exchangeable acidity of soils

    Science.gov (United States)

    Vanchikova, E. V.; Shamrikova, E. V.; Bespyatykh, N. V.; Kyz"yurova, E. V.; Kondratenok, B. M.

    2015-02-01

    Metrological characteristics—precision, trueness, and accuracy—of the results of measurements of the exchangeable acidity and its components by the potentiometric titration method were studied on the basis of multiple analyses of the soil samples with the examination of statistical data for the outliers and their correspondence to the normal distribution. Measurement errors were estimated. The applied method was certified by the Metrological Center of the Uralian Branch of the Russian Academy of Sciences (certificate no. 88-17641-094-2013) and included in the Federal Information Fund on Assurance of Measurements (FR 1.31.2013.16382).

  18. Physical characterization and performance evaluation of an x-ray micro-computed tomography system for dimensional metrology applications

    DEFF Research Database (Denmark)

    Hiller, Jochen; Maisl, Michael; Reindl, Leonard M

    2012-01-01

    This paper presents physical and metrological characterization measurements conducted for an industrial x-ray micro-computed tomography (CT) system. As is well known in CT metrology, many factors, e.g., in the scanning and reconstruction process, the image processing, and the 3D data evaluation...... components of a CT scanner, i.e. the x-ray tube and the flat-panel detector, are characterized. The contrast and noise transfer property of the scanner is obtained using image-processing methods based on linear systems theory. A long-term temperature measurement in the scanner cabinet has been carried out....... The dimensional measurement property has been quantified by using a calibrated ball-bar and uncertainty budgeting. Information about the performance of a CT scanner system in terms of contrast and noise transmission and sources of geometrical errors will help plan CT scans more efficiently. In particular...

  19. Polarizability of Helium, Neon, and Argon: New Perspectives for Gas Metrology

    Science.gov (United States)

    Gaiser, Christof; Fellmuth, Bernd

    2018-03-01

    With dielectric-constant gas thermometry, the molar polarizability of helium, neon, and argon has been determined with relative standard uncertainties of about 2 parts per million. A series of isotherms measured with the three noble gases and two different experimental setups led to this unprecedented level of uncertainty. These data are crucial for scientists in the field of gas metrology, working on pressure and temperature standards. Furthermore, with the new benchmark values for neon and argon, theoretical calculations, today about 3 orders of magnitude larger in uncertainty, can be checked and improved.

  20. Metrology to enable high temperature erosion testing - A new european initiative

    DEFF Research Database (Denmark)

    Fry, A.T.; Gee, M.G.; Clausen, Sønnik

    2014-01-01

    is required. However, limitations in current measurement capability within this form of test prevent the advancement. A new European initiative, METROSION, on the development of high temperature solid particle erosion testing has a primary aim to develop this metrological framework. Several key parameters...... have been identified for measurement and control; these include temperature (of the sample, gas and particles), flow rate, size and shape of the erodent, angle of incidence of the particle stream and nozzle design. This paper outlines the aims and objectives of this new initiative. With a particular...

  1. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2002-2003

    International Nuclear Information System (INIS)

    2004-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2002-2003 years: 1 - Research topics: Quarks and Leptons; Astro-particles; Hadronic matter; Nuclear matter; Theoretical physics; trans-disciplinary activities; 2 - Technical support to experiments (electronics, Computers, Mechanics, Accelerators, Instrumentation, Radiation protection, LABRADOR metrology service, Administration); 3 - Transverse activities (Training, Science and society, Communication, Documentation); 4 - Scientific life (Scientific production, participation to scientific bodies); 5 - Manpower (Permanent training, Staff)

  2. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2006-2007

    International Nuclear Information System (INIS)

    2008-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2006-2007 years: 1 - Research topics: Quarks, Leptons and FUNDAMENTAL INTERACTIONS; Astro-particles; Hadronic and nuclear matter; Theoretical physics; trans-disciplinary activities; 2 - Technical support to experiments (electronics, Computers, Mechanics, Instrumentation, Accelerators, LABRADOR metrology service); 3 - Research support (administration, documentation, partnership and valorisation, quality assurance, permanent training, open university); 4 - Scientific life (publications, seminars, conferences, exhibitions, PhDs..)

  3. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2004-2005

    International Nuclear Information System (INIS)

    2006-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2004-2005 years: 1 - Research topics: Quarks and Leptons; Astro-particles; Hadronic and nuclear matter; Theoretical physics; trans-disciplinary activities; 2 - Technical support to experiments (electronics, Computers, Mechanics, Instrumentation, Radiation protection, Accelerators, LABRADOR metrology service, Administration); 3 - Transverse activities (Training, Science and society, Communication, Documentation); 4 - Scientific life (publications, seminars, conferences, exhibitions, PhDs..); 5 - Manpower (Permanent training, Staff)

  4. Metrologically Traceable Determination of the Water Content in Biopolymers: INRiM Activity

    Science.gov (United States)

    Rolle, F.; Beltramino, G.; Fernicola, V.; Sega, M.; Verdoja, A.

    2017-03-01

    Water content in materials is a key factor affecting many chemical and physical properties. In polymers of biological origin, it influences their stability and mechanical properties as well as their biodegradability. The present work describes the activity carried out at INRiM on the determination of water content in samples of a commercial starch-derived biopolymer widely used in shopping bags (Mater-Bi^{circledR }). Its water content, together with temperature, is the most influencing parameter affecting its biodegradability, because of the considerable impact on the microbial activity which is responsible for the biopolymer degradation in the environment. The main scope of the work was the establishment of a metrologically traceable procedure for the determination of water content by using two electrochemical methods, namely coulometric Karl Fischer (cKF) titration and evolved water vapour (EWV) analysis. The obtained results are presented. The most significant operational parameters were considered, and a particular attention was devoted to the establishment of metrological traceability of the measurement results by using appropriate calibration procedures, calibrated standards and suitable certified reference materials. Sample homogeneity and oven-drying temperature were found to be the most important influence quantities in the whole water content measurement process. The results of the two methods were in agreement within the stated uncertainties. Further development is foreseen for the application of cKF and EWV to other polymers.

  5. Use of national metrological references of dose absorbed in water and application of the IAEA TRS nr 398 dosimetry protocol to high energy photon beams. BNM-LNHB-LCIE-SFPM working group

    International Nuclear Information System (INIS)

    Chauvenet, B.; Delaunay, F.; Dolo, J.M.; Le Roy, G.; Bridier, A.; Francois, P.; Sabattier, R.

    2003-01-01

    Metrological references of dose absorbed in water for high energy photon beams used in radiotherapy have been elaborated during the past years by national calibration laboratories, and these new references are the basis of recent dosimetry protocols. However, the passage from metrological references of air kerma to dose absorbed in water, as well as the practical application of new calibration opportunities for dosemeters in high energy X ray beams requires a specific attention to maintain the consistency of dose measurement references over the hospital site. In this respect, this guide aims at the application of these metrological references. It proposes recommendations for the application of metrological references in terms of dose absorbed in water on the hospital site with reference to their determination conditions and to the implementation of the new IAEA dosimetry protocol (TRS nr 398). Thus, this guide proposes an overview of metrological references in French calibration laboratories, presents calibration methods (air kerma in a cobalt 60 gamma photon beam, dose absorbed in water) and a comparison with the IAEA TRS 277 dosimetry protocol. It addresses various practical aspects, and discusses uncertainties

  6. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.

    2011-11-01

    Shrinking process windows in very large scale integration semiconductor manufacturing have already necessitated the development of control systems capable of addressing sub-lot-level variation. Within-wafer control is the next milestone in the evolution of advanced process control from lot-based and wafer-based control. In order to adequately comprehend and control within-wafer spatial variation, inline measurements must be performed at multiple locations across the wafer. At the same time, economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed using the standard statistical tools of principle component analysis and canonical correlation analysis. The proposed selection method is validated using real manufacturing data, and results indicate that it is possible to significantly reduce the number of measurements with little loss in the information obtained for the process control systems. © 2011 IEEE.

  7. Testing of mechanical ventilators and infant incubators in healthcare institutions.

    Science.gov (United States)

    Badnjevic, Almir; Gurbeta, Lejla; Jimenez, Elvira Ruiz; Iadanza, Ernesto

    2017-01-01

    The medical device industry has grown rapidly and incessantly over the past century. The sophistication and complexity of the designed instrumentation is nowadays rising and, with it, has also increased the need to develop some better, more effective and efficient maintenance processes, as part of the safety and performance requirements. This paper presents the results of performance tests conducted on 50 mechanical ventilators and 50 infant incubators used in various public healthcare institutions. Testing was conducted in accordance to safety and performance requirements stated in relevant international standards, directives and legal metrology policies. Testing of output parameters for mechanical ventilators was performed in 4 measuring points while testing of output parameters for infant incubators was performed in 7 measuring points for each infant incubator. As performance criteria, relative error of output parameters for mechanical ventilators and absolute error of output parameters for infant incubators was calculated. The ranges of permissible error, for both groups of devices, are regulated by the Rules on Metrological and Technical Requirements published in the Official Gazette of Bosnia and Herzegovina No. 75/14, which are defined based on international recommendations, standards and guidelines. All ventilators and incubators were tested by etalons calibrated in an ISO 17025 accredited laboratory, which provides compliance to international standards for all measured parameters.The results show that 30% of the tested medical devices are not operating properly and should be serviced, recalibrated and/or removed from daily application.

  8. Air temperature sensors: dependence of radiative errors on sensor diameter in precision metrology and meteorology

    Science.gov (United States)

    de Podesta, Michael; Bell, Stephanie; Underwood, Robin

    2018-04-01

    In both meteorological and metrological applications, it is well known that air temperature sensors are susceptible to radiative errors. However, it is not widely known that the radiative error measured by an air temperature sensor in flowing air depends upon the sensor diameter, with smaller sensors reporting values closer to true air temperature. This is not a transient effect related to sensor heat capacity, but a fluid-dynamical effect arising from heat and mass flow in cylindrical geometries. This result has been known historically and is in meteorology text books. However, its significance does not appear to be widely appreciated and, as a consequence, air temperature can be—and probably is being—widely mis-estimated. In this paper, we first review prior descriptions of the ‘sensor size’ effect from the metrological and meteorological literature. We develop a heat transfer model to describe the process for cylindrical sensors, and evaluate the predicted temperature error for a range of sensor sizes and air speeds. We compare these predictions with published predictions and measurements. We report measurements demonstrating this effect in two laboratories at NPL in which the air flow and temperature are exceptionally closely controlled. The results are consistent with the heat-transfer model, and show that the air temperature error is proportional to the square root of the sensor diameter and that, even under good laboratory conditions, it can exceed 0.1 °C for a 6 mm diameter sensor. We then consider the implications of this result. In metrological applications, errors of the order of 0.1 °C are significant, representing limiting uncertainties in dimensional and mass measurements. In meteorological applications, radiative errors can easily be much larger. But in both cases, an understanding of the diameter dependence allows assessment and correction of the radiative error using a multi-sensor technique.

  9. International symposium on in situ nuclear metrology as a tool for radioecology INSINUME

    International Nuclear Information System (INIS)

    2008-01-01

    Full Text: This symposium, which is the natural continuation of the previous INSINUME conferences, held in Fleurus-Belgium, Albena-Bulgaria and Kusadasi-Turkey, has a dual purpose. First of all, it wants to bring together Radioecologists, Regulatory Authorities as well as Radiological Monitoring System Operators, in order to allow a wide exchange of information regarding practical experience and difficulties encountered in daily radiological monitoring of environment. On the other hand, the symposium intends to focus on the modern nuclear metrological tools, which could be used nowadays to ease the direct remote surveillance of the radiological status of seas, rivers, lakes and earth surface. In the past, these tools were suffering from a lack of sensitivity and reliability and were for that reason mainly used for health physics control that didn't require such a high accuracy. New systems are now at the disposal of the mathematical model users and radioecologists for investigating radioactive contaminants dispersion in normal conditions as well as in case of incidents. On basis of acquired experience and metrology progress, the final object of the symposium is to help the environment radioprotection world, to harmonise its rules, and thus to perform in the future a realistic and useful radiological monitoring. [fr

  10. Face-based recognition techniques: proposals for the metrological characterization of global and feature-based approaches

    Science.gov (United States)

    Betta, G.; Capriglione, D.; Crenna, F.; Rossi, G. B.; Gasparetto, M.; Zappa, E.; Liguori, C.; Paolillo, A.

    2011-12-01

    Security systems based on face recognition through video surveillance systems deserve great interest. Their use is important in several areas including airport security, identification of individuals and access control to critical areas. These systems are based either on the measurement of details of a human face or on a global approach whereby faces are considered as a whole. The recognition is then performed by comparing the measured parameters with reference values stored in a database. The result of this comparison is not deterministic because measurement results are affected by uncertainty due to random variations and/or to systematic effects. In these circumstances the recognition of a face is subject to the risk of a faulty decision. Therefore, a proper metrological characterization is needed to improve the performance of such systems. Suitable methods are proposed for a quantitative metrological characterization of face measurement systems, on which recognition procedures are based. The proposed methods are applied to three different algorithms based either on linear discrimination, on eigenface analysis, or on feature detection.

  11. Face-based recognition techniques: proposals for the metrological characterization of global and feature-based approaches

    International Nuclear Information System (INIS)

    Betta, G; Capriglione, D; Crenna, F; Rossi, G B; Gasparetto, M; Zappa, E; Liguori, C; Paolillo, A

    2011-01-01

    Security systems based on face recognition through video surveillance systems deserve great interest. Their use is important in several areas including airport security, identification of individuals and access control to critical areas. These systems are based either on the measurement of details of a human face or on a global approach whereby faces are considered as a whole. The recognition is then performed by comparing the measured parameters with reference values stored in a database. The result of this comparison is not deterministic because measurement results are affected by uncertainty due to random variations and/or to systematic effects. In these circumstances the recognition of a face is subject to the risk of a faulty decision. Therefore, a proper metrological characterization is needed to improve the performance of such systems. Suitable methods are proposed for a quantitative metrological characterization of face measurement systems, on which recognition procedures are based. The proposed methods are applied to three different algorithms based either on linear discrimination, on eigenface analysis, or on feature detection

  12. A universal quantum module for quantum communication, computation, and metrology

    Science.gov (United States)

    Hanks, Michael; Lo Piparo, Nicolò; Trupke, Michael; Schmiedmayer, Jorg; Munro, William J.; Nemoto, Kae

    2017-08-01

    In this work, we describe a simple module that could be ubiquitous for quantum information based applications. The basic modules comprises a single NV- center in diamond embedded in an optical cavity, where the cavity mediates interactions between photons and the electron spin (enabling entanglement distribution and efficient readout), while the nuclear spins constitutes a long-lived quantum memories capable of storing and processing quantum information. We discuss how a network of connected modules can be used for distributed metrology, communication and computation applications. Finally, we investigate the possible use of alternative diamond centers (SiV/GeV) within the module and illustrate potential advantages.

  13. Metrological legal frame in the field of the photon dosimetry of radiotherapy in Cuba

    International Nuclear Information System (INIS)

    Walwyn S, G.; Gutierrez L, S.; Gonzalez R, N.

    2006-01-01

    The Clinical Dosimetry in the planning of the doses to administer to patients under radiant treatment is of great importance. At the moment the clinical dosemeters its are manufactured with a high technology but errors of production or manipulation cannot be discarded that lead to errors in this planning. It also exists, a group of metrological and of operation parameters that are not checked in a routine calibration, and for those that are checked, legal base that restricts its use in cases of bad operation doesn't exist. This motivated to the Cuban standard elaboration NC 352:2005, for the verification of reference dosemeters of radiotherapy, process that trafficked for an exhaustive search and study of standards and international technical reports, selecting as base document, the standard IEC 60731:1997, for essays of approval of model of clinical dosemeters used in radiotherapy. The present article shows the main technical aspects considered and the requirements and verification methods for the declaration of aptitude of the dosemeters. This document constitutes the scientific base for the implementation from a verification service to national level and an important contribution to the standardization of the metrology of ionizing radiations of Cuba. (Author)

  14. The At-Wavelength Metrology Facility at BESSY-II

    Directory of Open Access Journals (Sweden)

    Franz Schäfers

    2016-02-01

    Full Text Available The At-Wavelength Metrology Facility at BESSY-II is dedicated to short-term characterization of novel UV, EUV and XUV optical elements, such as diffraction gratings, mirrors, multilayers and nano-optical devices like reflection zone plates. It consists of an Optics Beamline PM-1 and a Reflectometer in a clean-room hutch as a fixed end station. The bending magnet Beamline is a Plane Grating Monochromator beamline (c-PGM equipped with an SX700 monochromator. The beamline is specially tailored for efficient high-order suppression and stray light reduction. The versatile 11-axes UHV-Reflectometer can house life-sized optical elements, which are fully adjustable and of which the reflection properties can be measured in the full incidence angular range as well as in the full azimuthal angular range to determine polarization properties.

  15. 64nm pitch metal1 double patterning metrology: CD and OVL control by SEMCD, image based overlay and diffraction based overlay

    Science.gov (United States)

    Ducoté, Julien; Dettoni, Florent; Bouyssou, Régis; Le-Gratiet, Bertrand; Carau, Damien; Dezauzier, Christophe

    2015-03-01

    Patterning process control of advanced nodes has required major changes over the last few years. Process control needs of critical patterning levels since 28nm technology node is extremely aggressive showing that metrology accuracy/sensitivity must be finely tuned. The introduction of pitch splitting (Litho-Etch-Litho-Etch) at 14FDSOInm node requires the development of specific metrologies to adopt advanced process control (for CD, overlay and focus corrections). The pitch splitting process leads to final line CD uniformities that are a combination of the CD uniformities of the two exposures, while the space CD uniformities are depending on both CD and OVL variability. In this paper, investigations of CD and OVL process control of 64nm minimum pitch at Metal1 level of 14FDSOI technology, within the double patterning process flow (Litho, hard mask etch, line etch) are presented. Various measurements with SEMCD tools (Hitachi), and overlay tools (KT for Image Based Overlay - IBO, and ASML for Diffraction Based Overlay - DBO) are compared. Metrology targets are embedded within a block instanced several times within the field to perform intra-field process variations characterizations. Specific SEMCD targets were designed for independent measurement of both line CD (A and B) and space CD (A to B and B to A) for each exposure within a single measurement during the DP flow. Based on those measurements correlation between overlay determined with SEMCD and with standard overlay tools can be evaluated. Such correlation at different steps through the DP flow is investigated regarding the metrology type. Process correction models are evaluated with respect to the measurement type and the intra-field sampling.

  16. Quality control of calibration system for area monitors at National Laboratory of Metrology from Ionizing Radiations (LNMRI)

    International Nuclear Information System (INIS)

    Ramos, M.M.O.; Freitas, L.C. de

    1992-01-01

    The quality control of equipment used in calibration from the National Laboratory of Metrology on Ionizing Radiations is presented, with results of standard measure systems and irradiation system. Tables and graphics with the quality of systems are also shown. (C.G.C.)

  17. Characterizing opto-electret based paper speakers by using a real-time projection Moiré metrology system

    Science.gov (United States)

    Chang, Ya-Ling; Hsu, Kuan-Yu; Lee, Chih-Kung

    2016-03-01

    Advancement of distributed piezo-electret sensors and actuators facilitates various smart systems development, which include paper speakers, opto-piezo/electret bio-chips, etc. The array-based loudspeaker system possess several advantages over conventional coil speakers, such as light-weightness, flexibility, low power consumption, directivity, etc. With the understanding that the performance of the large-area piezo-electret loudspeakers or even the microfluidic biochip transport behavior could be tailored by changing their dynamic behaviors, a full-field real-time high-resolution non-contact metrology system was developed. In this paper, influence of the resonance modes and the transient vibrations of an arraybased loudspeaker system on the acoustic effect were measured by using a real-time projection moiré metrology system and microphones. To make the paper speaker even more versatile, we combine the photosensitive material TiOPc into the original electret loudspeaker. The vibration of this newly developed opto-electret loudspeaker could be manipulated by illuminating different light-intensity patterns. Trying to facilitate the tailoring process of the opto-electret loudspeaker, projection moiré was adopted to measure its vibration. By recording the projected fringes which are modulated by the contours of the testing sample, the phase unwrapping algorithm can give us a continuous phase distribution which is proportional to the object height variations. With the aid of the projection moiré metrology system, the vibrations associated with each distinctive light pattern could be characterized. Therefore, we expect that the overall acoustic performance could be improved by finding the suitable illuminating patterns. In this manuscript, the system performance of the projection moiré and the optoelectret paper speakers were cross-examined and verified by the experimental results obtained.

  18. Applying Metrological Techniques to Satellite Fundamental Climate Data Records

    Science.gov (United States)

    Woolliams, Emma R.; Mittaz, Jonathan PD; Merchant, Christopher J.; Hunt, Samuel E.; Harris, Peter M.

    2018-02-01

    Quantifying long-term environmental variability, including climatic trends, requires decadal-scale time series of observations. The reliability of such trend analysis depends on the long-term stability of the data record, and understanding the sources of uncertainty in historic, current and future sensors. We give a brief overview on how metrological techniques can be applied to historical satellite data sets. In particular we discuss the implications of error correlation at different spatial and temporal scales and the forms of such correlation and consider how uncertainty is propagated with partial correlation. We give a form of the Law of Propagation of Uncertainties that considers the propagation of uncertainties associated with common errors to give the covariance associated with Earth observations in different spectral channels.

  19. La enseñanza de metrología Industrial, en la formación Especializada de Ingenieros Industriales Universidad Nacional Federico Villarreal 2016

    OpenAIRE

    Astuñaupa Balvín, Víctor Timoteo

    2017-01-01

    La Metrología Industrial como programa o experiencia curricular actualmente en las Universidades de Perú no se desarrollan o no se imparten en su formación especializada en las carreras de Ingeniería, en particular en las carreras de Ingeniería Industrial, siendo la Metrología una ciencia de las mediciones que día a día se aplican en los diversos procesos y en los diverso sectores industriales con el que aseguran su calidad, productividad y competitividad, además es uno de los ...

  20. Metrological issues related to BRDF measurements around the specular direction in the particular case of glossy surfaces

    Science.gov (United States)

    Obein, Gaël.; Audenaert, Jan; Ged, Guillaume; Leloup, Frédéric B.

    2015-03-01

    Among the complete bidirectional reflectance distribution function (BRDF), visual gloss is principally related to physical reflection characteristics located around the specular reflection direction. This particular part of the BRDF is usually referred to as the specular peak. A good starting point for the physical description of gloss could be to measure the reflection properties around this specular peak. Unfortunately, such a characterization is not trivial, since for glossy surfaces the width of the specular peak can become very narrow (typically a full width at half maximum inferior to 0.5° is encountered). In result, new BRDF measurement devices with a very small solid angle of detection are being introduced. Yet, differences in the optical design of BRDF measurement instruments engender different measurement results for the same specimen, complicating direct comparison of the measurement results. This issue is addressed in this paper. By way of example, BRDF measurement results of two samples, one being matte and the other one glossy, obtained by use of two high level goniospectrophotometers with a different optical design, are described. Important discrepancies in the results of the glossy sample are discussed. Finally, luminance maps obtained from renderings with the acquired BRDF data are presented, exemplifying the large visual differences that might be obtained. This stresses the metrological aspects that must be known for using BRDF data. Indeed, the comprehension of parameters affecting the measurement results is an inevitable step towards progress in the metrology of surface gloss, and thus towards a better metrology of appearance in general.

  1. The coming of age of the first hybrid metrology software platform dedicated to nanotechnologies (Conference Presentation)

    Science.gov (United States)

    Foucher, Johann; Labrosse, Aurelien; Dervillé, Alexandre; Zimmermann, Yann; Bernard, Guilhem; Martinez, Sergio; Grönqvist, Hanna; Baderot, Julien; Pinzan, Florian

    2017-03-01

    The development and integration of new materials and structures at the nanoscale require multiple parallel characterizations in order to control mostly physico-chemical properties as a function of applications. Among all properties, we can list physical properties such as: size, shape, specific surface area, aspect ratio, agglomeration/aggregation state, size distribution, surface morphology/topography, structure (including crystallinity and defect structure), solubility and chemical properties such as: structural formula/molecular structure, composition (including degree of purity, known impurities or additives), phase identity, surface chemistry (composition, charge, tension, reactive sites, physical structure, photocatalytic properties, zeta potential), hydrophilicity/lipophilicity. Depending on the final material formulation (aerosol, powder, nanostructuration…) and the industrial application (semiconductor, cosmetics, chemistry, automotive…), a fleet of complementary characterization equipments must be used in synergy for accurate process tuning and high production yield. The synergy between equipment so-called hybrid metrology consists in using the strength of each technique in order to reduce the global uncertainty for better and faster process control. The only way to succeed doing this exercise is to use data fusion methodology. In this paper, we will introduce the work that has been done to create the first generic hybrid metrology software platform dedicated to nanotechnologies process control. The first part will be dedicated to process flow modeling that is related to a fleet of metrology tools. The second part will introduce the concept of entity model which describes the various parameters that have to be extracted. The entity model is fed with data analysis as a function of the application (automatic analysis or semi-automated analysis). The final part will introduce two ways of doing data fusion on real data coming from imaging (SEM, TEM, AFM

  2. The remarkable metrological history of 14C dating: From ancient Egyptian artifacts to particles of soot and grains of pollen

    Science.gov (United States)

    Currie, L. A.

    2003-01-01

    Radiocarbon dating would not have been possible if 14C had not had the “wrong” half-life—a fact that delayed its discovery [1]. Following the discovery of this 5730 year radionuclide in laboratory experiments by Ruben and Kamen, it became clear to W. F. Libby that 14C should exist in nature, and that it could serve as a quantitative means for dating artifacts and events marking the history of civilization. The search for natural radiocarbon was a metrological challenge; the level in the living biosphere [ca. 230 Bq/kg] lay far beyond the then current state of the measurement art. This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for “molecular dating” at the 10 μg to 100 μg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the “bomb effect,” that spawned new multidisciplinary areas of application, ranging from cosmic ray physics to oceanography to the reconstruction of environmental history.

  3. The remarkable metrological history of 14C dating: from ancient Egyptian artifacts to particles of soot and grains of pollen

    International Nuclear Information System (INIS)

    Currie, L.A.

    2003-01-01

    Radiocarbon dating would not have been possible if 14 C had not had the 'wrong' half-life - a fact that delayed its discovery. Following the discovery of this 5730 year radionuclide in laboratory experiments by Ruben and Kamen, it became clear to W. F. Libby that 14 C should exist in nature, and that it could serve as a quantitative means for dating artifacts and events marking the history of civilization. The search for natural radiocarbon was a metrological challenge; the level in the living biosphere (ca. 230 Bq/kg) lay far beyond the then current state of the measurement art. This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought 14 C measurement from a crude, bulk (8 g carbon) dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for 'molecular dating' at the 10 μg to 100 mg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the 'bomb effect', that spawned new multidisciplinary areas of application, ranging from cosmic ray physics to oceanography to the reconstruction of environmental history. (author)

  4. A criterion of the performance of thermometric systems of high metrological reliability

    International Nuclear Information System (INIS)

    Sal'nikov, N.L.; Filimonov, E.V.

    1995-01-01

    Monitoring temperature regimes is an important part of ensuring the operational safety of a nuclear power plant. Therefore, high standards are imposed upon the reliability of the primary information on the heat field of the object obtained from different sensors, and it is urgent to develop methods of evaluating the metrological reliability of these sensors. THe main sources of thermometric information at nuclear power plants are contact temperature sensors, the most widely used of these being thermoelectric converters (TEC) and thermal resistance converters (TRC)

  5. Nondestructive testing of PWR type fuel rods by eddy currents and metrology in the OSIRIS reactor pool

    International Nuclear Information System (INIS)

    Faure, M.; Marchand, L.

    1985-02-01

    The Saclay Reactor Department has developed a nondestructive test bench, now installed above channel 1 of the OSIRIS reactor. As part of investigations into the dynamics of PWR fuel degradation, a number of fuel rods underwent metrological and eddy current inspection, after irradiation [fr

  6. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II from 5 to 1200 ppmv using a metrological humidity generator

    Directory of Open Access Journals (Sweden)

    B. Buchholz

    2018-01-01

    Full Text Available Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10–20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  7. Measurement range of phase retrieval in optical surface and wavefront metrology

    International Nuclear Information System (INIS)

    Brady, Gregory R.; Fienup, James R.

    2009-01-01

    Phase retrieval employs very simple data collection hardware and iterative algorithms to determine the phase of an optical field. We have derived limitations on phase retrieval, as applied to optical surface and wavefront metrology, in terms of the speed of beam (i.e., f-number or numerical aperture) and amount of aberration using arguments based on sampling theory and geometrical optics. These limitations suggest methodologies for expanding these ranges by increasing the complexity of the measurement arrangement, the phase-retrieval algorithm, or both. We have simulated one of these methods where a surface is measured at unusual conjugates

  8. Nuclear material enrichment identification method based on cross-correlation and high order spectra

    International Nuclear Information System (INIS)

    Yang Fan; Wei Biao; Feng Peng; Mi Deling; Ren Yong

    2013-01-01

    In order to enhance the sensitivity of nuclear material identification system (NMIS) against the change of nuclear material enrichment, the principle of high order statistic feature is introduced and applied to traditional NMIS. We present a new enrichment identification method based on cross-correlation and high order spectrum algorithm. By applying the identification method to NMIS, the 3D graphs with nuclear material character are presented and can be used as new signatures to identify the enrichment of nuclear materials. The simulation result shows that the identification method could suppress the background noises, electronic system noises, and improve the sensitivity against enrichment change to exponential order with no system structure modification. (authors)

  9. A primary mirror metrology system for the GMT

    Science.gov (United States)

    Rakich, A.

    2016-07-01

    The Giant Magellan Telescope (GMT)1 is a 25 m "doubly segmented" telescope composed of seven 8.4 m "unit Gregorian telescopes", on a common mount. Each primary and secondary mirror segment will ideally lie on the geometrical surface of the corresponding rotationally symmetrical full aperture optical element. Therefore, each primary and conjugated secondary mirror segment will feed a common instrument interface, their focal planes co-aligned and cophased. First light with a subset of four unit telescopes is currently scheduled for 2022. The project is currently considering an important aspect of the assembly, integration and verification (AIV) phase of the project. This paper will discuss a dedicated system to directly characterize the on-sky performance of the M1 segments, independently of the M2 subsystem. A Primary Mirror Metrology System (PMS) is proposed. The main purpose of this system will be to he4lp determine the rotation axis of an instrument rotator (the Gregorian Instrument Rotator or GIR in this case) and then to characterize the deflections and deformations of the M1 segments with respect to this axis as a function of gravity and temperature. The metrology system will incorporate a small (180 mm diameter largest element) prime focus corrector (PFC) that simultaneously feeds a risk reduction during AIV; it allows an on-sky characterization of the primary mirror segments and cells, without the complications of other optical elements. The PMS enables a very useful alignment strategy that constrains each primary mirror segments' optical axes to follow the GIR axis to within a few arc seconds. An additional attractive feature of the incorporation of the PMS into the AIV plan, is that it allows first on-sky telescope operations to occur with a system of considerably less optical and control complexity than the final doubly segmented Gregorian telescope configuration. This paper first discusses the strategic rationale for a PMS. Next the system itself is

  10. Environmental dose in the Nuclear Medicine Department of the National Institute of Cancer

    International Nuclear Information System (INIS)

    Torres U, C. L.; Avila A, O. L.; Medina V, L. A.; Buenfil B, A. E.; Brandan S, M. E.; Trujillo Z, F. E.; Gamboa de Buen, I.

    2009-01-01

    The dosimeters TLD-100 and TLD-900 were used to know the levels of environmental dose in areas of the Nuclear Medicine Department of the National Institute of Cancer. The dosimeters calibration was carried out in the Metrology Department of the National Institute of Nuclear Research. The radioisotopes used in the studied areas are 131 I, 18 F, 67 Ga, 99m Tc, 111 In, 201 Tl and 137 Cs with gamma energies between 93 and 662 KeV. Dosimeters were placed during five months in the diagnostic, injection, waiting and PET rooms as well as hot room, waste room, enclosed corridors to patient rooms treated with 131 I and 137 Cs and witness dosimeters to know the bottom. The values found vary between 0.3 and 70 major times that those of bottom. The maximum doses were measured in the waste room and in the enclosed corridor to the patient rooms with cervical uterine cancer treated with 137 Cs. (Author)

  11. A decade of innovation with laser speckle metrology

    Science.gov (United States)

    Ettemeyer, Andreas

    2003-05-01

    Speckle Pattern Interferometry has emerged from the experimental substitution of holographic interferometry to become a powerful problem solving tool in research and industry. The rapid development of computer and digital imaging techniques in combination with minaturization of the optical equipment led to new applications which had not been anticipated before. While classical holographic interferometry had always required careful consideration of the environmental conditions such as vibration, noise, light, etc. and could generally only be performed in the optical laboratory, it is now state of the art, to handle portable speckle measuring equipment at almost any place. During the last decade, the change in design and technique has dramatically influenced the range of applications of speckle metrology and opened new markets. The integration of recent research results into speckle measuring equipment has led to handy equipment, simplified the operation and created high quality data output.

  12. Generic distortion model for metrology under optical microscopes

    Science.gov (United States)

    Liu, Xingjian; Li, Zhongwei; Zhong, Kai; Chao, YuhJin; Miraldo, Pedro; Shi, Yusheng

    2018-04-01

    For metrology under optical microscopes, lens distortion is the dominant source of error. Previous distortion models and correction methods mostly rely on the assumption that parametric distortion models require a priori knowledge of the microscopes' lens systems. However, because of the numerous optical elements in a microscope, distortions can be hardly represented by a simple parametric model. In this paper, a generic distortion model considering both symmetric and asymmetric distortions is developed. Such a model is obtained by using radial basis functions (RBFs) to interpolate the radius and distortion values of symmetric distortions (image coordinates and distortion rays for asymmetric distortions). An accurate and easy to implement distortion correction method is presented. With the proposed approach, quantitative measurement with better accuracy can be achieved, such as in Digital Image Correlation for deformation measurement when used with an optical microscope. The proposed technique is verified by both synthetic and real data experiments.

  13. Comparison of ATLAS tilecal module No. 8 high-precision metrology measurement results obtained by laser (JINR) and photogrammetric (CERN) methods

    International Nuclear Information System (INIS)

    Batusov, V.; Budagov, Yu.; Gayde, J.C.

    2002-01-01

    The high-precision assembly of large experimental set-ups is of a principal necessity for the successful execution of the forthcoming LHC research programme in the TeV-beams. The creation of an adequate survey and control metrology method is an essential part of the detector construction scenario. This work contains the dimension measurement data for ATLAS hadron calorimeter MODULE No. 8 (6 m, 22 tons) which were obtained by laser and by photogrammetry methods. The comparative data analysis demonstrates the measurements agreement within ± 70 μm. It means, these two clearly independent methods can be combined and lead to the rise of a new-generation engineering culture: high-precision metrology when precision assembling of large scale massive objects

  14. Comparison of ATLAS Tilecal MODULE No 8 high-precision metrology measurement results obtained by laser (JINR) and photogrammetric (CERN) methods

    CERN Document Server

    Batusov, V; Gayde, J C; Khubua, J I; Lasseur, C; Lyablin, M V; Miralles-Verge, L; Nessi, Marzio; Rusakovitch, N A; Sissakian, A N; Topilin, N D

    2002-01-01

    The high-precision assembly of large experimental set-ups is of a principal necessity for the successful execution of the forthcoming LHC research programme in the TeV-beams. The creation of an adequate survey and control metrology method is an essential part of the detector construction scenario. This work contains the dimension measurement data for ATLAS hadron calorimeter MODULE No. 8 (6 m, 22 tons) which were obtained by laser and by photogrammetry methods. The comparative data analysis demonstrates the measurements agreement within +or-70 mu m. It means, these two clearly independent methods can be combined and lead to the rise of a new-generation engineering culture: high-precision metrology when precision assembling of large scale massive objects. (3 refs).

  15. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy; Perspectives en metrologie de la dose face aux evolutions techniques de la radiotherapie externe

    Energy Technology Data Exchange (ETDEWEB)

    Chauvenet, B.; Bordy, J.M. [CEA Saclay, Lab. National Henri Becquerel (LNE-LNHB), 91 - Gif-sur-Yvette (France); Barthe, J. [CEA Saclay (LIST), 91 - Gif-sur-Yvette (France)

    2009-07-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  16. Digital Holography, a metrological tool for quantitative analysis: Trends and future applications

    Science.gov (United States)

    Paturzo, Melania; Pagliarulo, Vito; Bianco, Vittorio; Memmolo, Pasquale; Miccio, Lisa; Merola, Francesco; Ferraro, Pietro

    2018-05-01

    A review on the last achievements of Digital Holography is reported in this paper, showing that this powerful method can be a key metrological tool for the quantitative analysis and non-invasive inspection of a variety of materials, devices and processes. Nowadays, its range of applications has been greatly extended, including the study of live biological matter and biomedical applications. This paper overviews the main progresses and future perspectives of digital holography, showing new optical configurations and investigating the numerical issues to be tackled for the processing and display of quantitative data.

  17. Trapped atomic ions for quantum-limited metrology

    Science.gov (United States)

    Wineland, David

    2017-04-01

    Laser-beam-manipulated trapped ions are a candidate for large-scale quantum information processing and quantum simulation but the basic techniques used can also be applied to quantum-limited metrology and sensing. Some examples being explored at NIST are: 1) As charged harmonic oscillators, trapped ions can be used to sense electric fields; this can be used to characterize the electrode-surface-based noisy electric fields that compromise logic-gate fidelities and may eventually be used as a tool in surface science. 2) Since typical qubit logic gates depend on state-dependent forces, we can adapt the gate dynamics to sensitively detect additional forces. 3) We can use extensions of Bell inequality measurements to further restrict the degree of local realism possessed by Bell states. 4) We also briefly describe experiments for creation of Bell states using Hilbert space engineering. This work is a joint effort including the Ion-Storage group, the Quantum processing group, and the Computing and Communications Theory group at NIST, Boulder. Supported by IARPA, ONR, and the NIST Quantum Information Program.

  18. Metrology test object for dimensional verification in additive manufacturing of metals for biomedical applications.

    Science.gov (United States)

    Teeter, Matthew G; Kopacz, Alexander J; Nikolov, Hristo N; Holdsworth, David W

    2015-01-01

    Additive manufacturing continues to increase in popularity and is being used in applications such as biomaterial ingrowth that requires sub-millimeter dimensional accuracy. The purpose of this study was to design a metrology test object for determining the capabilities of additive manufacturing systems to produce common objects, with a focus on those relevant to medical applications. The test object was designed with a variety of features of varying dimensions, including holes, cylinders, rectangles, gaps, and lattices. The object was built using selective laser melting, and the produced dimensions were compared to the target dimensions. Location of the test objects on the build plate did not affect dimensions. Features with dimensions less than 0.300 mm did not build or were overbuilt to a minimum of 0.300 mm. The mean difference between target and measured dimensions was less than 0.100 mm in all cases. The test object is applicable to multiple systems and materials, tests the effect of location on the build, uses a minimum of material, and can be measured with a variety of efficient metrology tools (including measuring microscopes and micro-CT). Investigators can use this test object to determine the limits of systems and adjust build parameters to achieve maximum accuracy. © IMechE 2014.

  19. CD-SEM real time bias correction using reference metrology based modeling

    Science.gov (United States)

    Ukraintsev, V.; Banke, W.; Zagorodnev, G.; Archie, C.; Rana, N.; Pavlovsky, V.; Smirnov, V.; Briginas, I.; Katnani, A.; Vaid, A.

    2018-03-01

    Accuracy of patterning impacts yield, IC performance and technology time to market. Accuracy of patterning relies on optical proximity correction (OPC) models built using CD-SEM inputs and intra die critical dimension (CD) control based on CD-SEM. Sub-nanometer measurement uncertainty (MU) of CD-SEM is required for current technologies. Reported design and process related bias variation of CD-SEM is in the range of several nanometers. Reference metrology and numerical modeling are used to correct SEM. Both methods are slow to be used for real time bias correction. We report on real time CD-SEM bias correction using empirical models based on reference metrology (RM) data. Significant amount of currently untapped information (sidewall angle, corner rounding, etc.) is obtainable from SEM waveforms. Using additional RM information provided for specific technology (design rules, materials, processes) CD extraction algorithms can be pre-built and then used in real time for accurate CD extraction from regular CD-SEM images. The art and challenge of SEM modeling is in finding robust correlation between SEM waveform features and bias of CD-SEM as well as in minimizing RM inputs needed to create accurate (within the design and process space) model. The new approach was applied to improve CD-SEM accuracy of 45 nm GATE and 32 nm MET1 OPC 1D models. In both cases MU of the state of the art CD-SEM has been improved by 3x and reduced to a nanometer level. Similar approach can be applied to 2D (end of line, contours, etc.) and 3D (sidewall angle, corner rounding, etc.) cases.

  20. Geodesy and metrology with a transportable optical clock

    Science.gov (United States)

    Grotti, Jacopo; Koller, Silvio; Vogt, Stefan; Häfner, Sebastian; Sterr, Uwe; Lisdat, Christian; Denker, Heiner; Voigt, Christian; Timmen, Ludger; Rolland, Antoine; Baynes, Fred N.; Margolis, Helen S.; Zampaolo, Michel; Thoumany, Pierre; Pizzocaro, Marco; Rauf, Benjamin; Bregolin, Filippo; Tampellini, Anna; Barbieri, Piero; Zucco, Massimo; Costanzo, Giovanni A.; Clivati, Cecilia; Levi, Filippo; Calonico, Davide

    2018-05-01

    Optical atomic clocks, due to their unprecedented stability1-3 and uncertainty3-6, are already being used to test physical theories7,8 and herald a revision of the International System of Units9,10. However, to unlock their potential for cross-disciplinary applications such as relativistic geodesy11, a major challenge remains: their transformation from highly specialized instruments restricted to national metrology laboratories into flexible devices deployable in different locations12-14. Here, we report the first field measurement campaign with a transportable 87Sr optical lattice clock12. We use it to determine the gravity potential difference between the middle of a mountain and a location 90 km away, exploiting both local and remote clock comparisons to eliminate potential clock errors. A local comparison with a 171Yb lattice clock15 also serves as an important check on the international consistency of independently developed optical clocks. This campaign demonstrates the exciting prospects for transportable optical clocks.