Understanding the biological responses of nanostructured metals and surfaces
Lowe, Terry C.; Reiss, Rebecca A.
2014-08-01
Metals produced by Severe Plastic Deformation (SPD) offer distinct advantages for medical applications such as orthopedic devices, in part because of their nanostructured surfaces. We examine the current theoretical foundations and state of knowledge for nanostructured biomaterials surface optimization within the contexts that apply to bulk nanostructured metals, differentiating how their microstructures impact osteogenesis, in particular, for Ultrafine Grained (UFG) titanium. Then we identify key gaps in the research to date, pointing out areas which merit additional focus within the scientific community. For example, we highlight the potential of next-generation DNA sequencing techniques (NGS) to reveal gene and non-coding RNA (ncRNA) expression changes induced by nanostructured metals. While our understanding of bio-nano interactions is in its infancy, nanostructured metals are already being marketed or developed for medical devices such as dental implants, spinal devices, and coronary stents. Our ability to characterize and optimize the biological response of cells to SPD metals will have synergistic effects on advances in materials, biological, and medical science.
Understanding the biological responses of nanostructured metals and surfaces
International Nuclear Information System (INIS)
Lowe, Terry C; A Reiss, Rebecca
2014-01-01
Metals produced by Severe Plastic Deformation (SPD) offer distinct advantages for medical applications such as orthopedic devices, in part because of their nanostructured surfaces. We examine the current theoretical foundations and state of knowledge for nanostructured biomaterials surface optimization within the contexts that apply to bulk nanostructured metals, differentiating how their microstructures impact osteogenesis, in particular, for Ultrafine Grained (UFG) titanium. Then we identify key gaps in the research to date, pointing out areas which merit additional focus within the scientific community. For example, we highlight the potential of next-generation DNA sequencing techniques (NGS) to reveal gene and non-coding RNA (ncRNA) expression changes induced by nanostructured metals. While our understanding of bio-nano interactions is in its infancy, nanostructured metals are already being marketed or developed for medical devices such as dental implants, spinal devices, and coronary stents. Our ability to characterize and optimize the biological response of cells to SPD metals will have synergistic effects on advances in materials, biological, and medical science
Geometrically induced surface polaritons in planar nanostructured metallic cavities
Energy Technology Data Exchange (ETDEWEB)
Davids, P. S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Intravia, F [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Dalvit, Diego A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)
2014-01-14
We examine the modal structure and dispersion of periodically nanostructured planar metallic cavities within the scattering matrix formulation. By nanostructuring a metallic grating in a planar cavity, artificial surface excitations or spoof plasmon modes are induced with dispersion determined by the periodicity and geometric characteristics of the grating. These spoof surface plasmon modes are shown to give rise to new cavity polaritonic modes at short mirror separations that modify the density of modes in nanostructured cavities. The increased modal density of states form cavity polarirons have a large impact on the fluctuation induced electromagnetic forces and enhanced hear transfer at short separations.
International Nuclear Information System (INIS)
Cho, Seungho; Jeong, Haeyoon; Lee, Kun-Hong
2010-01-01
We report a method for converting the surfaces of bulk metal substrates (pure iron or stainless steel) to metal oxide (hematite or Cr-doped hematite) nanostructures using microwave irradiation. When microwave radiation (2.45 GHz, single-mode) was applied to a metal substrate under the flow of a gas mixture containing O 2 and Ar, metal oxide nanostructures formed and entirely covered the substrate. The nanostructures were single crystalline, and the atomic ratios of the substrate metals were preserved in the nanostructures. When a pure iron sheet was used as a substrate, hematite nanowires (1000 W microwave radiation) or nanosheets (1800 W microwave radiation) formed on the surface of the substrate. When a SUS410 sheet was used as a substrate, slightly curved rod-like nanostructures were synthesized. The oxidation states of Fe and Cr in these nanorods were Fe 3+ and Cr 3+ . Quantitative analyses revealed an average Fe/Cr atomic ratio of 9.2, nearly identical to the ratio of the metals in the SUS410 substrate.
Surface plasmon microscopy with low-cost metallic nanostructures for biosensing I
Lindquist, Nathan; Oh, Sang-Hyun; Otto, Lauren
2012-02-01
The field of plasmonics aims to manipulate light over dimensions smaller than the optical wavelength by exploiting surface plasmon resonances in metallic films. Typically, surface plasmons are excited by illuminating metallic nanostructures. For meaningful research in this exciting area, the fabrication of high-quality nanostructures is critical, and in an undergraduate setting, low-cost methods are desirable. Careful optical characterization of the metallic nanostructures is also required. Here, we present the use of novel, inexpensive nanofabrication techniques and the development of a customized surface plasmon microscopy setup for interdisciplinary undergraduate experiments in biosensing, surface-enhanced Raman spectroscopy, and surface plasmon imaging. A Bethel undergraduate student performs the nanofabrication in collaboration with the University of Minnesota. The rewards of mentoring undergraduate students in cooperation with a large research university are numerous, exposing them to a wide variety of opportunities. This research also interacts with upper-level, open-ended laboratory projects, summer research, a semester-long senior research experience, and will enable a large range of experiments into the future.
Diffusion and surface alloying of gradient nanostructured metals
Directory of Open Access Journals (Sweden)
Zhenbo Wang
2017-03-01
Full Text Available Gradient nanostructures (GNSs have been optimized in recent years for desired performance. The diffusion behavior in GNS metals is crucial for understanding the diffusion mechanism and relative characteristics of different interfaces that provide fundamental understanding for advancing the traditional surface alloying processes. In this paper, atomic diffusion, reactive diffusion, and surface alloying processes are reviewed for various metals with a preformed GNS surface layer. We emphasize the promoted atomic diffusion and reactive diffusion in the GNS surface layer that are related to a higher interfacial energy state with respect to those in relaxed coarse-grained samples. Accordingly, different surface alloying processes, such as nitriding and chromizing, have been modified significantly, and some diffusion-related properties have been enhanced. Finally, the perspectives on current research in this field are discussed.
Metal nanostructures: from clusters to nanocatalysis and sensors
Smirnov, B. M.
2017-12-01
The properties of metal clusters and nanostructures composed of them are reviewed. Various existing methods for the generation of intense beams of metal clusters and their subsequent conversion into nanostructures are compared. Processes of the flow of a buffer gas with active molecules through a nanostructure are analyzed as a basis of using nanostructures for catalytic applications. The propagation of an electric signal through a nanostructure is studied by analogy with a macroscopic metal. An analysis is given of how a nanostructure changes its resistance as active molecules attach to its surface and are converted into negative ions. These negative ions induce the formation of positively charged vacancies inside the metal conductor and attract the vacancies to together change the resistance of the metal nanostructure. The physical basis is considered for using metal clusters and nanostructures composed of them to create new materials in the form of a porous metal film on the surface of an object. The fundamentals of nanocatalysis are reviewed. Semiconductor conductometric sensors consisting of bound nanoscale grains or fibers acting as a conductor are compared with metal sensors conducting via a percolation cluster, a fractal fiber, or a bunch of interwoven nanofibers formed in superfluid helium. It is shown that sensors on the basis of metal nanostructures are characterized by a higher sensitivity than semiconductor ones, but are not selective. Measurements using metal sensors involve two stages, one of which measures to high precision the attachment rate of active molecules to the sensor conductor, and in the other one the surface of metal nanostructures is cleaned from the attached molecules using a gas discharge plasma (in particular, capillary discharge) with a subsequent chromatography analysis for products of cleaning.
Energy Technology Data Exchange (ETDEWEB)
Takamura, S., E-mail: takamura@aitech.ac.jp [Faculty of Engineering, Aichi Institute of Technology, Yakusa-cho, Toyota 470-0392 (Japan); Uesugi, Y. [Faculty of Electrical and Computer Engineering, Institute of Science and Engineering, Kanazawa University, Kanazawa 920-1192 (Japan)
2015-11-30
Highlights: • Initial growth process of fiber-form nanostructure on metal surfaces under helium ion irradiation is given based on experimental knowledge, where the pitting of original surface and forming nano-walls and/or loop-like nanostructure works as precursors. • The physical mechanism of fiber growth is discussed in terms of shear modulus of metals influenced by helium content as well as surface temperature. • The physical model explains the reason why tantalum does not make sufficiently grown nano-fibers, and the temperature dependence of surface morphology of titanium. - Abstract: The initial stage of fiber-form nanostructure growth on metal surface with helium plasma irradiation is illustrated, taking recent research knowledge using a flux gradient technique, and including loop-like nano-scale structure as precursors. The growth mechanism of fibers is discussed in terms of the shear modulus of various materials that is influenced by the helium content as well as the surface temperature, and the mobility of helium atoms, clusters and/or nano-bubbles in the bulk, loops and fibers. This model may explain the reason why tantalum does not provide fiber-form nanostructure although the loop-like structure was identified. The model also suggests the mechanism of an existence of two kinds of nanostructure of titanium depending on surface temperature. Industrial applications of such nanostructures are suggested in the properties and the possibilities of its growth on other basic materials.
Metal nanostructures for non-enzymatic glucose sensing
International Nuclear Information System (INIS)
Tee, Si Yin; Teng, Choon Peng; Ye, Enyi
2017-01-01
This review covers the recent development of metal nanostructures in electrochemical non-enzymatic glucose sensing. It highlights a variety of nanostructured materials including noble metals, other transition metals, bimetallic systems, and their hybrid with carbon-based nanomaterials. Particularly, attention is devoted to numerous approaches that have been implemented for improving the sensors performance by tailoring size, shape, composition, effective surface area, adsorption capability and electron-transfer properties. The correlation of the metal nanostructures to the glucose sensing performance is addressed with respect to the linear concentration range, sensitivity and detection limit. In overall, this review provides important clues from the recent scientific achievements of glucose sensor nanomaterials which will be essentially useful in designing better and more effective electrocatalysts for future electrochemical sensing industry. - Highlights: • Overview of recent development of metal nanostructures in electrochemical non-enzymatic glucose sensing. • Special attention is focussed on noble metals, other transition metals, bimetallic systems, and their hybrid with carbon-based nanomaterials. • Merits and limitations of various metal nanostructures in electrochemical non-enzymatic glucose sensing. • Strategies to improve the glucose sensing performance of metal nanostructures as electrocatalysts.
Nanostructure formation on refractory metal surfaces irradiated by helium plasmas
International Nuclear Information System (INIS)
Takamura, Shuichi; Kajita, Shin; Ohno, Noriyasu
2013-01-01
Helium defects on plasma-facing refractory metals like tungsten have been studied in fusion sciences from the view point of the effects on metal surface properties, concentrating on the bubble formation. However, the surface morphology over the lower surface temperature range was found recently to be changed drastically, something like cotton down or arborescence, sometimes called as “fuzz”. The formation process, although still open problem, would be discussed in terms of viscoelastic model with the effect of surface tension, taking account of its thermal properties and nano-bubbles inside the thin fibers. Some physical surface characteristics like electron emission, radiation emissivity and sputtering are quite influenced by its forest-like structure. Unipolar arcing has been newly studied by using such a surface structure which makes its initiation controllable. In the present report, other examples of nanostructure formation in a variety of particle incident conditions have been introduced as well as the possibility of its industrial applications to enhance interdisciplinary interests. (author)
Nanostructured films of metal particles obtained by laser ablation
Energy Technology Data Exchange (ETDEWEB)
Muniz-Miranda, M., E-mail: muniz@unifi.it [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Gellini, C. [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Giorgetti, E.; Margheri, G.; Marsili, P. [Istituto Sistemi Complessi (CNR), Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Lascialfari, L.; Becucci, L. [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Trigari, S. [Istituto Sistemi Complessi (CNR), Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Giammanco, F. [Dipartimento di Fisica “E. Fermi”, Università di Pisa, Largo Pontecorvo 3, 56127 Pisa (Italy)
2013-09-30
Colloidal dispersions of silver and gold nanoparticles were obtained in pure water by ablation with nanosecond pulsed laser. Then, by filtration of the metal particles on alumina, we fabricated nanostructured films, whose surface morphology was examined by atomic force microscopy (AFM) and related to surface-enhanced Raman scattering (SERS) after adsorption of adenine. - Highlights: • Ag and Au colloidal nanoparticles were obtained by laser ablation. • Nanostructured Ag and Au films were fabricated by filtration of metal nanoparticles. • Surface morphology of metal films was investigated by atomic force microscopy. • Surface-enhanced Raman spectra (SERS) of adenine on metal films were obtained. • SERS enhancements were related to the surface roughness of the metal films.
International Nuclear Information System (INIS)
Karmenyan, A; Perevedentseva, E; Chiou, A; Cheng, C-L
2007-01-01
The laser-induced acceleration of nanoparticles using intense light irradiation was used for positioning and ordering of carbon nanomaterials to form periodical surface structures. Such systems are of interest for different nanotechnology applications. The nanodiamond with averaged size 100 nm, and fullerene (C 60 ) suspended in distilled water were accelerated using high focused laser beam and attached onto metal surface of silver and gold thin films evaporated on Si substrate. The laser was operating both in CW and femtosecond modes with the wavelength of ∼800 nm, pulse duration 150 fs, and average laser power of 300-600 mW. In case of pulse irradiation the repetition rate of 76 MHZ was applied. The nanoparticles were positioned on the metal surface in accordance with a predetermined program to allow patterning of the nanoparticles. The positioning was analyzed for different treatment conditions and compared to the calculated data. To investigate the obtained nanoparticles/metal structures, surface-enhanced Raman scattering (SERS) was used utilizing its high sensitivity on the local properties of the nanostructures. SERS allows the observing of carbon nanostructures with their characteristic peculiarities, such as blinking effect and selective enhancement. Here we try to explain the spectral and spatial peculiarities occurring during the laser acceleration process and the interaction of attached carbon nanostructures with metal surface
International Nuclear Information System (INIS)
Liu, Gui-qiang; Yu, Mei-dong; Liu, Zheng-qi; Liu, Xiao-shan; Huang, Shan; Pan, Ping-ping; Wang, Yan; Liu, Mu-lin; Gu, Gang
2015-01-01
One-process fabrication of highly active and reproducible surface-enhanced Raman scattering (SERS) substrates via ion beam deposition is reported. The fabricated metal–dielectric–metal (MDM) hierarchical nanostructure possesses rich nanogaps and a tunable resonant cavity. Raman scattering signals of analytes are dramatically strengthened due to the strong near-field coupling of localized surface plasmon resonances (LSPRs) and the strong interaction of LSPRs of metal NPs with surface plasmon polaritons (SPPs) on the underlying metal film by crossing over the dielectric spacer. The maximum Raman enhancement for the highest Raman peak at 1650 cm −1 is 13.5 times greater than that of a single metal nanoparticle (NP) array. Moreover, the SERS activity can be efficiently tailored by varying the size and number of voids between adjacent metal NPs and the thickness of the dielectric spacer. These findings may broaden the scope of SERS applications of MDM hierarchical nanostructures in biomedical and analytical chemistry. (paper)
Hao, Qingzhen
Metal/dielectric nanostructures have the ability to sustain coherent electron oscillations known as surface plasmons. Due to their capability of localizing and guiding light in sub-wavelength metal nanostructures beyond diffraction limits, surface plasmon-based photonics, or “plasmonics” has opened new physical phenomena and lead to novel applications in metamaterials, optoelectronics, surface enhanced spectroscopy and biological sensing. This dissertation centers on design, fabrication, characterization of metallic nanostructures and their applications in surface-enhanced Raman spectroscopy (SERS) and actively tunable plasmonics. Metal-dielectric nanostructures are the building blocks for photonic metamaterials. One valuable design guideline for metamaterials is the Babinet’s principle, which governs the optical properties of complementary nanostructures. However, most complementary metamaterials are designed for the far infrared region or beyond, where the optical absorption of metal is small. We have developed a novel dual fabrication method, capable of simultaneously producing optically thin complementary structures. From experimental measurements and theoretical simulations, we showed that Babinet’s principle qualitatively holds in the visible region for the optically thin complements. The complementary structure is also a good platform to study subtle differences between nanoparticles and nanoholes in SERS (a surface sensitive technique, which can enhance the conventional Raman cross-section by 106˜108 fold, thus very useful for highly sensitive biochemical sensing). Through experimental measurement and theoretical analysis, we showed that the SERS enhancement spectrum (plot of SERS enhancement versus excitation wavelengths), dominated by local near-field, for nanoholes closely follows their far-field optical transmission spectrum. However, the enhancement spectrum for nanoparticles red-shifts significantly from their far-field optical extinction
Electrodes synthesized from carbon nanostructures coated with a smooth and conformal metal adlayer
Adzic, Radoslav; Harris, Alexander
2014-04-15
High-surface-area carbon nanostructures coated with a smooth and conformal submonolayer-to-multilayer thin metal films and their method of manufacture are described. The preferred manufacturing process involves the initial oxidation of the carbon nanostructures followed by a surface preparation process involving immersion in a solution with the desired pH to create negative surface dipoles. The nanostructures are subsequently immersed in an alkaline solution containing a suitable quantity of non-noble metal ions which adsorb at surface reaction sites. The metal ions are then reduced via chemical or electrical means. The nanostructures are exposed to a solution containing a salt of one or more noble metals which replace adsorbed non-noble surface metal atoms by galvanic displacement. The process can be controlled and repeated to obtain a desired film coverage. The resulting coated nanostructures may be used, for example, as high-performance electrodes in supercapacitors, batteries, or other electric storage devices.
International Nuclear Information System (INIS)
Yang Tianxing; Ye Xiang; Huang Lei; Xie Yiqun; Ke Sanhuang
2012-01-01
Highlights: ► We simulate the reversible vertical single-atom manipulations on several metal surfaces. ► We propose a method to predict whether a reversible vertical single-atom manipulation can be successful on several metal surfaces. ► A 3-dimensional Ni nanocluster is assembled on the Ni(1 1 1) surface using a Ni trimer-apex tip. - Abstract: We propose a theoretical model to show that pulling up an adatom from an atomic step requires a weaker force than from the flat surfaces of Al(0 0 1), Ni(1 1 1), Pt(1 1 0) and Au(1 1 0). Single adatom in the atomic step can be extracted vertically by a trimer-apex tip while can be released to the flat surface. This reversible vertical manipulation can then be used to fabricate a supported three-dimensional (3D) nanostructure on the Ni(1 1 1) surface. The present modeling can be used to predict whether the reversible vertical single-atom manipulation and thus the assembling of 3D nanostructures can be achieved on a metal surface.
De Jesus Vega, Marisely
Devices containing micro and nanostructured surfaces are developing and constantly finding new applications, especially for medical diagnostics, point-of-care applications, and microneedles. They are also employed in the functionalization of surfaces for superhydrophobicity, drag reduction, or reversible adhesion by mimicking bio-inspired surfaces. This research provides a thorough investigation on the effects of different polymeric materials and processing conditions on the replication of micro and nanostructured surfaces via injection molding. In addition, this dissertation also presents a novel approach for the production of durable microstructured metal tooling to be used for the production of surfaces with microchannels via injection molding. Materials such as thermoplastic vulcanizates are substituting regular thermoplastic materials and vulcanized elastomers in many applications due to their outstanding properties and ease of processability. These material properties broaden the scope of applications for microstructured surfaces. However, there is a need for understanding how these materials behave in microinjection molding since thermoplastic elastomers' behavior during injection molding have been shown to differ from that of the widely understood behavior of thermoplastics. Replication of microstructured surfaces using thermoplastic vulcanizates (TPV) was studied in the first part of this thesis. TPVs with different hardness's were molded using microinjection molding with various processing conditions and the replication and surface details of 20 microm pillars (aspect ratio of 1:1) were characterized. In the second part of this research liquid silicone rubber (LSR) was studied as a material for the production of micro and nanostructured surfaces. LSR is a silicone based material such as polydimethylsiloxane (PDMS), which is widely used for research and development of micro and nanostructured devices, and thus provides all the benefits of PDMS but can be
Plasmonic nanostructures for surface-enhanced Raman spectroscopy
Jiang, Ruiqian
In the last three decades, a large number of different plasmonic nanostructures have attracted much attention due to their unique optical properties. Those plasmonic nanostructures include nanoparticles, nanoholes and metal nanovoids. They have been widely utilized in optical devices and sensors. When the plasmonic nanostructures interact with the electromagnetic wave and their surface plasmon frequency match with the light frequency, the electrons in plasmonic nanostructures will resonate with the same oscillation as incident light. In this case, the plasmonic nanostructures can absorb light and enhance the light scattering. Therefore, the plasmonic nanostructures can be used as substrate for surface-enhanced Raman spectroscopy to enhance the Raman signal. Using plasmonic nanostructures can significantly enhance Raman scattering of molecules with very low concentrations. In this thesis, two different plasmonic nanostructures Ag dendrites and Au/Ag core-shell nanoparticles are investigated. Simple methods were used to produce these two plasmonic nanostructures. Then, their applications in surface enhanced Raman scattering have been explored. Ag dendrites were produced by galvanic replacement reaction, which was conducted using Ag nitrate aqueous solution and copper metal. Metal copper layer was deposited at the bottom side of anodic aluminum oxide (AAO) membrane. Silver wires formed inside AAO channels connected Ag nitrate on the top of AAO membrane and copper layer at the bottom side of AAO. Silver dendrites were formed on the top side of AAO. The second plasmonic nanostructure is Au/Ag core-shell nanoparticles. They were fabricated by electroless plating (galvanic replacement) reaction in a silver plating solution. First, electrochemically evolved hydrogen bubbles were used as template through electroless deposition to produce hollow Au nanoparticles. Then, the Au nanoparticles were coated with Cu shells in a Cu plating solution. In the following step, a Ag
Nanostructured metal foams: synthesis and applications
Energy Technology Data Exchange (ETDEWEB)
Luther, Erik P [Los Alamos National Laboratory; Tappan, Bryce [Los Alamos National Laboratory; Mueller, Alex [Los Alamos National Laboratory; Mihaila, Bogdan [Los Alamos National Laboratory; Volz, Heather [Los Alamos National Laboratory; Cardenas, Andreas [Los Alamos National Laboratory; Papin, Pallas [Los Alamos National Laboratory; Veauthier, Jackie [Los Alamos National Laboratory; Stan, Marius [Los Alamos National Laboratory
2009-01-01
Fabrication of monolithic metallic nanoporous materials is difficult using conventional methodology. Here they report a relatively simple method of synthesizing monolithic, ultralow density, nanostructured metal foams utilizing self-propagating combustion synthesis of novel metal complexes containing high nitrogen energetic ligands. Nanostructured metal foams are formed in a post flame-front dynamic assembly with densities as low as 0.011 g/cc and surface areas as high as 270 m{sup 2}/g. They have produced metal foams via this method of titanium, iron, cobalt, nickel, zirconium, copper, palladium, silver, hafnium, platinum and gold. Microstructural features vary as a function of composition and process parameters. Applications for the metal foams are discussed including hydrogen absorption in palladium foams. A model for the sorption kinetics of hydrogen in the foams is presented.
Metal Surface Modification for Obtaining Nano- and Sub-Nanostructured Protective Layers
Ledovskykh, Volodymyr; Vyshnevska, Yuliya; Brazhnyk, Igor; Levchenko, Sergiy
2017-03-01
Regularities of the phase protective layer formation in multicomponent systems involving inhibitors with different mechanism of protective action have been investigated. It was shown that optimization of the composition of the inhibition mixture allows to obtain higher protective efficiency owing to improved microstructure of the phase layer. It was found that mechanism of the film formation in the presence of NaNO2-PHMG is due to deposition of slightly soluble PHMG-Fe complexes on the metal surface. On the basis of the proposed mechanism, the advanced surface engineering methods for obtaining nanoscaled and sub-nanostructured functional coatings may be developed.
Metal films with imprinted nanostructures by template stripping
DEFF Research Database (Denmark)
Eriksen, René Lynge; Pors, Anders; Dreier, Jes
We present a novel template stripping procedure for fabricating metal films with imprinted nanostructures. The basic idea is to deposit a gold film onto a nano-structured substrate and subsequently strip the film from the substrate surface thereby revealing imprinted nanostructures in the film...... result is a thin gold film with imprinted nano-cavities....
Buchkremer, S.; Klocke, F.
2017-01-01
Performance and operational safety of many metal parts in engineering depend on their surface integrity. During metal cutting, large thermomechanical loads and high gradients of the loads concerning time and location act on the surfaces and may yield significant structural material modifications, which alter the surface integrity. In this work, the derivation and validation of a model of nanostructural surface modifications in metal cutting are presented. For the first time in process modeling, initiation and kinetics of these modifications are predicted using a thermodynamic potential, which considers the interdependent developments of plastic work, dissipation, heat conduction and interface energy as well as the associated productions and flows of entropy. The potential is expressed based on the free Helmholtz energy. The irreversible thermodynamic state changes in the workpiece surface are homogenized over the volume in order to bridge the gap between discrete phenomena involved with the initiation and kinetics of dynamic recrystallization and its macroscopic implications for surface integrity. The formulation of the thermodynamic potential is implemented into a finite element model of orthogonal cutting of steel AISI 4140. Close agreement is achieved between predicted nanostructures and those obtained in transmission electron microscopical investigations of specimen produced in cutting experiments.
Engineering Metal Nanostructure for SERS Application
Directory of Open Access Journals (Sweden)
Yanqin Cao
2013-01-01
Full Text Available Surface-enhanced Raman scattering (SERS has attracted great attention due to its remarkable enhancement and excellent selectivity in the detection of various molecules. Noble metal nanomaterials have usually been employed for producing substrates that can be used in SERS because of their unique local plasma resonance. As the SERS enhancement of signals depends on parameters such as size, shape, morphology, arrangement, and dielectric environment of the nanostructure, there have been a number of studies on tunable nanofabrication and synthesis of noble metals. In this work, we will illustrate progress in engineering metallic nanostructures with various morphologies using versatile methods. We also discuss their SERS applications in different fields and the challenges.
Synthesis of vertically aligned metal oxide nanostructures
Roqan, Iman S.
2016-03-03
Metal oxide nanostructure and methods of making metal oxide nanostructures are provided. The metal oxide nanostructures can be 1 -dimensional nanostructures such as nanowires, nanofibers, or nanotubes. The metal oxide nanostructures can be doped or undoped metal oxides. The metal oxide nanostructures can be deposited onto a variety of substrates. The deposition can be performed without high pressures and without the need for seed catalysts on the substrate. The deposition can be performed by laser ablation of a target including a metal oxide and, optionally, a dopant. In some embodiments zinc oxide nanostructures are deposited onto a substrate by pulsed laser deposition of a zinc oxide target using an excimer laser emitting UV radiation. The zinc oxide nanostructure can be doped with a rare earth metal such as gadolinium. The metal oxide nanostructures can be used in many devices including light-emitting diodes and solar cells.
International Nuclear Information System (INIS)
Tian Cui-Feng; You Hong-Jun; Fang Ji-Xiang
2014-01-01
Surface-enhanced Raman spectroscopy (SERS) is a powerful vibrational spectroscopy technique for highly sensitive structural detection of low concentration analyte. The SERS activities largely depend on the topography of the substrate. In this review, we summarize the recent progress in SERS substrate, especially focusing on the three-dimensional (3D) noble-metal substrate with hierarchical nanostructure. Firstly, we introduce the background and general mechanism of 3D hierarchical SERS nanostructures. Then, a systematic overview on the fabrication, growth mechanism, and SERS property of various noble-metal substrates with 3D hierarchical nanostructures is presented. Finally, the applications of 3D hierarchical nanostructures as SERS substrates in many fields are discussed. (invited review — international conference on nanoscience and technology, china 2013)
Zhang, Si; Liang, Yuzhang; Jing, Qiang; Lu, Zhenda; Lu, Yanqing; Xu, Ting
2017-11-07
Metal halide perovskite nanocrystals (NCs) as a new kind of promising optoelectronic material have attracted wide attention due to their high photoluminescence (PL) quantum yield, narrow emission linewidth and wideband color tunability. Since the PL intensity always has a direct influence on the performance of optoelectronic devices, it is of vital importance to improve the perovskite NCs' fluorescence emission efficiency. Here, we synthesize three inorganic perovskite NCs and experimentally demonstrate a broadband fluorescence enhancement of perovskite NCs by exploiting plasmonic nanostructured surface consisting of nanogrooves array. The strong near-field optical localization associated with surface plasmon polariton-coupled emission effect generated by the nanogrooves array can significantly boost the absorption of perovskite NCs and tailor the fluorescence emissions. As a result, the PL intensities of perovskite NCs are broadband enhanced with a maximum factor higher than 8-fold achieved in experimental demonstration. Moreover, the high efficiency PL of perovskite NCs embedded in the polymer matrix layer on the top of plasmonic nanostructured surface can be maintained for more than three weeks. These results imply that plasmonic nanostructured surface is a good candidate to stably broadband enhance the PL intensity of perovskite NCs and further promote their potentials in the application of visible-light-emitting devices.
Engineered Metallic Nanostructures: Fabrication, Characterization, and Applications
Bohloul, Arash
Metallic nanostructures have garnered a great deal of attention due to their fascinating optical properties, which differ from the bulk metal. They have been proven to exceed expectations in wide variety of applications including chemical and biological sensing. Nevertheless, high-throughput and low cost nanofabrication techniques are required to implant metallic nanostructures in widespread applications. With that vision, this thesis presents a versatile and reliable method for scalable fabrication of gold nanostructures. In this approach, a plasma-treated ordered array of polystyrene nanospheres acts as an initial mask. The key step in this process is the vapor-deposition of nickel as a sacrificial mask. Thereby, gold nanostructures are directly formed on the substrate through the nickel mask. This is an easy, powerful, and straightforward method that offers several degrees of freedom to precisely control the shape and size of nanostructures. We made a library of nanostructures including gold nanocrescents, double crescents, nanorings, and nanodisks with the ability to tune the size in the range of 150 to 650 nm. The fabricated nanostructures are highly packed and uniformly cover the centimeter scale substrate. The optical properties of metallic nanostructures were extensively studied by a combination of UV-Vis-NIR and Fourier transform infrared (FTIR) spectroscopies, and correlation between optical response and geometrical parameters were investigated. In the next part of this thesis, highly sensitive surface enhanced infrared absorption (SEIRA) analysis was demonstrated on gold nanocrescent arrays. Theoretical modeling was confirmed that these substrates provide highly dense and strong hot-spots over the substrate, which is required for surface enhanced spectroscopic studies. Gold nanocrescent arrays exhibit highly tunable plasmon resonance to cover desired molecular vibrational bands. These substrates experimentally illustrated 3 orders of magnitude
Analysis of periodically patterned metallic nanostructures for infrared absorber
Peng, Sha; Yuan, Ying; Long, Huabao; Liu, Runhan; Wei, Dong; Zhang, Xinyu; Wang, Haiwei; Xie, Changsheng
2018-02-01
With rapid advancement of infrared detecting technology in both military and civil domains, the photo-electronic performances of near-infrared detectors have been widely concerned. Currently, near-infrared detectors demonstrate some problems such as low sensitivity, low detectivity, and relatively small array scale. The current studies show that surface plasmons (SPs) stimulated over the surface of metallic nanostructures by incident light can be used to break the diffraction limit and thus concentrate light into sub-wavelength scale, so as to indicate a method to develop a new type of infrared absorber or detector with very large array. In this paper, we present the design and characterization of periodically patterned metallic nanostructures that combine nanometer thickness aluminum film with silicon wafer. Numerical computations show that there are some valleys caused by surface plasmons in the reflection spectrum in the infrared region, and both red shift and blue shift of the reflection spectrum were observed through changing the nanostructural parameters such as angle α and diameters D. Moreover, the strong E-field intensity is located at the sharp corner of the nano-structures.
Vicinal surfaces for functional nanostructures.
Tegenkamp, Christoph
2009-01-07
Vicinal surfaces are currently the focus of research. The regular arrangements of atomic steps on a mesoscopic scale reveal the possibility to functionalize these surfaces for technical applications, e.g. nanowires, catalysts, etc. The steps of the vicinal surface are well-defined defect structures of atomic size for nucleation of low-dimensional nanostructures. The concentration and therefore the coupling between the nanostructures can be tuned over a wide range by simply changing the inclination angle of the substrate. However, the coupling of these nano-objects to the substrate is just as important in controlling their electronic or chemical properties and making a functionality useable. On the basis of stepped insulating films, these aspects are fulfilled and will be considered in the first part of this review. Recent results for the epitaxial growth of wide bandgap insulating films (CaF(2), MgO, NaCl, BaSrO) on metallic and semiconducting vicinal substrates (Si(100), Ge(100), Ag(100)) will be presented. The change of the electronic structure, the adsorption behavior as well as the kinetics and energetics of color centers in the presence of steps is discussed. The successful bridging of the gap between the atomic and mesoscopic world, i.e. the functionalization of vicinal surfaces by nanostructures, is demonstrated in the second part by metal adsorption on semiconducting surfaces. For (sub)monolayer coverage these systems have in common that the surface states do not hybridize with the support, i.e. the semiconducting surfaces are insulating. Here I will focus on the latest results of macroscopic transport measurements on Pb quantum wires grown on vicinal Si(111) showing indeed a one-dimensional transport behavior.
Vicinal surfaces for functional nanostructures
Energy Technology Data Exchange (ETDEWEB)
Tegenkamp, Christoph [Institut fuer Festkoerperphysik, Gottfried Wilhelm Leibniz Universitaet Hannover, Appelstrasse 2, D-30167 Hannover (Germany)], E-mail: tegenkamp@fkp.uni-hannover.de
2009-01-07
Vicinal surfaces are currently the focus of research. The regular arrangements of atomic steps on a mesoscopic scale reveal the possibility to functionalize these surfaces for technical applications, e.g. nanowires, catalysts, etc. The steps of the vicinal surface are well-defined defect structures of atomic size for nucleation of low-dimensional nanostructures. The concentration and therefore the coupling between the nanostructures can be tuned over a wide range by simply changing the inclination angle of the substrate. However, the coupling of these nano-objects to the substrate is just as important in controlling their electronic or chemical properties and making a functionality useable. On the basis of stepped insulating films, these aspects are fulfilled and will be considered in the first part of this review. Recent results for the epitaxial growth of wide bandgap insulating films (CaF{sub 2}, MgO, NaCl, BaSrO) on metallic and semiconducting vicinal substrates (Si(100), Ge(100), Ag(100)) will be presented. The change of the electronic structure, the adsorption behavior as well as the kinetics and energetics of color centers in the presence of steps is discussed. The successful bridging of the gap between the atomic and mesoscopic world, i.e. the functionalization of vicinal surfaces by nanostructures, is demonstrated in the second part by metal adsorption on semiconducting surfaces. For (sub)monolayer coverage these systems have in common that the surface states do not hybridize with the support, i.e. the semiconducting surfaces are insulating. Here I will focus on the latest results of macroscopic transport measurements on Pb quantum wires grown on vicinal Si(111) showing indeed a one-dimensional transport behavior. (topical review)
Vicinal surfaces for functional nanostructures
International Nuclear Information System (INIS)
Tegenkamp, Christoph
2009-01-01
Vicinal surfaces are currently the focus of research. The regular arrangements of atomic steps on a mesoscopic scale reveal the possibility to functionalize these surfaces for technical applications, e.g. nanowires, catalysts, etc. The steps of the vicinal surface are well-defined defect structures of atomic size for nucleation of low-dimensional nanostructures. The concentration and therefore the coupling between the nanostructures can be tuned over a wide range by simply changing the inclination angle of the substrate. However, the coupling of these nano-objects to the substrate is just as important in controlling their electronic or chemical properties and making a functionality useable. On the basis of stepped insulating films, these aspects are fulfilled and will be considered in the first part of this review. Recent results for the epitaxial growth of wide bandgap insulating films (CaF 2 , MgO, NaCl, BaSrO) on metallic and semiconducting vicinal substrates (Si(100), Ge(100), Ag(100)) will be presented. The change of the electronic structure, the adsorption behavior as well as the kinetics and energetics of color centers in the presence of steps is discussed. The successful bridging of the gap between the atomic and mesoscopic world, i.e. the functionalization of vicinal surfaces by nanostructures, is demonstrated in the second part by metal adsorption on semiconducting surfaces. For (sub)monolayer coverage these systems have in common that the surface states do not hybridize with the support, i.e. the semiconducting surfaces are insulating. Here I will focus on the latest results of macroscopic transport measurements on Pb quantum wires grown on vicinal Si(111) showing indeed a one-dimensional transport behavior. (topical review)
Bhattacharya, Kakoli; Parasar, Devaborniny; Mondal, Bholanath; Deb, Pritam
2015-01-01
Porous magnetic secondary nanostructures exhibit high surface area because of the presence of plentiful interparticle spaces or pores. Mesoporous Fe3O4 secondary nanostructures (MFSNs) have been studied here as versatile adsorbent for heavy metal scavenging. The porosity combined with magnetic functionality of the secondary nanostructures has facilitated efficient heavy metal (As, Cu and Cd) remediation from water solution within a short period of contact time. It is because of the larger surface area of MFSNs due to the porous network in addition to primary nanostructures which provides abundant adsorption sites facilitating high adsorption of the heavy metal ions. The brilliance of adsorption property of MFSNs has been realized through comprehensive adsorption studies and detailed kinetics. Due to their larger dimension, MFSNs help in overcoming the Brownian motion which facilitates easy separation of the metal ion sorbed secondary nanostructures and also do not get drained out during filtration, thus providing pure water. PMID:26602613
PREFACE: Nanostructured surfaces
Palmer, Richard E.
2003-10-01
devices, respectively, while the papers by Ledieu and Guo report the structural characterization of novel surface systems—quasicrystal surfaces and supramolecular monolayers, respectively. The final two papers, by Bennett and Smith, demonstrate the positive interplay between experimental measurements and theoretical modelling in the investigation of nanostructured surfaces. The examples discussed include, respectively, the growth of metal clusters on oxide surfaces and the deposition of fullerenes and energetic clusters from the gas phase. We note finally that the last six papers in this special issue have been contributed by members of the Committee of the newly-formed Nanoscale Physics and Technology Group of the Institute of Physics. The Group shares with this special issue the aim of promoting and disseminating exciting advances in the flourishing field of nanoscale physics.
Reduction of Friction of Metals Using Laser-Induced Periodic Surface Nanostructures
Directory of Open Access Journals (Sweden)
Zhuo Wang
2015-10-01
Full Text Available We report on the effect of femtosecond-laser-induced periodic surface structures (LIPSS on the tribological properties of stainless steel. Uniform periodic nanostructures were produced on AISI 304L (American Iron and Steel Institute steel grade steel surfaces using an 800-nm femtosecond laser. The spatial periods of LIPSS measured by field emission scanning electron microscopy ranged from 530 to 570 nm. The tribological properties of smooth and textured surfaces with periodic nanostructures were investigated using reciprocating ball-on-flat tests against AISI 440C balls under both dry and starved oil lubricated conditions. The friction coefficient of LIPSS covered surfaces has shown a lower value than that of the smooth surface. The induced periodic nanostructures demonstrated marked potential for reducing the friction coefficient compared with the smooth surface.
Energy Technology Data Exchange (ETDEWEB)
Smausz, Tomi, E-mail: tomi@physx.u-szeged.hu [MTA-SZTE Research Group on Photoacoustic Spectroscopy, University of Szeged, 6720 Szeged, Dóm tér 9 (Hungary); Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Csizmadia, Tamás [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Attosecond Light Pulse Source, ELI-Hu Nkft, H-6720 Szeged, Dugonics ter 13 (Hungary); Tápai, Csaba; Kopniczky, Judit [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Oszkó, Albert [Department of Physical Chemistry and Material Science, University of Szeged, H-6720 Szeged, Aradi vértanuk tere 1 (Hungary); Ehrhardt, Martin; Lorenz, Pierre; Zimmer, Klaus; Prager, Andrea [Leibniz-Institut für Oberflächenmodifizierung e.V., Permoserstr. 15, 04318 Leipzig (Germany); Hopp, Béla [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)
2016-12-15
Highlights: • Metal surfaces were irradiated with femtosecond laser in different gas environments. • The reflectivity, morphology and chemical composition of the surfaces were studied. • Darkening was influenced by chemical and physical interaction of the plume and gas. • Molecular mass of the applied gas had an impact on the nanostructure formation. • For some of the used metals the oxide formation affected the reflective properties. - Abstract: Nanostructure formation on bulk metals (silver, gold, copper and titanium) by femtosecond Ti-sapphire laser irradiation (775 nm, 150 fs) is studied aiming the production of low-reflectivity surfaces and the better understanding of the development process. The experiments were performed in nitrogen, air, oxygen and helium environments at atmospheric pressure. The samples were irradiated with fluences in the 0.1–2 J/cm{sup 2} range and an average pulse number of 100 falling over a given area. The reflectivity of the treated surfaces was determined by a microspectrometer in the 450–800 nm range and their morphology was studied by scanning electron microscopy. The gas ambience influenced the results via two effects: formation processes and the chemically-induced modifications of the nanostructures. In case of He the nanoparticle aggregates–otherwise generally present–are predominantly missing, which leads to a lower darkening efficiency. The presence of oxygen enhances the darkening effect for copper mostly at lower fluences, while causes a slow increase in reflectivity in the case of titanium (in case of pure oxygen) in the high fluence range. The surface morphology in case of nitrogen and air were quite similar probably due to their close molecular mass values.
Multiple layered metallic nanostructures for strong surface-enhanced Raman spectroscopy enhancement
International Nuclear Information System (INIS)
Xia, Ming; Xie, Ya-Hong; Qiao Kuan; Cheng Zhiyuan
2016-01-01
We report a systematic study on a practical way of patterning metallic nanostructures to achieve high surface-enhanced Raman spectroscopy (SERS) enhancement factors (EFs) and high hot-spot density. By simply superimposing a 1-layer Au nanotriangle array on another to form a multilayer nanotriangle array, the SERS signal can be enhanced by 2 orders of magnitude compared with a 1-layer nanotriangle array. The drastic increases in the SERS EF and the hot spot density of the multilayer Au nanotriangle array are due to the increase in the number of gaps formed between Au nanotriangles and the decrease of the gap width. (author)
Optical response of nanostructured metal/dielectric composites and multilayers
Smith, Geoffrey B.; Maaroof, Abbas I.; Allan, Rodney S.; Schelm, Stefan; Anstis, Geoffrey R.; Cortie, Michael B.
2004-08-01
The homogeneous optical response in conducting nanostructured layers, and in insulating layers containing dense arrays of self assembled conducting nanoparticles separated by organic linkers, is examined experimentally through their effective complex indices (n*, k*). Classical effective medium models, modified to account for the 3-phase nanostructure, are shown to explain (n*, k*) in dense particulate systems but not inhomogeneous layers with macroscopic conductance for which a different approach to homogenisation is discussed. (n*, k*) data on thin granular metal films, thin mesoporous gold, and on thin metal layers containing ordered arrays of voids, is linked to properties of the surface plasmon states which span the nanostructured film. Coupling between evanescent waves at either surface counterbalanced by electron scattering losses must be considered. Virtual bound states for resonant photons result, with the associated transit delay leading to a large rise in n* in many nanostructures. Overcoating n-Ag with alumina is shown to alter (n*, k*) through its impact on the SP coupling. In contrast to classical optical homogenisation, effective indices depend on film thickness. Supporting high resolution SEM images are presented.
Reduction of Friction of Metals Using Laser-Induced Periodic Surface Nanostructures
Zhuo Wang; Quanzhong Zhao; Chengwei Wang
2015-01-01
We report on the effect of femtosecond-laser-induced periodic surface structures (LIPSS) on the tribological properties of stainless steel. Uniform periodic nanostructures were produced on AISI 304L (American Iron and Steel Institute steel grade) steel surfaces using an 800-nm femtosecond laser. The spatial periods of LIPSS measured by field emission scanning electron microscopy ranged from 530 to 570 nm. The tribological properties of smooth and textured surfaces with periodic nanostructures...
Nanostructured Metal Oxides for Stoichiometric Degradation of Chemical Warfare Agents.
Štengl, Václav; Henych, Jiří; Janoš, Pavel; Skoumal, Miroslav
2016-01-01
Metal oxides have very important applications in many areas of chemistry, physics and materials science; their properties are dependent on the method of preparation, the morphology and texture. Nanostructured metal oxides can exhibit unique characteristics unlike those of the bulk form depending on their morphology, with a high density of edges, corners and defect surfaces. In recent years, methods have been developed for the preparation of metal oxide powders with tunable control of the primary particle size as well as of a secondary particle size: the size of agglomerates of crystallites. One of the many ways to take advantage of unique properties of nanostructured oxide materials is stoichiometric degradation of chemical warfare agents (CWAs) and volatile organic compounds (VOC) pollutants on their surfaces.
Metallic Nanostructures Based on DNA Nanoshapes
Directory of Open Access Journals (Sweden)
Boxuan Shen
2016-08-01
Full Text Available Metallic nanostructures have inspired extensive research over several decades, particularly within the field of nanoelectronics and increasingly in plasmonics. Due to the limitations of conventional lithography methods, the development of bottom-up fabricated metallic nanostructures has become more and more in demand. The remarkable development of DNA-based nanostructures has provided many successful methods and realizations for these needs, such as chemical DNA metallization via seeding or ionization, as well as DNA-guided lithography and casting of metallic nanoparticles by DNA molds. These methods offer high resolution, versatility and throughput and could enable the fabrication of arbitrarily-shaped structures with a 10-nm feature size, thus bringing novel applications into view. In this review, we cover the evolution of DNA-based metallic nanostructures, starting from the metallized double-stranded DNA for electronics and progress to sophisticated plasmonic structures based on DNA origami objects.
Hollow metal nanostructures for enhanced plasmonics (Conference Presentation)
Genç, Aziz; Patarroyo, Javier; Sancho-Parramon, Jordi; Duchamp, Martial; Gonzalez, Edgar; Bastus, Neus G.; Houben, Lothar; Dunin-Borkowski, Rafal; Puntes, Victor F.; Arbiol, Jordi
2016-03-01
Complex metal nanoparticles offer a great playground for plasmonic nanoengineering, where it is possible to cover plasmon resonances from ultraviolet to near infrared by modifying the morphologies from solid nanocubes to nanoframes, multiwalled hollow nanoboxes or even nanotubes with hybrid (alternating solid and hollow) structures. We experimentally show that structural modifications, i.e. void size and final morphology, are the dominant determinants for the final plasmonic properties, while compositional variations allow us to get a fine tuning. EELS mappings of localized surface plasmon resonances (LSPRs) reveal an enhanced plasmon field inside the voids of hollow AuAg nanostructures along with a more homogeneous distributions of the plasmon fields around the nanostructures. With the present methodology and the appropriate samples we are able to compare the effects of hybridization at the nanoscale in hollow nanostructures. Boundary element method (BEM) simulations also reveal the effects of structural nanoengineering on plasmonic properties of hollow metal nanostructures. Possibility of tuning the LSPR properties of hollow metal nanostructures in a wide range of energy by modifying the void size/shell thickness is shown by BEM simulations, which reveals that void size is the dominant factor for tuning the LSPRs. As a proof of concept for enhanced plasmonic properties, we show effective label free sensing of bovine serum albumin (BSA) with some of our hollow nanostructures. In addition, the different plasmonic modes observed have also been studied and mapped in 3D.
Energy Technology Data Exchange (ETDEWEB)
Lindquist, N.C.; Johnson, T.W.; Jose, J.; Otto, L.M. [Laboratory of Nanostructures and Biosensing, Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455 (United States); Oh, S.H. [Laboratory of Nanostructures and Biosensing, Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455 (United States); Department of Biophysics and Chemical Biology, Seoul National University, Seoul, 151-747 (Korea, Republic of)
2012-11-15
A new plasmonic device architecture based on ultrasmooth metallic surfaces with buried plasmonic nanostructures is presented. Using template-stripping techniques, ultrathin gold films with less than 5 Aa surface roughness are optically coupled to an arbitrary arrangement of buried metallic gratings, rings, and nanodots. As a prototypical example, linear plasmonic gratings buried under an ultrasmooth 20 nm thick gold surface for biosensing are presented. The optical illumination and collection are completely decoupled from the microfluidic delivery of liquid samples due to the backside, reflection-mode geometry. This allows for sensing with opaque or highly scattering liquids. With the buried nanostructure design, high sensitivity and decoupled backside (reflective) optical access are maintained, as with traditional prism-based surface plasmon resonance (SPR) sensors. In addition, the benefits offered by nanoplasmonic sensors such as spectral tunability and high-resolution, wide-field SPR imaging with normal-incidence epi-illumination that is simple to construct and align are gained as well. Beyond sensing, the buried plasmonic nanostructures with ultrasmooth metallic surfaces can benefit nanophotonic waveguides, surface-enhanced spectroscopy, nanolithography, and optical trapping. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Theory of hyperbolic stratified nanostructures for surface-enhanced Raman scattering
Wong, Herman M. K.; Dezfouli, Mohsen Kamandar; Axelrod, Simon; Hughes, Stephen; Helmy, Amr S.
2017-11-01
We theoretically investigate the enhancement of surface enhanced Raman spectroscopy (SERS) using hyperbolic stratified nanostructures and compare to metal nanoresonators. The photon Green function of each nanostructure within its environment is first obtained from a semianalytical modal theory, which is used in a quantum optics formalism of the molecule-nanostructure interaction to model the SERS spectrum. An intuitive methodology is presented for calculating the single-molecule enhancement factor (SMEF), which is also able to predict known experimental SERS enhancement factors of a gold nanodimer. We elucidate the important figures-of-merit of the enhancement and explore these for different designs. We find that the use of hyperbolic stratified materials can enhance the photonic local density of states (LDOS) by close to two times in comparison to pure metal nanostructures, when both designed to work at the same operating wavelengths. However, the increased LDOS is accompanied by higher electric field concentration within the lossy hyperbolic material, which leads to increased quenching that serves to reduce the overall detected SERS enhancement in the far field. For nanoresonators with resonant localized surface plasmon wavelengths in the near-infrared, the SMEF for the hyperbolic stratified nanostructure is approximately one order of magnitude lower than the pure metal counterpart. Conversely, we show that by detecting the Raman signal using a near-field probe, hyperbolic materials can provide an improvement in SERS enhancement compared to using pure metal nanostructures when the probe is sufficiently close (<50 nm ) to the Raman active molecule at the plasmonic hotspot.
Nanostructured metal sulfides for energy storage
Rui, Xianhong; Tan, Huiteng; Yan, Qingyu
2014-08-01
Advanced electrodes with a high energy density at high power are urgently needed for high-performance energy storage devices, including lithium-ion batteries (LIBs) and supercapacitors (SCs), to fulfil the requirements of future electrochemical power sources for applications such as in hybrid electric/plug-in-hybrid (HEV/PHEV) vehicles. Metal sulfides with unique physical and chemical properties, as well as high specific capacity/capacitance, which are typically multiple times higher than that of the carbon/graphite-based materials, are currently studied as promising electrode materials. However, the implementation of these sulfide electrodes in practical applications is hindered by their inferior rate performance and cycling stability. Nanostructures offering the advantages of high surface-to-volume ratios, favourable transport properties, and high freedom for the volume change upon ion insertion/extraction and other reactions, present an opportunity to build next-generation LIBs and SCs. Thus, the development of novel concepts in material research to achieve new nanostructures paves the way for improved electrochemical performance. Herein, we summarize recent advances in nanostructured metal sulfides, such as iron sulfides, copper sulfides, cobalt sulfides, nickel sulfides, manganese sulfides, molybdenum sulfides, tin sulfides, with zero-, one-, two-, and three-dimensional morphologies for LIB and SC applications. In addition, the recently emerged concept of incorporating conductive matrices, especially graphene, with metal sulfide nanomaterials will also be highlighted. Finally, some remarks are made on the challenges and perspectives for the future development of metal sulfide-based LIB and SC devices.
Functionality of novel black silicon based nanostructured surfaces studied by TOF SIMS
DEFF Research Database (Denmark)
Talian, Ivan; Aranyosiova, M.; Orinak, A.
2010-01-01
A functionality of the novel black silicon based nanostructured surfaces (BS 2) with different metal surface modifications was tested by time-of-flight secondary ion mass spectrometry (TOF SIMS). Mainly two surface functions were studied: analytical signal enhancement and analyte pre-ionization e......A functionality of the novel black silicon based nanostructured surfaces (BS 2) with different metal surface modifications was tested by time-of-flight secondary ion mass spectrometry (TOF SIMS). Mainly two surface functions were studied: analytical signal enhancement and analyte pre......-ionization effect in SIMS due to nanostructure type and the assistance of the noble metal surface coating (Ag or Au) for secondary ion formation. As a testing analyte a Rhodamine 6G was applied. Bi+ has been used as SIMS primary ions. It was found out that SIMS signal enhancement of the analyte significantly...... depends on Ag layer thickness and measured ion mode (negative, positive). The best SIMS signal enhancement was obtained at BS2 surface coated with 400 nm of Ag layer. SIMS fragmentation schemes were developed for a model analyte deposited onto a silver and gold surface. Significant differences in pre...
METALLIC AND HYBRID NANOSTRUCTURES: FUNDAMENTALS AND APPLICATIONS
Energy Technology Data Exchange (ETDEWEB)
Murph, S.
2012-05-02
This book chapter presents an overview of research conducted in our laboratory on preparation, optical and physico-chemical properties of metallic and nanohybrid materials. Metallic nanoparticles, particularly gold, silver, platinum or a combination of those are the main focus of this review manuscript. These metallic nanoparticles were further functionalized and used as templates for creation of complex and ordered nanomaterials with tailored and tunable structural, optical, catalytic and surface properties. Controlling the surface chemistry on/off metallic nanoparticles allows production of advanced nanoarchitectures. This includes coupled or encapsulated core-shell geometries, nano-peapods, solid or hollow, monometallic/bimetallic, hybrid nanoparticles. Rational assemblies of these nanostructures into one-, two- and tridimensional nano-architectures is described and analyzed. Their sensing, environmental and energy related applications are reviewed.
Formation of Nanostructures on the Nickel Metal Surface in Ionic Liquid under Anodizing
Lebedeva, O. K.; Root, N. V.; Kultin, D. Yu.; Kalmykov, K. B.; Kustov, L. M.
2018-05-01
The formation of nanostructures in 1-butyl-3-methylimidazolium bis(trifluoromethylsulfonyl) imide on the surface of a nickel electrode during anodizing was studied. Hexagonal ordered surface nanostructures were found to form in a narrow range of current densities. The form of the potential transients of the nickel electrode corresponded to the morphology of the nickel surface obtained which was studied by electron microscopy. No other types of nanostructures were found under the electrosynthesis conditions under study.
Modelling nanostructures with vicinal surfaces
International Nuclear Information System (INIS)
Mugarza, A; Schiller, F; Kuntze, J; Cordon, J; Ruiz-Oses, M; Ortega, J E
2006-01-01
Vicinal surfaces of the (111) plane of noble metals are characterized by free-electron-like surface states that scatter at one-dimensional step edges, making them ideal model systems to test the electronic properties of periodic lateral nanostructures. Here we use high-resolution, angle-resolved photoemission to analyse the evolution of the surface state on a variety of vicinal surface structures where both the step potential barrier and the superlattice periodicity can vary. A transition in the electron dimensionality is found as we vary the terrace size in single-phase step arrays. In double-phase, periodic faceted surfaces, we observe surface states that characterize each of the phases
Lennon, David; Winfield, John M
2017-01-28
Aspects of the chemistry of selected metal fluorides, which are pertinent to their real or potential use as Lewis acidic, heterogeneous catalysts, are reviewed. Particular attention is paid to β-aluminum trifluoride, aluminum chlorofluoride and aluminas γ and η, whose surfaces become partially fluorinated or chlorinated, through pre-treatment with halogenating reagents or during a catalytic reaction. In these cases, direct comparisons with nanostructured metal fluorides are possible. In the second part of the review, attention is directed to iron(III) and copper(II) metal chlorides, whose Lewis acidity and potential redox function have had important catalytic implications in large-scale chlorohydrocarbons chemistry. Recent work, which highlights the complexity of reactions that can occur in the presence of supported copper(II) chloride as an oxychlorination catalyst, is featured. Although direct comparisons with nanostructured fluorides are not currently possible, the work could be relevant to possible future catalytic developments in nanostructured materials.
Commercial Implementation of Model-Based Manufacturing of Nanostructured Metals
Energy Technology Data Exchange (ETDEWEB)
Lowe, Terry C. [Los Alamos National Laboratory
2012-07-24
Computational modeling is an essential tool for commercial production of nanostructured metals. Strength is limited by imperfections at the high strength levels that are achievable in nanostructured metals. Processing to achieve homogeneity at the micro- and nano-scales is critical. Manufacturing of nanostructured metals is intrinsically a multi-scale problem. Manufacturing of nanostructured metal products requires computer control, monitoring and modeling. Large scale manufacturing of bulk nanostructured metals by Severe Plastic Deformation is a multi-scale problem. Computational modeling at all scales is essential. Multiple scales of modeling must be integrated to predict and control nanostructural, microstructural, macrostructural product characteristics and production processes.
TOPICAL REVIEW: Vicinal surfaces for functional nanostructures
Tegenkamp, Christoph
2009-01-01
Vicinal surfaces are currently the focus of research. The regular arrangements of atomic steps on a mesoscopic scale reveal the possibility to functionalize these surfaces for technical applications, e.g. nanowires, catalysts, etc. The steps of the vicinal surface are well-defined defect structures of atomic size for nucleation of low-dimensional nanostructures. The concentration and therefore the coupling between the nanostructures can be tuned over a wide range by simply changing the inclination angle of the substrate. However, the coupling of these nano-objects to the substrate is just as important in controlling their electronic or chemical properties and making a functionality useable. On the basis of stepped insulating films, these aspects are fulfilled and will be considered in the first part of this review. Recent results for the epitaxial growth of wide bandgap insulating films (CaF2, MgO, NaCl, BaSrO) on metallic and semiconducting vicinal substrates (Si(100), Ge(100), Ag(100)) will be presented. The change of the electronic structure, the adsorption behavior as well as the kinetics and energetics of color centers in the presence of steps is discussed. The successful bridging of the gap between the atomic and mesoscopic world, i.e. the functionalization of vicinal surfaces by nanostructures, is demonstrated in the second part by metal adsorption on semiconducting surfaces. For (sub)monolayer coverage these systems have in common that the surface states do not hybridize with the support, i.e. the semiconducting surfaces are insulating. Here I will focus on the latest results of macroscopic transport measurements on Pb quantum wires grown on vicinal Si(111) showing indeed a one-dimensional transport behavior.
Metal chalcogenide nanostructures for renewable energy applications
Qurashi, Ahsanulhaq
2014-01-01
This first ever reference book that focuses on metal chalcogenide semiconductor nanostructures for renewable energy applications encapsulates the state-of-the-art in multidisciplinary research on the metal chalcogenide semiconductor nanostructures (nanocrystals, nanoparticles, nanorods, nanowires, nanobelts, nanoflowers, nanoribbons and more). The properties and synthesis of a class of nanomaterials is essential to renewable energy manufacturing and this book focuses on the synthesis of metal chalcogendie nanostructures, their growth mechanism, optical, electrical, and other important prop
Hughes, Robert A.; Menumerov, Eredzhep; Neretina, Svetlana
2017-07-01
One of the foremost challenges in nanofabrication is the establishment of a processing science that integrates wafer-based materials, techniques, and devices with the extraordinary physicochemical properties accessible when materials are reduced to nanoscale dimensions. Such a merger would allow for exacting controls on nanostructure positioning, promote cooperative phenomenon between adjacent nanostructures and/or substrate materials, and allow for electrical contact to individual or groups of nanostructures. With neither self-assembly nor top-down lithographic processes being able to adequately meet this challenge, advancements have often relied on a hybrid strategy that utilizes lithographically-defined features to direct the assembly of nanostructures into organized patterns. While these so-called directed assembly techniques have proven viable, much of this effort has focused on the assembly of periodic arrays of spherical or near-spherical nanostructures comprised of a single element. Work directed toward the fabrication of more complex nanostructures, while still at a nascent stage, has nevertheless demonstrated the possibility of forming arrays of nanocubes, nanorods, nanoprisms, nanoshells, nanocages, nanoframes, core-shell structures, Janus structures, and various alloys on the substrate surface. In this topical review, we describe the progress made in the directed assembly of periodic arrays of these complex metal nanostructures on planar and textured substrates. The review is divided into three broad strategies reliant on: (i) the deterministic positioning of colloidal structures, (ii) the reorganization of deposited metal films at elevated temperatures, and (iii) liquid-phase chemistry practiced directly on the substrate surface. These strategies collectively utilize a broad range of techniques including capillary assembly, microcontact printing, chemical surface modulation, templated dewetting, nanoimprint lithography, and dip-pen nanolithography and
Modelling the formation of nanostructures on metal surface induced by femtosecond laser ablation
International Nuclear Information System (INIS)
Djouder, M.; Itina, T.E.; Deghiche, D.; Lamrous, O.
2012-01-01
We employ the particle-in-cell method to simulate the mechanisms of femtosecond (fs) laser interactions with a metallic target. The theoretical approach considers the solid as a gas of free electrons in a lattice of immobile ions and the laser fluences close to the ablation threshold. At first moments of the interaction, our simulations mapped out different nanostructures. We carefully characterized the rippling phase and found that its morphology is dependent on the distribution of the electron density and the period of the ripples depends on the laser intensity. The simulation method provides new insights into the mechanisms that are responsible for surface grating formation.
Modelling the formation of nanostructures on metal surface induced by femtosecond laser ablation
Energy Technology Data Exchange (ETDEWEB)
Djouder, M. [Laboratoire de Physique et Chimie Quantique, Universite Mouloud Mammeri de Tizi-ouzou, BP 17 RP, 15000 Tizi-Ouzou (Algeria); Itina, T.E. [Laboratoire Hubert Curien, UMR CNRS 5516/Universite Jean Monnet, 18 rue de Professeur Benoit Lauras, 42000 Saint-Etienne (France); Deghiche, D. [Laboratoire de Physique et Chimie Quantique, Universite Mouloud Mammeri de Tizi-ouzou, BP 17 RP, 15000 Tizi-Ouzou (Algeria); Lamrous, O., E-mail: omarlamrous@mail.ummto.dz [Laboratoire de Physique et Chimie Quantique, Universite Mouloud Mammeri de Tizi-ouzou, BP 17 RP, 15000 Tizi-Ouzou (Algeria)
2012-01-15
We employ the particle-in-cell method to simulate the mechanisms of femtosecond (fs) laser interactions with a metallic target. The theoretical approach considers the solid as a gas of free electrons in a lattice of immobile ions and the laser fluences close to the ablation threshold. At first moments of the interaction, our simulations mapped out different nanostructures. We carefully characterized the rippling phase and found that its morphology is dependent on the distribution of the electron density and the period of the ripples depends on the laser intensity. The simulation method provides new insights into the mechanisms that are responsible for surface grating formation.
International Nuclear Information System (INIS)
Liu, Peng; Cao, Ling; Zhao, Wei; Xia, Yue; Huang, Wei; Li, Zelin
2015-01-01
Graphical abstract: - Highlights: • Several superhydrophobic metallic surfaces were fabricated by fast electrodeposition. • Both micro/nanostructures and adsorption of airborne hydrocarbons make contributions. • XPS analyses confirm presence of airborne hydrocarbons on these metallic surfaces. • The adsorption of airborne hydrocarbons on the clean metal Au surface was very quick. • UV-O 3 treatment oxidized the hydrocarbons to hydrophilic oxygen-containing organics. - Abstract: Electrochemical fabrication of micro/nanostructured metallic surfaces with superhydrophobicity has recently aroused great attention. However, the origin still remains unclear why smooth hydrophilic metal surfaces become superhydrophobic by making micro/nanostructures without additional surface modifications. In this work, several superhydrophobic micro/nanostructured metal surfaces were prepared by a facile one-step electrodeposition process, including non-noble and noble metals such as copper, nickel, cadmium, zinc, gold, and palladium with (e.g. Cu) or without (e.g. Au) surface oxide films. We demonstrated by SEM and XPS that both hierarchical micro/nanostructures and spontaneous adsorption of airborne hydrocarbons endowed these surfaces with excellent superhydrophobicity. We revealed by XPS that the adsorption of airborne hydrocarbons at the Ar + -etched clean Au surface was rather quick, such that organic contamination can hardly be prevented in practical operation of surface wetting investigation. We also confirmed by XPS that ultraviolet-O 3 treatment of the superhydrophobic metal surfaces did not remove the adsorbed hydrocarbons completely, but mainly oxidized them into hydrophilic oxygen-containing organic substances. We hope our findings here shed new light on deeper understanding of superhydrophobicity for micro/nanostructured metal surfaces with and without surface oxide films
Biopolymer nanostructures induced by plasma irradiation and metal sputtering
Energy Technology Data Exchange (ETDEWEB)
Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Juřík, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Malinský, P.; Macková, A. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, Rez, Prague 25068 (Czech Republic); Faculty of Science, J.E. Purkyně University, Ústí nad Labem (Czech Republic); Kasálková, N. Slepičková; Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)
2014-08-01
Modification based on polymer surface exposure to plasma treatment exhibits an easy and cheap technique for polymer surface nanostructuring. The influence of argon plasma treatment on biopolymer poly(L-lactide acid (PLLA) will be presented in this paper. The combination of Ar{sup +} ion irradiation, consequent sputter metallization (platinum) and thermal annealing of polymer surface will be summarized. The surface morphology was studied using atomic force microscopy. The Rutherford Backscattering Spectroscopy and X-ray Photoelectron Spectroscopy were used as analytical methods. The combination of plasma treatment with consequent thermal annealing and/or metal sputtering led to the change of surface morphology and its elemental ratio. The surface roughness and composition has been strongly influenced by the modification parameters and metal layer thickness. By plasma treatment of polymer surface combined with consequent annealing or metal deposition can be prepared materials applicable both in tissue engineering as cell carriers, but also in integrated circuit manufacturing.
Nanostructures via DNA scaffold metallization
Ning, C.; Zinchenko, A.; Baigl, D.; Pyshkina, O.; Sergeyev, V.; Endo, Kazunaka; Yoshikawa, K.
2005-01-01
The critical role of polymers in process of noble metals nanostructures formation is well known, however, the use of DNA chain template in this process is yet largely unknown. In this study we demonstrate different ways of silver deposition on DNA template and report the influence of silver nanostructures formation on DNA conformational state. Metallization of DNA chain proceeds by two different scenarios depending on DNA conformation. If DNA chain is unfolded (elongated) chain, silver reduct...
Zappa, Dario; Bertuna, Angela; Comini, Elisabetta; Kaur, Navpreet; Poli, Nicola; Sberveglieri, Veronica; Sberveglieri, Giorgio
2017-01-01
Preparation and characterization of different metal oxide (NiO, WO 3 , ZnO, SnO 2 and Nb 2 O 5 ) nanostructures for chemical sensing are presented. p-Type (NiO) and n-type (WO 3 , SnO 2 , ZnO and Nb 2 O 5 ) metal oxide nanostructures were grown on alumina substrates using evaporation-condensation, thermal oxidation and hydrothermal techniques. Surface morphologies and crystal structures were investigated through scanning electron microscopy and Raman spectroscopy. Furthermore, different batches of sensors have been prepared, and their sensing performances towards carbon monoxide and nitrogen dioxide have been explored. Moreover, metal oxide nanowires have been integrated into an electronic nose and successfully applied to discriminate between drinking and contaminated water.
Growth of metal and semiconductor nanostructures using localized photocatalysts
Energy Technology Data Exchange (ETDEWEB)
Shelnutt, John A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Wang, Zhongchun [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Medforth, Craig J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)
2006-03-08
Our overall goal has been to understand and develop a light-driven approach to the controlled growth of novel metal and semiconductor nanostructures and nanomaterials. In this photochemical process, bio-inspired porphyrin-based photocatalysts reduce metal salts in aqueous solutions at ambient temperatures when exposed to visible light, providing metal nucleation and growth centers. The photocatalyst molecules are pre-positioned at the nanoscale to control the location of the deposition of metal and therefore the morphology of the nanostructures that are grown. Self-assembly, chemical confinement, and molecular templating are some of the methods we are using for nanoscale positioning of the photocatalyst molecules. When exposed to light, each photocatalyst molecule repeatedly reduces metal ions from solution, leading to deposition near the photocatalyst and ultimately the synthesis of new metallic nanostructures and nanostructured materials. Studies of the photocatalytic growth process and the resulting nanostructures address a number of fundamental biological, chemical, and environmental issues and draw on the combined nanoscience characterization and multi-scale simulation capabilities of the new DOE Center for Integrated Nanotechnologies at Sandia National Laboratories and the University of Georgia. Our main goals are to elucidate the processes involved in the photocatalytic growth of metal nanomaterials and provide the scientific basis for controlled nanosynthesis. The nanomaterials resulting from these studies have applications in nanoelectronics, photonics, sensors, catalysis, and micromechanical systems. Our specific goals for the past three years have been to understand the role of photocatalysis in the synthesis of dendritic metal (Pt, Pd, Au) nanostructures grown from aqueous surfactant solutions under ambient conditions and the synthesis of photocatalytic porphyrin nanostructures (e.g., nanotubes) as templates for fabrication of photo-active metal
Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures
DEFF Research Database (Denmark)
Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik
2015-01-01
Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...
Metal oxide nanostructures and their gas sensing properties: a review.
Sun, Yu-Feng; Liu, Shao-Bo; Meng, Fan-Li; Liu, Jin-Yun; Jin, Zhen; Kong, Ling-Tao; Liu, Jin-Huai
2012-01-01
Metal oxide gas sensors are predominant solid-state gas detecting devices for domestic, commercial and industrial applications, which have many advantages such as low cost, easy production, and compact size. However, the performance of such sensors is significantly influenced by the morphology and structure of sensing materials, resulting in a great obstacle for gas sensors based on bulk materials or dense films to achieve highly-sensitive properties. Lots of metal oxide nanostructures have been developed to improve the gas sensing properties such as sensitivity, selectivity, response speed, and so on. Here, we provide a brief overview of metal oxide nanostructures and their gas sensing properties from the aspects of particle size, morphology and doping. When the particle size of metal oxide is close to or less than double thickness of the space-charge layer, the sensitivity of the sensor will increase remarkably, which would be called "small size effect", yet small size of metal oxide nanoparticles will be compactly sintered together during the film coating process which is disadvantage for gas diffusion in them. In view of those reasons, nanostructures with many kinds of shapes such as porous nanotubes, porous nanospheres and so on have been investigated, that not only possessed large surface area and relatively mass reactive sites, but also formed relatively loose film structures which is an advantage for gas diffusion. Besides, doping is also an effective method to decrease particle size and improve gas sensing properties. Therefore, the gas sensing properties of metal oxide nanostructures assembled by nanoparticles are reviewed in this article. The effect of doping is also summarized and finally the perspectives of metal oxide gas sensor are given.
Do dielectric nanostructures turn metallic in high-electric dc fields?
Silaeva, E P; Arnoldi, L; Karahka, M L; Deconihout, B; Menand, A; Kreuzer, H J; Vella, A
2014-11-12
Three-dimensional dielectric nanostructures have been analyzed using field ion microscopy (FIM) to study the electric dc field penetration inside these structures. The field is proved to be screened within a few nanometers as theoretically calculated taking into account the high-field impact ionization process. Moreover, the strong dc field of the order of 0.1 V/Å at the surface inside a dielectric nanostructure modifies its band structure leading to a strong band gap shrinkage and thus to a strong metal-like optical absorption near the surface. This metal-like behavior was theoretically predicted using first-principle calculations and experimentally proved using laser-assisted atom probe tomography (APT). This work opens up interesting perspectives for the study of the performance of all field-effect nanodevices, such as nanotransistor or super capacitor, and for the understanding of the physical mechanisms of field evaporation of dielectric nanotips in APT.
Engineering metallic nanostructures for plasmonics and nanophotonics
Lindquist, Nathan C.; Nagpal, Prashant; McPeak, Kevin M.; Norris, David J.; Oh, Sang-Hyun
2012-03-01
Metallic nanostructures now play an important role in many applications. In particular, for the emerging fields of plasmonics and nanophotonics, the ability to engineer metals on nanometric scales allows the development of new devices and the study of exciting physics. This review focuses on top-down nanofabrication techniques for engineering metallic nanostructures, along with computational and experimental characterization techniques. A variety of current and emerging applications are also covered.
International Nuclear Information System (INIS)
Sengstock, Christina; Borgmann, Anna; Schildhauer, Thomas A; Köller, Manfred; Lopian, Michael; Motemani, Yahya; Khare, Chinmay; Buenconsejo, Pio John S; Ludwig, Alfred
2014-01-01
The aim of this study was to reproduce the physico-mechanical antibacterial effect of the nanocolumnar cicada wing surface for metallic biomaterials by fabrication of titanium (Ti) nanocolumnar surfaces using glancing angle sputter deposition (GLAD). Nanocolumnar Ti thin films were fabricated by GLAD on silicon substrates. S. aureus as well as E. coli were incubated with nanostructured or reference dense Ti thin film test samples for one or three hours at 37 °C. Bacterial adherence, morphology, and viability were analyzed by fluorescence staining and scanning electron microscopy and compared to human mesenchymal stem cells (hMSCs). Bacterial adherence was not significantly different after short (1 h) incubation on the dense or the nanostructured Ti surface. In contrast to S. aureus the viability of E. coli was significantly decreased after 3 h on the nanostructured film compared to the dense film and was accompanied by an irregular morphology and a cell wall deformation. Cell adherence, spreading and viability of hMSCs were not altered on the nanostructured surface. The results show that the selective antibacterial effect of the cicada wing could be transferred to a nanostructured metallic biomaterial by mimicking the natural nanocolumnar topography. (papers)
Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.
Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling
2015-01-14
Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.
Antibacterial Au nanostructured surfaces
Wu, Songmei; Zuber, Flavia; Brugger, Juergen; Maniura-Weber, Katharina; Ren, Qun
2016-01-01
We present here a technological platform for engineering Au nanotopographies by templated electrodeposition on antibacterial surfaces. Three different types of nanostructures were fabricated: nanopillars, nanorings and nanonuggets. The nanopillars are the basic structures and are 50 nm in diameter and 100 nm in height. Particular arrangement of the nanopillars in various geometries formed nanorings and nanonuggets. Flat surfaces, rough substrate surfaces, and various nanostructured surfaces were compared for their abilities to attach and kill bacterial cells. Methicillin-resistant Staphylococcus aureus, a Gram-positive bacterial strain responsible for many infections in health care system, was used as the model bacterial strain. It was found that all the Au nanostructures, regardless their shapes, exhibited similar excellent antibacterial properties. A comparison of live cells attached to nanotopographic surfaces showed that the number of live S. aureus cells was flat and rough reference surfaces. Our micro/nanofabrication process is a scalable approach based on cost-efficient self-organization and provides potential for further developing functional surfaces to study the behavior of microbes on nanoscale topographies.We present here a technological platform for engineering Au nanotopographies by templated electrodeposition on antibacterial surfaces. Three different types of nanostructures were fabricated: nanopillars, nanorings and nanonuggets. The nanopillars are the basic structures and are 50 nm in diameter and 100 nm in height. Particular arrangement of the nanopillars in various geometries formed nanorings and nanonuggets. Flat surfaces, rough substrate surfaces, and various nanostructured surfaces were compared for their abilities to attach and kill bacterial cells. Methicillin-resistant Staphylococcus aureus, a Gram-positive bacterial strain responsible for many infections in health care system, was used as the model bacterial strain. It was found that all
Metal-organic framework templated electrodeposition of functional gold nanostructures
International Nuclear Information System (INIS)
Worrall, Stephen D.; Bissett, Mark A.; Hill, Patrick I.; Rooney, Aidan P.; Haigh, Sarah J.; Attfield, Martin P.; Dryfe, Robert A.W.
2016-01-01
Highlights: • Electrodeposition of anisotropic Au nanostructures templated by HKUST-1. • Au nanostructures replicate ∼1.4 nm pore spaces of HKUST-1. • Encapsulated Au nanostructures active as SERS substrate for 4-fluorothiophenol. - Abstract: Utilizing a pair of quick, scalable electrochemical processes, the permanently porous MOF HKUST-1 was electrochemically grown on a copper electrode and this HKUST-1-coated electrode was used to template electrodeposition of a gold nanostructure within the pore network of the MOF. Transmission electron microscopy demonstrates that a proportion of the gold nanostructures exhibit structural features replicating the pore space of this ∼1.4 nm maximum pore diameter MOF, as well as regions that are larger in size. Scanning electron microscopy shows that the electrodeposited gold nanostructure, produced under certain conditions of synthesis and template removal, is sufficiently inter-grown and mechanically robust to retain the octahedral morphology of the HKUST-1 template crystals. The functionality of the gold nanostructure within the crystalline HKUST-1 was demonstrated through the surface enhanced Raman spectroscopic (SERS) detection of 4-fluorothiophenol at concentrations as low as 1 μM. The reported process is confirmed as a viable electrodeposition method for obtaining functional, accessible metal nanostructures encapsulated within MOF crystals.
Electron-beam lithography of gold nanostructures for surface-enhanced Raman scattering
Yue, Weisheng
2012-10-26
The fabrication of nanostructured substrates with precisely controlled geometries and arrangements plays an important role in studies of surface-enhanced Raman scattering (SERS). Here, we present two processes based on electron-beam lithography to fabricate gold nanostructures for SERS. One process involves making use of metal lift-off and the other involves the use of the plasma etching. These two processes allow the successful fabrication of gold nanostructures with various kinds of geometrical shapes and different periodic arrangements. 4-mercaptopyridine (4-MPy) and Rhodamine 6G (R6G) molecules are used to probe SERS signals on the nanostructures. The SERS investigations on the nanostructured substrates demonstrate that the gold nanostructured substrates have resulted in large SERS enhancement, which is highly dependent on the geometrical shapes and arrangements of the gold nanostructures. © 2012 IOP Publishing Ltd.
Metal oxide nanostructures as gas sensing devices
Eranna, G
2016-01-01
Metal Oxide Nanostructures as Gas Sensing Devices explores the development of an integrated micro gas sensor that is based on advanced metal oxide nanostructures and is compatible with modern semiconductor fabrication technology. This sensor can then be used to create a compact, low-power, handheld device for analyzing air ambience. The book first covers current gas sensing tools and discusses the necessity for miniaturized sensors. It then focuses on the materials, devices, and techniques used for gas sensing applications, such as resistance and capacitance variations. The author addresses the issues of sensitivity, concentration, and temperature dependency as well as the response and recovery times crucial for sensors. He also presents techniques for synthesizing different metal oxides, particularly those with nanodimensional structures. The text goes on to highlight the gas sensing properties of many nanostructured metal oxides, from aluminum and cerium to iron and titanium to zinc and zirconium. The final...
Directory of Open Access Journals (Sweden)
Elena Zemtsova
2014-01-01
Full Text Available We justified theoretical and experimental bases of synthesis of new class of highly nanostructured composite nanomaterials based on metal matrix with titanium carbide nanowires as dispersed phase. A new combined method for obtaining of metal iron-based composite materials comprising the powder metallurgy processes and the surface design of the dispersed phase is considered. The following stages of material synthesis are investigated: (1 preparation of porous metal matrix; (2 surface structuring of the porous metal matrix by TiC nanowires; (3 pressing and sintering to give solid metal composite nanostructured materials based on iron with TiC nanostructures with size 1–50 nm. This material can be represented as the material type “frame in the frame” that represents iron metal frame reinforcing the frame of different chemical compositions based on TiC. Study of material functional properties showed that the mechanical properties of composite materials based on iron with TiC dispersed phase despite the presence of residual porosity are comparable to the properties of the best grades of steel containing expensive dopants and obtained by molding. This will solve the problem of developing a new generation of nanostructured metal (iron-based materials with improved mechanical properties for the different areas of technology.
Low temperature surface chemistry and nanostructures
Sergeev, G. B.; Shabatina, T. I.
2002-03-01
The new scientific field of low temperature surface chemistry, which combines the low temperature chemistry (cryochemistry) and surface chemistry approaches, is reviewed in this paper. One of the most exciting achievements in this field of science is the development of methods to create highly ordered hybrid nanosized structures on different organic and inorganic surfaces and to encapsulate nanosized metal particles in organic and polymer matrices. We consider physical and chemical behaviour for the systems obtained by co-condensation of the components vapours on the surfaces cooled down to 4-10 and 70-100 K. In particular the size effect of both types, the number of atoms in the reactive species structure and the thickness of growing co-condensate film, on the chemical activity of the system is analysed in detail. The effect of the internal mechanical stresses on the growing interfacial co-condensate film formation and on the generation of fast (explosive) spontaneous reactions at low temperatures is discussed. The examples of unusual chemical interactions of metal atoms, clusters and nanosized particles, obtained in co-condensate films on the cooled surfaces under different conditions, are presented. The examples of highly ordered surface and volume hybrid nanostructures formation are analysed.
Oxide nanostructures on a Nb surface and related systems: experiments and ab initio calculations
International Nuclear Information System (INIS)
Kuznetsov, Mikhail V; Razinkin, A S; Ivanovskii, Alexander L
2011-01-01
This review discusses the state of the art in two related research areas: the surfaces of niobium and of its related group IV-VI transition metals, and surface (primarily oxide) nanostructures that form on niobium (and group IV-VI d-metals) due to gas adsorption or impurity diffusion from the bulk. Experimental (X-ray photoelectron spectroscopy, photoelectron diffraction, scanning tunneling microscopy) and theoretical (ab initio simulation) results on d-metal surfaces are summarized and reviewed. (reviews of topical problems)
Energy Technology Data Exchange (ETDEWEB)
Karabanov S M; Zeltser I A; Maizels R M; Moos E N; Arushanov K A, E-mail: zeltseria@rmcip.ru [Russia, Ryazan, 390027, Novaya Str., 51B, Ryazan Metal Ceramics Instrumentation Plant JSC (Russian Federation)
2011-04-01
The cycle of activities of the creation of principally new generation of reed switches with nanostructured contact surfaces was implemented. Experimental justification of the opportunity of reed switches creation with modified contact surface was given (instead of precious metals-based galvanic coating). Principally new technological process of modification of magnetically operated contacts contacting surfaces was developed, based on the usage of the ion-plasma methods of nanolayers and nanostructures forming having specified contact features.
Antibacterial Au nanostructured surfaces.
Wu, Songmei; Zuber, Flavia; Brugger, Juergen; Maniura-Weber, Katharina; Ren, Qun
2016-02-07
We present here a technological platform for engineering Au nanotopographies by templated electrodeposition on antibacterial surfaces. Three different types of nanostructures were fabricated: nanopillars, nanorings and nanonuggets. The nanopillars are the basic structures and are 50 nm in diameter and 100 nm in height. Particular arrangement of the nanopillars in various geometries formed nanorings and nanonuggets. Flat surfaces, rough substrate surfaces, and various nanostructured surfaces were compared for their abilities to attach and kill bacterial cells. Methicillin-resistant Staphylococcus aureus, a Gram-positive bacterial strain responsible for many infections in health care system, was used as the model bacterial strain. It was found that all the Au nanostructures, regardless their shapes, exhibited similar excellent antibacterial properties. A comparison of live cells attached to nanotopographic surfaces showed that the number of live S. aureus cells was flat and rough reference surfaces. Our micro/nanofabrication process is a scalable approach based on cost-efficient self-organization and provides potential for further developing functional surfaces to study the behavior of microbes on nanoscale topographies.
Li, Yun-Fei; Dong, Feng-Xi; Chen, Yang; Zhang, Xu-Lin; Wang, Lei; Bi, Yan-Gang; Tian, Zhen-Nan; Liu, Yue-Feng; Feng, Jing; Sun, Hong-Bo
2016-11-01
The transfer-free fabrication of the high quality graphene on the metallic nanostructures, which is highly desirable for device applications, remains a challenge. Here, we develop the transfer-free method by direct chemical vapor deposition of the graphene layers on copper (Cu) nanoparticles (NPs) to realize the hybrid nanostructures. The graphene as-grown on the Cu NPs permits full electric contact and strong interactions, which results in a strong localization of the field at the graphene/copper interface. An enhanced intensity of the localized surface plasmon resonances (LSPRs) supported by the hybrid nanostructures can be obtained, which induces a much enhanced fluorescent intensity from the dye coated hybrid nanostructures. Moreover, the graphene sheets covering completely and uniformly on the Cu NPs act as a passivation layer to protect the underlying metal surface from air oxidation. As a result, the stability of the LSPRs for the hybrid nanostructures is much enhanced compared to that of the bare Cu NPs. The transfer-free hybrid nanostructures with enhanced intensity and stability of the LSPRs will enable their much broader applications in photonics and optoelectronics.
TEM of nanostructured metals and alloys
International Nuclear Information System (INIS)
Karnthaler, H.P.; Waitz, T.; Rentenberger, C.; Mingler, B.
2004-01-01
Nanostructuring has been used to improve the mechanical properties of bulk metals and alloys. Transmission electron microscopy (TEM) including atomic resolution is therefore appropriate to study these nanostructures; four examples are given as follows. (1) The early stages of precipitation at RT were investigated in an Al-Mg-Si alloy. By high resolution TEM it is shown that the precipitates lie on (0 0 1) planes having an ordered structure. (2) In Co alloys the fronts of martensitic phase transformations were analysed showing that the transformation strains are very small thus causing no surface relief. (3) Re-ordering and recrystallization were studied by in situ TEM of an Ni 3 Al alloy being nanocrystalline after severe plastic deformation. (4) In NiTi severe plastic deformation is leading to the formation of amorphous shear bands. From the TEM analysis it is concluded that the amorphization is caused by plastic shear instability starting in the shear bands
Energy Technology Data Exchange (ETDEWEB)
Jiang, Yanan; Liu, Baodan, E-mail: baodanliu@imr.ac.cn; Zhai, Zhaofeng; Liu, Xiaoyuan; Yang, Bing; Liu, Lusheng; Jiang, Xin, E-mail: xjiang@imr.ac.cn
2015-11-30
Graphical abstract: A general strategy for the rational synthesis of tungstate nanostructure has been developed based on plasma electrolytic oxidation (PEO) technology (up). Using this method, ZnWO{sub 4} and NiWO{sub 4} nanostructures with controllable morphologies and superior crystallinity can be easily obtained (down), showing obvious advantage in comparison with conventional hydrothermal and sol–gel methods. - Highlights: • Plasma electrolyte oxidation (PEO) method has been used for the rational synthesis of tungstate nanostructures. • ZnWO{sub 4} nanoplates have strong mechanical adhesion with porous TiO{sub 2} film substrate. • The morphology and dimensional size of ZnWO{sub 4} nanostructures can be selectively tailored by controlling the annealing temperature and growth time. • The PEO method can be widely applied to the growth of various metal oxides. - Abstract: A new method based on conventional plasma electrolytic oxidation (PEO) technology has been developed for the rational synthesis of metal tungstate nanostructures. Using this method, ZnWO{sub 4} and NiWO{sub 4} nanostructures with controllable morphologies (nanorods, nanosheets and microsheets) and superior crystallinity have been synthesized. It has been found that the morphology diversity of ZnWO{sub 4} nanostructures can be selectively tailored through tuning the electrolyte concentration and annealing temperatures, showing obvious advantages in comparison to traditional hydrothermal and sol–gel methods. Precise microscopy analyses on the cross section of the PEO coating and ZnWO{sub 4} nanostructures confirmed that the precursors initially precipitated in the PEO coating and its surface during plasma discharge process are responsible for the nucleation and subsequent growth of metal tungstate nanostructures by thermal annealing. The method developed in this work represents a general strategy toward the rational synthesis of metal oxide nanostructures and the formation mechanism of
International Nuclear Information System (INIS)
Jiang, Yanan; Liu, Baodan; Zhai, Zhaofeng; Liu, Xiaoyuan; Yang, Bing; Liu, Lusheng; Jiang, Xin
2015-01-01
Graphical abstract: A general strategy for the rational synthesis of tungstate nanostructure has been developed based on plasma electrolytic oxidation (PEO) technology (up). Using this method, ZnWO 4 and NiWO 4 nanostructures with controllable morphologies and superior crystallinity can be easily obtained (down), showing obvious advantage in comparison with conventional hydrothermal and sol–gel methods. - Highlights: • Plasma electrolyte oxidation (PEO) method has been used for the rational synthesis of tungstate nanostructures. • ZnWO 4 nanoplates have strong mechanical adhesion with porous TiO 2 film substrate. • The morphology and dimensional size of ZnWO 4 nanostructures can be selectively tailored by controlling the annealing temperature and growth time. • The PEO method can be widely applied to the growth of various metal oxides. - Abstract: A new method based on conventional plasma electrolytic oxidation (PEO) technology has been developed for the rational synthesis of metal tungstate nanostructures. Using this method, ZnWO 4 and NiWO 4 nanostructures with controllable morphologies (nanorods, nanosheets and microsheets) and superior crystallinity have been synthesized. It has been found that the morphology diversity of ZnWO 4 nanostructures can be selectively tailored through tuning the electrolyte concentration and annealing temperatures, showing obvious advantages in comparison to traditional hydrothermal and sol–gel methods. Precise microscopy analyses on the cross section of the PEO coating and ZnWO 4 nanostructures confirmed that the precursors initially precipitated in the PEO coating and its surface during plasma discharge process are responsible for the nucleation and subsequent growth of metal tungstate nanostructures by thermal annealing. The method developed in this work represents a general strategy toward the rational synthesis of metal oxide nanostructures and the formation mechanism of metal tungstate nanostructures fabricated by
Safonov, Vladimir; Zykova, Anna; Smolik, Jerzy; Rogowska, Renata; Lukyanchenko, Vladimir; Kolesnikov, Dmitrii
2014-08-01
The deposition of functional coatings on the metal surface of artificial joints is an effective way of enhancing joint tribological characteristics. It is well-known that nanostructured oxide coatings have specific properties advantageous for future implant applications. In the present study, we measured the high hardness parameters, the adhesion strength and the low friction coefficient of the oxide magnetron sputtered coatings. The corrosion test results show that the oxide coating deposition had improved the corrosion resistance by a factor of ten for both stainless steel and titanium alloy substrates. Moreover, the hydrophilic nature of coated surfaces in comparison with the metal ones was investigated in the tensiometric tests. The surfaces with nanostructured oxide coatings demonstrated improved biocompatibility for in vitro and in vivo tests, attributed to the high dielectric constants and the high values of the surface free energy parameters.
Peters, Robert F; Gutierrez-Rivera, Luis; Dew, Steven K; Stepanova, Maria
2015-03-20
Fabrication and characterization of conjugate nano-biological systems interfacing metallic nanostructures on solid supports with immobilized biomolecules is reported. The entire sequence of relevant experimental steps is described, involving the fabrication of nanostructured substrates using electron beam lithography, immobilization of biomolecules on the substrates, and their characterization utilizing surface-enhanced Raman spectroscopy (SERS). Three different designs of nano-biological systems are employed, including protein A, glucose binding protein, and a dopamine binding DNA aptamer. In the latter two cases, the binding of respective ligands, D-glucose and dopamine, is also included. The three kinds of biomolecules are immobilized on nanostructured substrates by different methods, and the results of SERS imaging are reported. The capabilities of SERS to detect vibrational modes from surface-immobilized proteins, as well as to capture the protein-ligand and aptamer-ligand binding are demonstrated. The results also illustrate the influence of the surface nanostructure geometry, biomolecules immobilization strategy, Raman activity of the molecules and presence or absence of the ligand binding on the SERS spectra acquired.
Xia, Chuan; Alshareef, Husam N.
2015-01-01
Due to their unique structural features including well-defined interior voids, low density, low coefficients of thermal expansion, large surface area and surface permeability, hollow micro/nanostructured transition metal sulfides with high
Energy Technology Data Exchange (ETDEWEB)
NONE
1996-03-01
Very fine structure control technique for amorphous and nanostructured metallic materials was reviewed to exceed the marginal performance of small metallic member materials. In Japan, high strength alloys and anticorrosion alloys are currently developed as an amorphous structure control technique, and ultra fine powder production and nano-compaction molding are studied for nanostructured materials. Fabrication of amorphous alloy wire materials and metal glass in USA are also introduced. Fabrication of metallic nanocrystals deposited within gas phase in Germany are attracting attention. The strength and abrasion resistance are remarkably enhanced by making nanostructured crystals and dispersing them. It may be most suitable to utilize amorphous and nanostructured metallic materials for earth-friendly materials having anticorrosion, and catalyst and biomaterial affinities, and also for magnetic materials. It is important for controlling micro-structures to clarify the formation mechanism of structures. For their processing techniques, the diversity and possibility are suggested, as to the condensation and solidification of gaseous and liquid phase metals, the molding and processing of very fine solid phase alloys, and the manufacturing members by heat treatment. 324 refs., 109 figs., 21 tabs.
Nanostructure sensitization of transition metal oxides for visible-light photocatalysis
Directory of Open Access Journals (Sweden)
Hongjun Chen
2014-05-01
Full Text Available To better utilize the sunlight for efficient solar energy conversion, the research on visible-light active photocatalysts has recently attracted a lot of interest. The photosensitization of transition metal oxides is a promising approach for achieving effective visible-light photocatalysis. This review article primarily discusses the recent progress in the realm of a variety of nanostructured photosensitizers such as quantum dots, plasmonic metal nanostructures, and carbon nanostructures for coupling with wide-bandgap transition metal oxides to design better visible-light active photocatalysts. The underlying mechanisms of the composite photocatalysts, e.g., the light-induced charge separation and the subsequent visible-light photocatalytic reaction processes in environmental remediation and solar fuel generation fields, are also introduced. A brief outlook on the nanostructure photosensitization is also given.
Adzic, Radoslav; Harris, Alexander
2013-03-26
High-surface-area carbon nanostructures coated with a smooth and conformal submonolayer-to-multilayer thin metal films and their method of manufacture are described. The preferred manufacturing process involves the initial oxidation of the carbon nanostructures followed by immersion in a solution with the desired pH to create negative surface dipoles. The nanostructures are subsequently immersed in an alkaline solution containing non-noble metal ions which adsorb at surface reaction sites. The metal ions are then reduced via chemical or electrical means and the nanostructures are exposed to a solution containing a salt of one or more noble metals which replace adsorbed non-noble surface metal atoms by galvanic displacement. Subsequent film growth may be performed via the initial quasi-underpotential deposition of a non-noble metal followed by immersion in a solution comprising a more noble metal. The resulting coated nanostructures may be used, for example, as high-performance electrodes in supercapacitors, batteries, or other electric storage devices.
Design of Novel Metal Nanostructures for Broadband Solar Energy Conversion
Directory of Open Access Journals (Sweden)
Kristine A. Zhang
2015-01-01
Full Text Available Solar power holds great potential as an alternative energy source, but current photovoltaic cells have much room for improvement in cost and efficiency. Our objective was to develop metal nanostructures whose surface plasmon resonance (SPR spectra closely match the solar spectrum to enhance light absorption and scattering. We employed the finite-difference time-domain simulation method to evaluate the effect of varying key parameters. A novel nanostructure with SPR absorption matching a region of the solar spectrum (300 to 1500 nm that contains 90% of solar energy was successfully designed. This structure consists of a large gold-silica core-shell structure with smaller gold nanoparticles and nanorods on its surface. Such complex nanostructures are promising for broad and tunable absorption spectra. In addition, we investigated the SPR of silver nanoparticle arrays, which can achieve scattering close to the solar spectrum. We demonstrated an improvement in efficiency of over 30% with optimal nanoparticle radius and periods of 75 nm and 325 nm, respectively. In combination, our studies enable high-efficiency, tunable, and cost-effective enhancement of both light absorption and scattering, which has potential applications in solar energy conversion as well as biomedical imaging.
Thermo-plasmonics of Irradiated Metallic Nanostructures
DEFF Research Database (Denmark)
Ma, Haiyan
Thermo-plasmonics is an emerging field in photonics which aims at harnessing the kinetic energy of light to generate nanoscopic sources of heat. Localized surface plasmons (LSP) supported by metallic nanostructures greatly enhance the interactions of light with the structure. By engineering...... delivery, nano-surgeries and thermo-transportations. Apart from generating well-controlled temperature increase in functional thermo-plasmonic devices, thermo-plasmonics can also be used in understanding complex phenomena in thermodynamics by creating drastic temperature gradients which are not accessible...... using conventional techniques. In this thesis, we present novel experimental and numerical tools to characterize thermo-plasmonic devices in a biologically relevant environment, and explore the thermodiffusion properties and measure thermophoretic forces for particles in temperature gradients ranging...
Hardening by annealing and softening by deformation in nanostructured metals
DEFF Research Database (Denmark)
Huang, X.; Hansen, N.; Tsuji, N.
2006-01-01
We observe that a nanostructured metal can be hardened by annealing and softened when subsequently deformed, which is in contrast to the typical behavior of a metal. Microstructural investigation points to an effect of the structural scale on fundamental mechanisms of dislocation-dislocation and ......We observe that a nanostructured metal can be hardened by annealing and softened when subsequently deformed, which is in contrast to the typical behavior of a metal. Microstructural investigation points to an effect of the structural scale on fundamental mechanisms of dislocation....... As a consequence, the strength decreases and the ductility increases. These observations suggest that for materials such as the nanostructured aluminum studied here, deformation should be used as an optimizing procedure instead of annealing....
Chemical Sensors Based on Metal Oxide Nanostructures
Hunter, Gary W.; Xu, Jennifer C.; Evans, Laura J.; VanderWal, Randy L.; Berger, Gordon M.; Kulis, Mike J.; Liu, Chung-Chiun
2006-01-01
This paper is an overview of sensor development based on metal oxide nanostructures. While nanostructures such as nanorods show significan t potential as enabling materials for chemical sensors, a number of s ignificant technical challenges remain. The major issues addressed in this work revolve around the ability to make workable sensors. This paper discusses efforts to address three technical barriers related t o the application of nanostructures into sensor systems: 1) Improving contact of the nanostructured materials with electrodes in a microse nsor structure; 2) Controling nanostructure crystallinity to allow co ntrol of the detection mechanism; and 3) Widening the range of gases that can be detected by using different nanostructured materials. It is concluded that while this work demonstrates useful tools for furt her development, these are just the beginning steps towards realizati on of repeatable, controlled sensor systems using oxide based nanostr uctures.
Energy Technology Data Exchange (ETDEWEB)
Guo, Xiaoai, E-mail: xiaoai.guo@kit.edu; Gutsche, Alexander; Nirschl, Hermann [Karlsruhe Institute of Technology, Institute for Mechanical Process Engineering and Mechanics (Germany)
2013-11-15
Metallic nanoparticles have attracted a particular interest in scientific research and industrial applications due to their unique size-dependent physical and chemical properties. An eco-friendly and cost-effective synthesis method called electrical discharge enables large scale production of metallic nanoparticles. Systematic investigations of such synthesized metallic nanoparticles help to optimize the synthesis process and improve the product quality. In this work, for the first time we have investigated the diffuse interfacial boundary nanostructures of the metallic nanoparticles, which were synthesized under different conditions by electrical glow and arc discharges in the carrier gas, by means of a small- and wide-angle X-ray scattering (SWAXS) technique using a laboratory X-ray source. Meanwhile, this unique SWAXS technique allows simultaneous study of the primary particle size, morphology, and crystallinity. The metallic nanoparticles (copper and nickel) under investigation cover a size range of 10–80 nm, and the determined thickness of the diffuse boundary nanostructured layer of metallic nanoparticles is in the range of 1–3 nm. The experimental results obtained by SWAXS were compared to the TEM/EDX observation and the XRD reference patterns from RRUFF database, and a good agreement was found. Our SWAXS investigations indicated that the existence of a diffuse nanostructured solid layer on the synthesized metallic nanoparticle surface causes a negative deviation of the scattering intensity (Ι∝q{sup -α}, α>4) from Porod’s law which corresponds to the case of ideal two-phase particle systems with sharp boundaries (Ι∝q{sup -α}, α=4) . This implies that the electron density profile is not sharp but changes gradually between two phases, and hence the exponent α is greater than four. Two electron density profile models, sigmoidal electron-density gradient model and linear electron-density gradient model, have been taken into account in
Surface States Effect on the Large Photoluminescence Redshift in GaN Nanostructures
Ben Slimane, Ahmed
2013-01-01
We report on the large photoluminescence redshift observed in nanostructures fabricated using n-type GaN by ultraviolet (UV) metal-assisted electroless chemical-etching method. The scanning electron microscopy (SEM) characterization showed nanostructures with size dispersion ranging from 10 to 100 nm. We observed the crystalline structure using high resolution transmission electron microscopy (HRTEM) and electron energy loss (EELS) techniques. In contrast to 362 nm UV emission from the GaN epitaxy, the nanostructures emitted violet visible-light in photoluminescence (PL) characterization with increasing optical excitation. An energy band model was presented to shed light on the large PL redshift under the influence of surface states, which resulted in two competing photoluminescence mechanisms depending on excitation conditions.
Removal of Heavy Metals from Drinking Water by Magnetic Carbon Nanostructures Prepared from Biomass
Muneeb Ur Rahman Khattak, Muhammad; Zahoor, Muhammad; Muhammad, Bakhtiar; Khan, Farhat Ali; Ullah, Riaz; AbdEI-Salam, Naser M.
2017-01-01
Heavy metals contamination of drinking water has significant adverse effects on human health due to their toxic nature. In this study a new adsorbent, magnetic graphitic nanostructures were prepared from watermelon waste. The adsorbent was characterized by different instrumental techniques (surface area analyzer, FTIR, XRD, EDX, SEM, and TG/DTA) and was used for the removal of heavy metals (As, Cr, Cu, Pb, and Zn) from water. The adsorption parameters were determined for heavy metals adsorpti...
International Nuclear Information System (INIS)
Li Jia-Fang; Li Zhi-Yuan
2014-01-01
The control and application of surface plasmons (SPs), is introduced with particular emphasis on the manipulation of the plasmonic wavefront and light–matter interaction in metallic nanostructures. We introduce a direct design methodology called the surface wave holography method and show that it can be readily employed for wave-front shaping of near-infrared light through a subwavelength hole, it can also be used for designing holographic plasmonic lenses for SPs with complex wavefronts in the visible band. We also discuss several issues of light–matter interaction in plasmonic nanostructures. We show theoretically that amplification of SPs can be achieved in metal nanoparticles incorporated with gain media, leading to a giant reduction of surface plasmon resonance linewidth and enhancement of local electric field intensity. We present an all-analytical semiclassical theory to evaluate spaser performance in a plasmonic nanocavity incorporated with gain media described by the four-level atomic model. We experimentally demonstrate amplified spontaneous emission of SP polaritons and their amplification at the interface between a silver film and a polymer film doped with dye molecules. We discuss various aspects of microscopic and macroscopic manipulation of fluorescent radiation from gold nanorod hybrid structures in a system of either a single nanoparticle or an aligned group of nanoparticles. The findings reported and reviewed here could help others explore various approaches and schemes to manipulate plasmonic wavefront and light–matter interaction in metallic nanostructures for potential applications, such as optical displays, information integration, and energy harvesting technologies. (topical review - plasmonics and metamaterials)
Areizaga-Martinez, Hector I; Kravchenko, Ivan; Lavrik, Nickolay V; Sepaniak, Michael J; Hernández-Rivera, Samuel P; De Jesús, Marco A
2016-09-01
The fabrication of high-performance plasmonic nanomaterials for bio-sensing and trace chemical detection is a field of intense theoretical and experimental research. The use of metal-silicon nanopillar arrays as analytical sensors has been reported with reasonable results in recent years. The use of bio-inspired nanocomposite structures that follow the Fibonacci numerical architecture offers the opportunity to develop nanostructures with theoretically higher and more reproducible plasmonic fields over extended areas. The work presented here describes the nanofabrication process for a series of 40 µm × 40 µm bio-inspired arrays classified as asymmetric fractals (sunflower seeds and romanesco broccoli), bilaterally symmetric (acacia leaves and honeycombs), and radially symmetric (such as orchids and lily flowers) using electron beam lithography. In addition, analytical capabilities were evaluated using surface-enhanced Raman scattering (SERS). The substrate characterization and SERS performance of the developed substrates as the strategies to assess the design performance are presented and discussed. © The Author(s) 2016.
Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.
2018-06-01
The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.
Energy Technology Data Exchange (ETDEWEB)
Jasmin, Jean-Philippe [Laboratoire Analyse et Modélisation pour la Biologie et l’Environnement, UMR 8587, CNRS-Université Evry Val d’Essonne-CEA, 1 rue du père Jarlan, 91025 Evry Cedex (France); Miserque, Frédéric [Den-Service de la Corrosion et du Comportement des Matériaux dans leur Environnement (SCCME), CEA, Université Paris-Saclay, F-91191, Gif-sur-Yvette (France); Dumas, Eddy [Institut Lavoisier de Versailles, UMR 8180, CNRS-Université de Versailles Saint-Quentin-en-Yvelines, 78035 Versailles (France); Vickridge, Ian; Ganem, Jean-Jacques [INSP, UMR 7588, CNRS- Université Pierre et Marie Curie, 4 place Jussieu, boîte courrier 840 75252 Paris, Cedex 05 (France); Cannizzo, Caroline, E-mail: caroline.cannizzo@univ-evry.fr [Laboratoire Analyse et Modélisation pour la Biologie et l’Environnement, UMR 8587, CNRS-Université Evry Val d’Essonne-CEA, 1 rue du père Jarlan, 91025 Evry Cedex (France); Chaussé, Annie [Laboratoire Analyse et Modélisation pour la Biologie et l’Environnement, UMR 8587, CNRS-Université Evry Val d’Essonne-CEA, 1 rue du père Jarlan, 91025 Evry Cedex (France)
2017-03-01
Highlights: • Functionalized nanostructured SPEs were made by multi-step diazonium salt chemistry. • Investigation of SPEs surface by XPS and NRA shows monolayer coverage by aminobenzyl groups. • Complete conversion of aminobenzyl groups into diazonium functions was also evidenced. • Covalent grafting of AuNPs onto SPEs lead to an unusual modification of Au-4f core level spectrum. • Ligand and lead signals showed the interest of nanostructurated SPEs for trace metals detection. - Abstract: An all covalent nanostructured lead sensor was built by the successive grafting of gold nanoparticles and carboxylic ligands at the surface of self-adhesive carbon screen-printed electrodes (SPEs). Surface analysis techniques were used in each step in order to investigate the structuration of this sensor. The self-adhesive surfaces were made from the electrochemical grafting of p-phenylenediamine at the surface of the SPEs via diazonium salts chemistry. The quantity of grafted aniline functions, estimated by Nuclear Reaction Analysis (NRA) performed with p-phenylenediamine labelled with {sup 15}N isotope, is in agreement with an almost complete coverage of the electrode surface. The subsequent diazotization of the aniline functions at the surface of the SPEs was performed; X-ray Photoelectron Spectroscopy (XPS) allowed us to consider a quantitative conversion of the aniline functions into diazonium moieties. The spontaneous grafting of gold nanoparticles on the as-obtained reactive surfaces ensures the nanostructuration of the material, and XPS studies showed that the covalent bonding of the gold nanoparticles at the surface of the SPEs induces a change both in the Au-4f (gold nanoparticles) and Cl-2p (carbon ink) core level signals. These unusual observations are explained by an interaction between the carbon ink constituting the substrate and the gold nanoparticles. Heavy and toxic metals are considered of major environmental concern because of their non
International Nuclear Information System (INIS)
Jasmin, Jean-Philippe; Miserque, Frédéric; Dumas, Eddy; Vickridge, Ian; Ganem, Jean-Jacques; Cannizzo, Caroline; Chaussé, Annie
2017-01-01
Highlights: • Functionalized nanostructured SPEs were made by multi-step diazonium salt chemistry. • Investigation of SPEs surface by XPS and NRA shows monolayer coverage by aminobenzyl groups. • Complete conversion of aminobenzyl groups into diazonium functions was also evidenced. • Covalent grafting of AuNPs onto SPEs lead to an unusual modification of Au-4f core level spectrum. • Ligand and lead signals showed the interest of nanostructurated SPEs for trace metals detection. - Abstract: An all covalent nanostructured lead sensor was built by the successive grafting of gold nanoparticles and carboxylic ligands at the surface of self-adhesive carbon screen-printed electrodes (SPEs). Surface analysis techniques were used in each step in order to investigate the structuration of this sensor. The self-adhesive surfaces were made from the electrochemical grafting of p-phenylenediamine at the surface of the SPEs via diazonium salts chemistry. The quantity of grafted aniline functions, estimated by Nuclear Reaction Analysis (NRA) performed with p-phenylenediamine labelled with "1"5N isotope, is in agreement with an almost complete coverage of the electrode surface. The subsequent diazotization of the aniline functions at the surface of the SPEs was performed; X-ray Photoelectron Spectroscopy (XPS) allowed us to consider a quantitative conversion of the aniline functions into diazonium moieties. The spontaneous grafting of gold nanoparticles on the as-obtained reactive surfaces ensures the nanostructuration of the material, and XPS studies showed that the covalent bonding of the gold nanoparticles at the surface of the SPEs induces a change both in the Au-4f (gold nanoparticles) and Cl-2p (carbon ink) core level signals. These unusual observations are explained by an interaction between the carbon ink constituting the substrate and the gold nanoparticles. Heavy and toxic metals are considered of major environmental concern because of their non
Nanostructured composite reinforced material
Seals, Roland D [Oak Ridge, TN; Ripley, Edward B [Knoxville, TN; Ludtka, Gerard M [Oak Ridge, TN
2012-07-31
A family of materials wherein nanostructures and/or nanotubes are incorporated into a multi-component material arrangement, such as a metallic or ceramic alloy or composite/aggregate, producing a new material or metallic/ceramic alloy. The new material has significantly increased strength, up to several thousands of times normal and perhaps substantially more, as well as significantly decreased weight. The new materials may be manufactured into a component where the nanostructure or nanostructure reinforcement is incorporated into the bulk and/or matrix material, or as a coating where the nanostructure or nanostructure reinforcement is incorporated into the coating or surface of a "normal" substrate material. The nanostructures are incorporated into the material structure either randomly or aligned, within grains, or along or across grain boundaries.
Metal-polymer composites comprising nanostructures and applications thereof
Wang, Hsing-Lin [Los Alamos, NM; Jeon, Sea Ho [Dracut, MA; Mack, Nathan H [Los Alamos, NM
2011-08-02
Metal-polymer composites, and methods of making and use thereof, said composites comprising a thermally-cured dense polyaniline substrate; an acid dopant; and, metal nanostructure deposits wherein the deposits have a morphology dependent upon the acid dopant.
Methods of making metal oxide nanostructures and methods of controlling morphology of same
Wong, Stanislaus S; Hongjun, Zhou
2012-11-27
The present invention includes a method of producing a crystalline metal oxide nanostructure. The method comprises providing a metal salt solution and providing a basic solution; placing a porous membrane between the metal salt solution and the basic solution, wherein metal cations of the metal salt solution and hydroxide ions of the basic solution react, thereby producing a crystalline metal oxide nanostructure.
Energy Technology Data Exchange (ETDEWEB)
Gueye, I.; Le Rhun, G.; Gergaud, P.; Renault, O. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Defay, E. [Luxembourg Institute of Science and Technology, Materials Research and Technology Department, 41 Rue du Brill, L-4422 Belvaux (Luxembourg); Barrett, N., E-mail: nick.barrett@cea.fr [SPEC, CEA, CNRS, Université Paris Saclay, F-91191 Gif-sur-Yvette (France)
2016-02-15
Highlights: • We have studied the effect of lead excess on the surface of PZT sol–gel films. • For low lead excess (10%) nanostructured surface phase is observed. • X-ray photoelectron spectroscopy shows that the surface phase is Zr oxide. - Abstract: We present a study of the chemistry of the nanostructured phase at the surface of lead zirconium titanate PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} (PZT) films synthesized by sol–gel method. In sol–gel synthesis, excess lead precursor is used to maintain the target stoichiometry. Surface nanostructures appear at 10% excess whereas 30% excess inhibits their formation. Using the surface-sensitive, quantitative X-ray photoelectron spectroscopy and glancing angle X-ray diffraction we have shown that the chemical composition of the nanostructures is ZrO{sub 1.82−1.89} rather than pyrochlore often described in the literature. The presence of a possibly discontinuous layer of wide band gap ZrO{sub 1.82−1.89} could be of importance in determining the electrical properties of PZT-based metal-insulator-metal heterostructures.
Zhang, Ying; Yang, Chengliang; Zhang, Guiyang; Peng, Zenghui; Yao, Lishuang; Wang, Qidong; Cao, Zhaoliang; Mu, Quanquan; Xuan, Li
2017-10-01
Flowerlike silver nanostructure substrates were fabricated in liquid crystalline phase and the distance dependent property of metal enhanced fluorescence for such substrate was studied for the first time. The distance between silver nanostructures and fluorophore was controlled by the well-established layer-by-layer (LbL) technique constructing alternate layers of poly (allylamine hydrochloride) (PAH) and poly (sodium 4-styrenesulfonate) (PSS). The Rhodamine 6G (R6G) molecules were electrostatically attached to the outmost negative charged PSS layer. The fluorescence enhancement factor of flowerlike nanostructure substrate increased firstly and then decreased with the distance increasing. The best enhanced fluorescence intensity of 71 fold was obtained at a distance of 5.2 nm from the surface of flowerlike silver nanostructure. The distance for best enhancement effect is an instructive parameter for the applications of such substrates and could be used in the practical MEF applications with the flowerlike nanostructure substrates fabricated in such way which is simple, controllable and cost-effective.
Min, Yuho; Seo, Ho Jun; Choi, Jong-Jin; Hahn, Byung-Dong; Moon, Geon Dae
2018-05-31
As the oxygen family, chalcogen (Se, Te) nanostructures have been considered important elements for various practical fields and further exploited to constitute metal chalcogenides for each targeted application. Here we report a controlled synthesis of well-defined one-dimensional chalcogen nanostructures such as nanowries, nanorods, and nanotubes by controlling reduction reaction rate to fine-tune the dimension and composition of the products. Tunable optical properties (localized surface plasmon resonances) of these chalcogen nanostructures are observed depending on their morphological, dimensional, and compositional variation. © 2018 IOP Publishing Ltd.
Saccharide-based Approach to Green Metallic Nanostructure Synthesis
DEFF Research Database (Denmark)
Engelbrekt, Christian; Sørensen, Karsten Holm; Jensen, Palle Skovhus
A green approach to solution synthesis of metallic nanoparticles has been developed using harmless and bioapplicable chemicals as well as moderate temperatures. Metal precursors are reduced by glucose/buffers and sterically stabilized by starch. The saccharide based procedure is highly diverse pr...... producing specifically a wide range of spherical, anisotropic, metallic, semi - conductor and core-shell nanostructures....
Development of a gold-nanostructured surface for amperometric genosensors
Energy Technology Data Exchange (ETDEWEB)
Zanardi, Chiara, E-mail: chiara.zanardi@unimore.it [Universita di Modena e Reggio Emilia, Dipartimento di Chimica (Italy); Baldoli, Clara, E-mail: clara.baldoli@istm.cnr.it [Istituto di Scienze e Tecnologie Molecolari del CNR (Italy); Licandro, Emanuela [Universita degli Studi di Milano, Dipartimento di Chimica Organica ed Industriale (Italy); Terzi, Fabio; Seeber, Renato [Universita di Modena e Reggio Emilia, Dipartimento di Chimica (Italy)
2012-10-15
A gold-nanostructured surface has been obtained by stable deposition of chemically synthesized gold nanoparticles (2.1-5.5 nm size range) on a gold substrate through a dithiol linker. The method proposed for the obtainment of the nanostructure is suitable for the further stable anchoring of a peptide nucleic acid oligomer through four amine groups of lysine terminal residues, leading to fairly reproducible systems. The geometric area of the nanostructured surface is compared with those of a smooth and of an electrochemically generated nanostructured surface by depositing a probe bearing an electrochemically active ferrocene residue. Despite the area of the two nanostructures being quite similar, the response toward a 2 nM target oligonucleotide sequence is particularly high when using the surface built up by nanoparticle deposition. This aspect indicates that morphologic details of the nanostructure play a key role in conditioning the performances of the genosensors.
Development of a gold-nanostructured surface for amperometric genosensors
International Nuclear Information System (INIS)
Zanardi, Chiara; Baldoli, Clara; Licandro, Emanuela; Terzi, Fabio; Seeber, Renato
2012-01-01
A gold-nanostructured surface has been obtained by stable deposition of chemically synthesized gold nanoparticles (2.1–5.5 nm size range) on a gold substrate through a dithiol linker. The method proposed for the obtainment of the nanostructure is suitable for the further stable anchoring of a peptide nucleic acid oligomer through four amine groups of lysine terminal residues, leading to fairly reproducible systems. The geometric area of the nanostructured surface is compared with those of a smooth and of an electrochemically generated nanostructured surface by depositing a probe bearing an electrochemically active ferrocene residue. Despite the area of the two nanostructures being quite similar, the response toward a 2 nM target oligonucleotide sequence is particularly high when using the surface built up by nanoparticle deposition. This aspect indicates that morphologic details of the nanostructure play a key role in conditioning the performances of the genosensors.
Controlled adsorption of cytochrome c to nanostructured gold surfaces
International Nuclear Information System (INIS)
Gomes, Inês; Feio, Maria J.; Santos, Nuno C.; Eaton, Peter; Serro, Ana Paula; Saramago, Benilde; Pereira, Eulália; Franco, Ricardo
2012-01-01
Controlled electrostatic physisorption of horse heart cytochrome c (Cyt c) onto nanostructured gold surfaces was investigated using Quartz-Crystal Microbalance measurements in planar gold surfaces with or without functionalization using a self-assembled monolayer (SAM) of the alkanethiol mercaptoundecanoic acid (MUA). MUA is a useful functionalization ligand for gold surfaces, shedding adsorbed biomolecules from the excessive electron density of the metal. A parallel analysis was conducted in the corresponding curved surfaces of 15 nm gold nanoparticles (AuNPs), using zeta-potential and UV– visible spectroscopy. Atomic Force Microscopy of both types of functionalized gold surfaces with a MUA SAM, allowed for visualization of Cyt c deposits on the nanostructured gold surface. The amount of Cyt c adsorbed onto the gold surface could be controlled by the solution pH. For the assays conducted at pH 4.5, when MUA SAM- functionalized planar gold surfaces are positive or neutral, and Cyt c has a positive net charge, only 13 % of the planar gold surface area was coated with protein. In contrast, at pH 7.4, when MUA SAM-functionalized planar gold surfaces and Cyt c have opposite charges, a protein coverage of 28 % could be observed implying an adsorption process strongly governed by electrostatic forces. Cyt c adsorption on planar and curved gold surfaces are found to be greatly favored by the presence of a MUA-capping layer. In particular, on the AuNPs, the binding constant is three times larger than the binding constant obtained for the original citrate-capped AuNPs.
Controlled adsorption of cytochrome c to nanostructured gold surfaces
Energy Technology Data Exchange (ETDEWEB)
Gomes, Ines [Faculdade de Ciencias e Tecnologia, Universidade Nova de Lisboa, REQUIMTE, Departamento de Quimica (Portugal); Feio, Maria J. [Faculdade de Ciencias da Universidade do Porto, REQUIMTE, Departamento de Quimica e Bioquimica (Portugal); Santos, Nuno C. [Faculdade de Medicina da Universidade de Lisboa, Instituto de Medicina Molecular (Portugal); Eaton, Peter [Faculdade de Ciencias da Universidade do Porto, REQUIMTE, Departamento de Quimica e Bioquimica (Portugal); Serro, Ana Paula; Saramago, Benilde [Centro de Quimica Estrutural, Instituto Superior Tecnico (Portugal); Pereira, Eulalia [Faculdade de Ciencias da Universidade do Porto, REQUIMTE, Departamento de Quimica e Bioquimica (Portugal); Franco, Ricardo, E-mail: ricardo.franco@fct.unl.pt [Faculdade de Ciencias e Tecnologia, Universidade Nova de Lisboa, REQUIMTE, Departamento de Quimica (Portugal)
2012-12-15
Controlled electrostatic physisorption of horse heart cytochrome c (Cyt c) onto nanostructured gold surfaces was investigated using Quartz-Crystal Microbalance measurements in planar gold surfaces with or without functionalization using a self-assembled monolayer (SAM) of the alkanethiol mercaptoundecanoic acid (MUA). MUA is a useful functionalization ligand for gold surfaces, shedding adsorbed biomolecules from the excessive electron density of the metal. A parallel analysis was conducted in the corresponding curved surfaces of 15 nm gold nanoparticles (AuNPs), using zeta-potential and UV- visible spectroscopy. Atomic Force Microscopy of both types of functionalized gold surfaces with a MUA SAM, allowed for visualization of Cyt c deposits on the nanostructured gold surface. The amount of Cyt c adsorbed onto the gold surface could be controlled by the solution pH. For the assays conducted at pH 4.5, when MUA SAM- functionalized planar gold surfaces are positive or neutral, and Cyt c has a positive net charge, only 13 % of the planar gold surface area was coated with protein. In contrast, at pH 7.4, when MUA SAM-functionalized planar gold surfaces and Cyt c have opposite charges, a protein coverage of 28 % could be observed implying an adsorption process strongly governed by electrostatic forces. Cyt c adsorption on planar and curved gold surfaces are found to be greatly favored by the presence of a MUA-capping layer. In particular, on the AuNPs, the binding constant is three times larger than the binding constant obtained for the original citrate-capped AuNPs.
Composite materials formed with anchored nanostructures
Seals, Roland D; Menchhofer, Paul A; Howe, Jane Y; Wang, Wei
2015-03-10
A method of forming nano-structure composite materials that have a binder material and a nanostructure fiber material is described. A precursor material may be formed using a mixture of at least one metal powder and anchored nanostructure materials. The metal powder mixture may be (a) Ni powder and (b) NiAl powder. The anchored nanostructure materials may comprise (i) NiAl powder as a support material and (ii) carbon nanotubes attached to nanoparticles adjacent to a surface of the support material. The process of forming nano-structure composite materials typically involves sintering the mixture under vacuum in a die. When Ni and NiAl are used in the metal powder mixture Ni.sub.3Al may form as the binder material after sintering. The mixture is sintered until it consolidates to form the nano-structure composite material.
Study of UV surface plasmons on metallic nanostructures and its applications to nanophotonics
Zhou, Liangcheng
Modern nanotechnology requires the characterization ability in the order of 100 nm or smaller. This resolution requirement cannot be met by using conventional optical microscopy. Nowadays, the mainstream technique that is universally adopted to characterize optical properties on this length scale is Near-field Scanning Optical Microscopy (NSOM). In the effort to improve the resolution and efficiency of NSOM techniques, both nanoscopic fabrication and imaging techniques are critical because the light field strongly intereacts with the metallic NSOM probe or other surfaces to form surface plasmons (SPs). However, much is still unknown about the behavior of light interacting with metallic nanostructures. This calls for research that develops the tool set, methodology and that includes both experimental characterization, and numerical simulations, for the investigation of SPs. The short wavelength of UV light makes it particularly desirable for many industrial processes. So far, little research has been carried out to understand surface plasmon in the UV spectral region. Like conventional optics, UV SPs have unique properties and optical behavior. For this purpose, we modified our existing NSOM into a Photon Scanning Tunneling Microscope (PTSM) and demonstrate its power for the imaging of UV SPs. We present what we believe to be the first direct mapping of the UV SPs on an Al2O3/Al surface. UV SP modes launched by one-dimensional slits or two-dimensional groove arrays and corresponding interference phenomenon were both observed. We then use the same methodology in the engineering of optimized nano aperture such as UV bowtie nanoantenna. For the latter, we find a strong UV intensity profile which is localized to less than 50nm caused by a localized surface plasmon resonance. The relationship of optical field enhancement and antenna geometric shape is studied using numerical simulations and NSOM experiments. In another project, we examine the propagation of light from
Tempas, Christopher D.
Self-assembled nanostructures at surfaces show promise for the development of next generation technologies including organic electronic devices and heterogeneous catalysis. In many cases, the functionality of these nanostructures is not well understood. This thesis presents strategies for the structural design of new on-surface metal-organic networks and probes their chemical reactivity. It is shown that creating uniform metal sites greatly increases selectivity when compared to ligand-free metal islands. When O2 reacts with single-site vanadium centers, in redox-active self-assembled coordination networks on the Au(100) surface, it forms one product. When O2 reacts with vanadium metal islands on the same surface, multiple products are formed. Other metal-organic networks described in this thesis include a mixed valence network containing Pt0 and PtII and a network where two Fe centers reside in close proximity. This structure is stable to temperatures >450 °C. These new on-surface assemblies may offer the ability to perform reactions of increasing complexity as future heterogeneous catalysts. The functionalization of organic semiconductor molecules is also shown. When a few molecular layers are grown on the surface, it is seen that the addition of functional groups changes both the film's structure and charge transport properties. This is due to changes in both first layer packing structure and the pi-electron distribution in the functionalized molecules compared to the original molecule. The systems described in this thesis were studied using high-resolution scanning tunneling microscopy, non-contact atomic force microscopy, and X-ray photoelectron spectroscopy. Overall, this work provides strategies for the creation of new, well-defined on-surface nanostructures and adds additional chemical insight into their properties.
Electrochemical characterization of organosilane-functionalized nanostructured ITO surfaces
Energy Technology Data Exchange (ETDEWEB)
Pruna, R., E-mail: rpruna@el.ub.edu; Palacio, F.; López, M. [SIC, Departament d' Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain); Pérez, J. [Nanobioengineering Group, Institute for Bioengineering of Catalonia (IBEC), Baldiri Reixac 15-21, E-08028 Barcelona (Spain); Mir, M. [Nanobioengineering Group, Institute for Bioengineering of Catalonia (IBEC), Baldiri Reixac 15-21, E-08028 Barcelona (Spain); Centro de Investigación Biomédica en Red en Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN), Monforte de Lemos 3-5 Pabellón 11, E-28029 Madrid (Spain); Blázquez, O.; Hernández, S.; Garrido, B. [MIND-IN" 2UB, Departament d' Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain)
2016-08-08
The electroactivity of nanostructured indium tin oxide (ITO) has been investigated for its further use in applications such as sensing biological compounds by the analysis of redox active molecules. ITO films were fabricated by using electron beam evaporation at different substrate temperatures and subsequently annealed for promoting their crystallization. The morphology of the deposited material was monitored by scanning electron microscopy, confirming the deposition of either thin films or nanowires, depending on the substrate temperature. Electrochemical surface characterization revealed a 45 % increase in the electroactive surface area of nanostructured ITO with respect to thin films, one third lower than the geometrical surface area variation determined by atomic force microscopy. ITO surfaces were functionalized with a model organic molecule known as 6-(ferrocenyl)hexanethiol. The chemical attachment was done by means of a glycidoxy compound containing a reactive epoxy group, the so-called 3-glycidoxypropyltrimethoxy-silane. ITO functionalization was useful for determining the benefits of nanostructuration on the surface coverage of active molecules. Compared to ITO thin films, an increase in the total peak height of 140 % was observed for as-deposited nanostructured electrodes, whereas the same measurement for annealed electrodes resulted in an increase of more than 400 %. These preliminary results demonstrate the ability of nanostructured ITO to increase the surface-to-volume ratio, conductivity and surface area functionalization, features that highly benefit the performance of biosensors.
Electrochemical characterization of organosilane-functionalized nanostructured ITO surfaces
International Nuclear Information System (INIS)
Pruna, R.; Palacio, F.; López, M.; Pérez, J.; Mir, M.; 2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (MIND-IN2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" >Blázquez, O.; 2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (MIND-IN2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" >Hernández, S.; 2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (MIND-IN2UB, Departament d'Enginyeries: Electrònica, Universitat de Barcelona, C/ Martí i Franquès 1, E-08028 Barcelona (Spain))" >Garrido, B.
2016-01-01
The electroactivity of nanostructured indium tin oxide (ITO) has been investigated for its further use in applications such as sensing biological compounds by the analysis of redox active molecules. ITO films were fabricated by using electron beam evaporation at different substrate temperatures and subsequently annealed for promoting their crystallization. The morphology of the deposited material was monitored by scanning electron microscopy, confirming the deposition of either thin films or nanowires, depending on the substrate temperature. Electrochemical surface characterization revealed a 45 % increase in the electroactive surface area of nanostructured ITO with respect to thin films, one third lower than the geometrical surface area variation determined by atomic force microscopy. ITO surfaces were functionalized with a model organic molecule known as 6-(ferrocenyl)hexanethiol. The chemical attachment was done by means of a glycidoxy compound containing a reactive epoxy group, the so-called 3-glycidoxypropyltrimethoxy-silane. ITO functionalization was useful for determining the benefits of nanostructuration on the surface coverage of active molecules. Compared to ITO thin films, an increase in the total peak height of 140 % was observed for as-deposited nanostructured electrodes, whereas the same measurement for annealed electrodes resulted in an increase of more than 400 %. These preliminary results demonstrate the ability of nanostructured ITO to increase the surface-to-volume ratio, conductivity and surface area functionalization, features that highly benefit the performance of biosensors.
Ultrafast direct imprinting of nanostructures in metals by pulsed laser melting
International Nuclear Information System (INIS)
Cui Bo; Keimel, Chris; Chou, Stephen Y
2010-01-01
We report a method of one-step direct patterning of metallic nanostructures. In the method, termed laser assisted direct imprinting (LADI), the surface of a metal film on a substrate is melted by a single excimer laser pulse and subsequently imprinted within ∼100 ns using a transparent quartz mold, while the substrate is kept at a low temperature and in a solid phase. Using LADI, we imprinted gratings with ∼100 nm linewidth, 100 nm depth, and 200 nm pitch, as well as isolated mesas of ∼20 μm size, in Al, Au, Cu and Ni thin films. We found that the quartz mold was able to imprint metals even at temperatures higher than its melting point. The technique could be extended to other metals regardless of their ductility and hardness, and would find applications in photonic and plasmonic device production.
Cherepanov, Pavel V; Andreeva, Daria V
2017-03-01
High intensity ultrasound (HIUS) is a novel and efficient tool for top-down nanostructuring of multi-phase metal systems. Ultrasound-assisted structuring of the phase in metal alloys relies on two main mechanisms including interfacial red/ox reactions and temperature driven solid state phase transformations which affect surface composition and morphology of metals. Physical and chemical properties of sonication medium strongly affects the structuring pathways as well as morphology and composition of catalysts. HIUS can serve as a simple, fast, and effective approach for the tuning of structure and surface properties of metal particles, opening the new perspectives in design of robust and efficient catalysts. Copyright © 2016 Elsevier B.V. All rights reserved.
Synthesis and characterization of ZnO nanostructures on noble-metal coated substrates
Energy Technology Data Exchange (ETDEWEB)
Dikovska, A.Og. [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tsarigradsko Chaussee, Sofia 1784 (Bulgaria); Atanasova, G.B. [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl. 11, 1113 Sofia (Bulgaria); Avdeev, G.V. [Rostislaw Kaischew Institute of Physical Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl. 11, 1113 Sofia (Bulgaria); Nedyalkov, N.N. [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tsarigradsko Chaussee, Sofia 1784 (Bulgaria)
2016-06-30
Highlights: • ZnO nanostructures were fabricated on Au–Ag alloy coated silicon substrates by applying pulsed laser deposition. • Morphology of the ZnO nanostructures was related to the Au–Ag alloy content in the catalyst layer. • Increasing the Ag content in Au–Ag catalyst layer changes the morphology of the ZnO nanostructures from nanorods to nanobelts. - Abstract: In this work, ZnO nanostructures were fabricated on noble-metal (Au, Ag and Au–Ag alloys) coated silicon substrates by applying pulsed laser deposition. The samples were prepared at a substrate temperature of 550 °C, an oxygen pressure of 5 Pa, and a laser fluence of 2 J cm{sup −2} – process parameters usually used for deposition of smooth and dense thin films. The metal layer's role is substantial for the preparation of nanostructures. Heating of the substrate changed the morphology of the metal layer and, subsequently, nanoparticles were formed. The use of different metal particles resulted in different morphologies and properties of the ZnO nanostructures synthesized. The morphology of the ZnO nanostructures was related to the Au–Ag alloy's content of the catalyst layer. It was found that the morphology of the ZnO nanostructures evolved from nanorods to nanobelts as the ratio of Au/Ag in the alloy catalyst was varied. The use of a small quantity of Ag in the Au–Ag catalyst (Au{sub 3}Ag) layer resulted predominantly in the deposition of ZnO nanorods. A higher Ag content in the catalyst alloy (AuAg{sub 2}) layer resulted in the growth of a dense structure of ZnO nanobelts.
Size-dependent electronic properties of metal nanostructures
Indian Academy of Sciences (India)
First page Back Continue Last page Overview Graphics. Size-dependent electronic properties of metal nanostructures. G.U. Kulkarni. Chemistry and Physics of Materials Unit. Jawaharlal Nehru Centre for Advanced Scientific Research. Bangalore, India. kulkarni@jncasr.ac.in.
Radiation damage in nanostructured metallic films
Yu, Kaiyuan
High energy neutron and charged particle radiation cause microstructural and mechanical degradation in structural metals and alloys, such as phase segregation, void swelling, embrittlement and creep. Radiation induced damages typically limit nuclear materials to a lifetime of about 40 years. Next generation nuclear reactors require materials that can sustain over 60 - 80 years. Therefore it is of great significance to explore new materials with better radiation resistance, to design metals with favorable microstructures and to investigate their response to radiation. The goals of this thesis are to study the radiation responses of several nanostructured metallic thin film systems, including Ag/Ni multilayers, nanotwinned Ag and nanocrystalline Fe. Such systems obtain high volume fraction of boundaries, which are considered sinks to radiation induced defects. From the viewpoint of nanomechanics, it is of interest to investigate the plastic deformation mechanisms of nanostructured films, which typically show strong size dependence. By controlling the feature size (layer thickness, twin spacing and grain size), it is applicable to picture a deformation mechanism map which also provides prerequisite information for subsequent radiation hardening study. And from the viewpoint of radiation effects, it is of interest to explore the fundamentals of radiation response, to examine the microstructural and mechanical variations of irradiated nanometals and to enrich the design database. More importantly, with the assistance of in situ techniques, it is appealing to examine the defect generation, evolution, annihilation, absorption and interaction with internal interfaces (layer interfaces, twin boundaries and grain boundaries). Moreover, well-designed nanostructures can also verify the speculation that radiation induced defect density and hardening show clear size dependence. The focus of this thesis lies in the radiation response of Ag/Ni multilayers and nanotwinned Ag
Silicon-embedded copper nanostructure network for high energy storage
Yu, Tianyue
2016-03-15
Provided herein are nanostructure networks having high energy storage, electrochemically active electrode materials including nanostructure networks having high energy storage, as well as electrodes and batteries including the nanostructure networks having high energy storage. According to various implementations, the nanostructure networks have high energy density as well as long cycle life. In some implementations, the nanostructure networks include a conductive network embedded with electrochemically active material. In some implementations, silicon is used as the electrochemically active material. The conductive network may be a metal network such as a copper nanostructure network. Methods of manufacturing the nanostructure networks and electrodes are provided. In some implementations, metal nanostructures can be synthesized in a solution that contains silicon powder to make a composite network structure that contains both. The metal nanostructure growth can nucleate in solution and on silicon nanostructure surfaces.
Silicon-embedded copper nanostructure network for high energy storage
Energy Technology Data Exchange (ETDEWEB)
Yu, Tianyue
2018-01-23
Provided herein are nanostructure networks having high energy storage, electrochemically active electrode materials including nanostructure networks having high energy storage, as well as electrodes and batteries including the nanostructure networks having high energy storage. According to various implementations, the nanostructure networks have high energy density as well as long cycle life. In some implementations, the nanostructure networks include a conductive network embedded with electrochemically active material. In some implementations, silicon is used as the electrochemically active material. The conductive network may be a metal network such as a copper nanostructure network. Methods of manufacturing the nanostructure networks and electrodes are provided. In some implementations, metal nanostructures can be synthesized in a solution that contains silicon powder to make a composite network structure that contains both. The metal nanostructure growth can nucleate in solution and on silicon nanostructure surfaces.
Mattox, Tracy M.; Koo, Bonil; Garcia, Guillermo; Milliron, Delia J.; Trizio, Luca De; Dahlman, Clayton
2017-10-10
An electrochromic device includes a nanostructured transition metal oxide bronze layer that includes one or more transition metal oxide and one or more dopant, a solid state electrolyte, and a counter electrode. The nanostructured transition metal oxide bronze selectively modulates transmittance of near-infrared (NIR) spectrum and visible spectrum radiation as a function of an applied voltage to the device.
Synthesis of vertically aligned metal oxide nanostructures
Roqan, Iman S.; Flemban, Tahani H.
2016-01-01
ablation of a target including a metal oxide and, optionally, a dopant. In some embodiments zinc oxide nanostructures are deposited onto a substrate by pulsed laser deposition of a zinc oxide target using an excimer laser emitting UV radiation. The zinc
Directory of Open Access Journals (Sweden)
Christian Obermair
2012-12-01
Full Text Available We recently introduced a method that allows the controlled deposition of nanoscale metallic patterns at defined locations using the tip of an atomic force microscope (AFM as a “mechano-electrochemical pen”, locally activating a passivated substrate surface for site-selective electrochemical deposition. Here, we demonstrate the reversibility of this process and study the long-term stability of the resulting metallic structures. The remarkable stability for more than 1.5 years under ambient air without any observable changes can be attributed to self-passivation. After AFM-activated electrochemical deposition of copper nanostructures on a polycrystalline gold film and subsequent AFM imaging, the copper nanostructures could be dissolved by reversing the electrochemical potential. Subsequent AFM-tip-activated deposition of different copper nanostructures at the same location where the previous structures were deleted, shows that there is no observable memory effect, i.e., no effect of the previous writing process on the subsequent writing process. Thus, the four processes required for reversible information storage, “write”, “read”, “delete” and “re-write”, were successfully demonstrated on the nanometer scale.
Obermair, Christian; Kress, Marina; Wagner, Andreas; Schimmel, Thomas
2012-01-01
We recently introduced a method that allows the controlled deposition of nanoscale metallic patterns at defined locations using the tip of an atomic force microscope (AFM) as a "mechano-electrochemical pen", locally activating a passivated substrate surface for site-selective electrochemical deposition. Here, we demonstrate the reversibility of this process and study the long-term stability of the resulting metallic structures. The remarkable stability for more than 1.5 years under ambient air without any observable changes can be attributed to self-passivation. After AFM-activated electrochemical deposition of copper nanostructures on a polycrystalline gold film and subsequent AFM imaging, the copper nanostructures could be dissolved by reversing the electrochemical potential. Subsequent AFM-tip-activated deposition of different copper nanostructures at the same location where the previous structures were deleted, shows that there is no observable memory effect, i.e., no effect of the previous writing process on the subsequent writing process. Thus, the four processes required for reversible information storage, "write", "read", "delete" and "re-write", were successfully demonstrated on the nanometer scale.
Interfacial scanning tunneling spectroscopy (STS) of chalcogenide/metal hybrid nanostructure
Energy Technology Data Exchange (ETDEWEB)
Saad, Mahmoud M.; Abdallah, Tamer [Physics Department, Faculty of Science, Ain Shams University, Abbassia, Cairo (Egypt); Easawi, Khalid; Negm, Sohair [Department of Physics and Mathematics, Faculty of Engineering (Shoubra), Benha University (Egypt); Talaat, Hassan, E-mail: hassantalaat@hotmail.com [Physics Department, Faculty of Science, Ain Shams University, Abbassia, Cairo (Egypt)
2015-05-15
Graphical abstract: - Highlights: • Comparing band gaps values obtained optically with STS. • Comparing direct imaging with calculated dimensions. • STS determination of the interfacial band bending of metal/chalcogenide. - Abstract: The electronic structure at the interface of chalcogenide/metal hybrid nanostructure (CdSe–Au tipped) had been studied by UHV scanning tunneling spectroscopy (STS) technique at room temperature. This nanostructure was synthesized by a phase transfer chemical method. The optical absorption of this hybrid nanostructure was recorded, and the application of the effective mass approximation (EMA) model gave dimensions that were confirmed by the direct measurements using the scanning tunneling microscopy (STM) as well as the high-resolution transmission electron microscope (HRTEM). The energy band gap obtained by STS agrees with the values obtained from the optical absorption. Moreover, the STS at the interface of CdSe–Au tipped hybrid nanostructure between CdSe of size about 4.1 ± 0.19 nm and Au tip of size about 3.5 ± 0.29 nm shows a band bending about 0.18 ± 0.03 eV in CdSe down in the direction of the interface. Such a result gives a direct observation of the electron accumulation at the interface of CdSe–Au tipped hybrid nanostructure, consistent with its energy band diagram. The presence of the electron accumulation at the interface of chalcogenides with metals has an important implication for hybrid nanoelectronic devices and the newly developed plasmon/chalcogenide photovoltaic solar energy conversion.
International Nuclear Information System (INIS)
Zhu Jintao; Jiang Wei
2007-01-01
Various metallized nanostructures (such as rings, wires with controllable lengths, spheres) have been successfully fabricated by coating metallic nanolayers onto soft nanotemplates through simple electroless methods. In particular, bimetallic nanostructures have been obtained by using simple methods. The multiple functional polymeric nanostructures were obtained through the self-assembly of polystyrene/poly(4-vinyl pyridine) triblock copolymer (P4VP-b-PS-b-P4VP) in selective media by changing the common solvent properties. By combining field emission scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) characterization, it was confirmed that polymer/metal and bimetallic (Au at Ag) core-shell nanostructures could be achieved by chemical metal deposition method
Nanoscale surface modifications of medically relevant metals: state-of-the art and perspectives
Variola, Fabio; Brunski, John B.; Orsini, Giovanna; Tambasco de Oliveira, Paulo; Wazen, Rima; Nanci, Antonio
2011-02-01
Evidence that nanoscale surface properties stimulate and guide various molecular and biological processes at the implant/tissue interface is fostering a new trend in designing implantable metals. Cutting-edge expertise and techniques drawn from widely separated fields, such as nanotechnology, materials engineering and biology, have been advantageously exploited to nanoengineer surfaces in ways that control and direct these processes in predictable manners. In this review, we present and discuss the state-of-the-art of nanotechnology-based approaches currently adopted to modify the surface of metals used for orthopedic and dental applications, and also briefly consider their use in the cardiovascular field. The effects of nanoengineered surfaces on various in vitro molecular and cellular events are firstly discussed. This review also provides an overview of in vivo and clinical studies with nanostructured metallic implants, and addresses the potential influence of nanotopography on biomechanical events at interfaces. Ultimately, the objective of this work is to give the readership a comprehensive picture of the current advances, future developments and challenges in the application of the infinitesimally small to biomedical surface science. We believe that an integrated understanding of the in vitro and particularly of the in vivo behavior is mandatory for the proper exploitation of nanostructured implantable metals and, indeed, of all biomaterials.
Xie, Zhiqiang; Xu, Wangwang; Cui, Xiaodan; Wang, Ying
2017-04-22
Metal-organic frameworks (MOFs), as a very promising category of porous materials, have attracted increasing interest from research communities due to their extremely high surface areas, diverse nanostructures, and unique properties. In recent years, there is a growing body of evidence to indicate that MOFs can function as ideal templates to prepare various nanostructured materials for energy and environmental cleaning applications. Recent progress in the design and synthesis of MOFs and MOF-derived nanomaterials for particular applications in lithium-ion batteries, sodium-ion batteries, supercapacitors, dye-sensitized solar cells, and heavy-metal-ion detection and removal is reviewed herein. In addition, the remaining major challenges in the above fields are discussed and some perspectives for future research efforts in the development of MOFs are also provided. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
Emerging Science and Research Opportunities for Metals and Metallic Nanostructures
Handwerker, Carol A.; Pollock, Tresa M.
2014-07-01
During the next decade, fundamental research on metals and metallic nanostructures (MMNs) has the potential to continue transforming metals science into innovative materials, devices, and systems. A workshop to identify emerging and potentially transformative research areas in MMNs was held June 13 and 14, 2012, at the University of California Santa Barbara. There were 47 attendees at the workshop (listed in the Acknowledgements section), representing a broad range of academic institutions, industry, and government laboratories. The metals and metallic nanostructures (MMNs) workshop aimed to identify significant research trends, scientific fundamentals, and recent breakthroughs that can enable new or enhanced MMN performance, either alone or in a more complex materials system, for a wide range of applications. Additionally, the role that MMN research can play in high-priority research and development (R&D) areas such as the U.S. Materials Genome Initiative, the National Nanotechnology Initiative, the Advanced Manufacturing Initiative, and other similar initiatives that exist internationally was assessed. The workshop also addressed critical issues related to materials research instrumentation and the cyberinfrastructure for materials science research and education, as well as science, technology, engineering, and mathematics (STEM) workforce development, with emphasis on the United States but with an appreciation that similar challenges and opportunities for the materials community exist internationally. A central theme of the workshop was that research in MMNs has provided and will continue to provide societal benefits through the integration of experiment, theory, and simulation to link atomistic, nanoscale, microscale, and mesoscale phenomena across time scales for an ever-widening range of applications. Within this overarching theme, the workshop participants identified emerging research opportunities that are categorized and described in more detail in the
Nanostructured carbon-metal oxide composite electrodes for supercapacitors: a review
Zhi, Mingjia; Xiang, Chengcheng; Li, Jiangtian; Li, Ming; Wu, Nianqiang
2012-12-01
This paper presents a review of the research progress in the carbon-metal oxide composites for supercapacitor electrodes. In the past decade, various carbon-metal oxide composite electrodes have been developed by integrating metal oxides into different carbon nanostructures including zero-dimensional carbon nanoparticles, one-dimensional nanostructures (carbon nanotubes and carbon nanofibers), two-dimensional nanosheets (graphene and reduced graphene oxides) as well as three-dimensional porous carbon nano-architectures. This paper has described the constituent, the structure and the properties of the carbon-metal oxide composites. An emphasis is placed on the synergistic effects of the composite on the performance of supercapacitors in terms of specific capacitance, energy density, power density, rate capability and cyclic stability. This paper has also discussed the physico-chemical processes such as charge transport, ion diffusion and redox reactions involved in supercapacitors.
Nanostructured carbon-metal oxide composite electrodes for supercapacitors: a review.
Zhi, Mingjia; Xiang, Chengcheng; Li, Jiangtian; Li, Ming; Wu, Nianqiang
2013-01-07
This paper presents a review of the research progress in the carbon-metal oxide composites for supercapacitor electrodes. In the past decade, various carbon-metal oxide composite electrodes have been developed by integrating metal oxides into different carbon nanostructures including zero-dimensional carbon nanoparticles, one-dimensional nanostructures (carbon nanotubes and carbon nanofibers), two-dimensional nanosheets (graphene and reduced graphene oxides) as well as three-dimensional porous carbon nano-architectures. This paper has described the constituent, the structure and the properties of the carbon-metal oxide composites. An emphasis is placed on the synergistic effects of the composite on the performance of supercapacitors in terms of specific capacitance, energy density, power density, rate capability and cyclic stability. This paper has also discussed the physico-chemical processes such as charge transport, ion diffusion and redox reactions involved in supercapacitors.
Xiu, Yonghao
In our study, the superhydrophobic surface based on biomimetic lotus leave is explored to maintain the desired properties for self-cleaning. Parameters in controlling bead-up and roll-off characteristics of water droplets were investigated on different model surfaces. The governing equations were proposed. Heuristic study is performed. First, the fundamental understanding of the effect of roughness on superhydrophobicity is performed. The effect of hierarchical roughness, i.e., two scale roughness effect on roughness is investigated using systems of (1) monodisperse colloidal silica sphere (submicron) arrays and Au nanoparticle on top and (2) Si micrometer pyramids and Si nanostructures on top from KOH etching and metal assisted etching of Si. The relation between the contact area fraction and water droplet contact angles are derived based on Wenzel and Cassie-Baxter equation for the systems and the two scale effect is explained regarding the synergistic combination of two scales. Previously the microscopic three-phase-contact line is thought to be the key factor in determining contact angles and hystereses. In our study, Laplace pressure was brought up and related to the three-phase-contact line and taken as a key figure of merit in determining superhydrophobicity. In addition, we are one of the first to study the effect of tapered structures (wall inclination). Combining with a second scale roughness on the tapered structures, stable Cassie state for both water and low surface energy oil may be achieved. This is of great significance for designing both superhydrophobicity and superoleophobicity. Regarding the origin of contact angle hysteresis, study of superhydrophobicity on micrometer Si pillars was performed. The relation between the interface work of function and contact angle hysteresis was proposed and derived mathematically based on the Young-Dupre equation. The three-phase-contact line was further related to a secondary scale roughness induced. Based on
Amontonian frictional behaviour of nanostructured surfaces.
Pilkington, Georgia A; Thormann, Esben; Claesson, Per M; Fuge, Gareth M; Fox, Oliver J L; Ashfold, Michael N R; Leese, Hannah; Mattia, Davide; Briscoe, Wuge H
2011-05-28
With nanotextured surfaces and interfaces increasingly being encountered in technological and biomedical applications, there is a need for a better understanding of frictional properties involving such surfaces. Here we report friction measurements of several nanostructured surfaces using an Atomic Force Microscope (AFM). These nanostructured surfaces provide well defined model systems on which we have tested the applicability of Amontons' laws of friction. Our results show that Amontonian behaviour is observed with each of the surfaces studied. However, no correlation has been found between measured friction and various surface roughness parameters such as average surface roughness (R(a)) and root mean squared (rms) roughness. Instead, we propose that the friction coefficient may be decomposed into two contributions, i.e., μ = μ(0) + μ(g), with the intrinsic friction coefficient μ(0) accounting for the chemical nature of the surfaces and the geometric friction coefficient μ(g) for the presence of nanotextures. We have found a possible correlation between μ(g) and the average local slope of the surface nanotextures. This journal is © the Owner Societies 2011
Computational design of surfaces, nanostructures and optoelectronic materials
Choudhary, Kamal
Properties of engineering materials are generally influenced by defects such as point defects (vacancies, interstitials, substitutional defects), line defects (dislocations), planar defects (grain boundaries, free surfaces/nanostructures, interfaces, stacking faults) and volume defects (voids). Classical physics based molecular dynamics and quantum physics based density functional theory can be useful in designing materials with controlled defect properties. In this thesis, empirical potential based molecular dynamics was used to study the surface modification of polymers due to energetic polyatomic ion, thermodynamics and mechanics of metal-ceramic interfaces and nanostructures, while density functional theory was used to screen substituents in optoelectronic materials. Firstly, polyatomic ion-beams were deposited on polymer surfaces and the resulting chemical modifications of the surface were examined. In particular, S, SC and SH were deposited on amorphous polystyrene (PS), and C2H, CH3, and C3H5 were deposited on amorphous poly (methyl methacrylate) (PMMA) using molecular dynamics simulations with classical reactive empirical many-body (REBO) potentials. The objective of this work was to elucidate the mechanisms by which the polymer surface modification took place. The results of the work could be used in tailoring the incident energy and/or constituents of ion beam for obtaining a particular chemistry inside the polymer surface. Secondly, a new Al-O-N empirical potential was developed within the charge optimized many body (COMB) formalism. This potential was then used to examine the thermodynamic stability of interfaces and mechanical properties of nanostructures composed of aluminum, its oxide and its nitride. The potentials were tested for these materials based on surface energies, defect energies, bulk phase stability, the mechanical properties of the most stable bulk phase, its phonon properties as well as with a genetic algorithm based evolution theory of
Nanostructural self-organization and dynamic adaptation of metal-polymer tribosystems
Mashkov, Yu. K.
2017-02-01
The results of investigating the effect of nanosize modifiers of a polymer matrix on the nanostructural self-organization of polymer composites and dynamic adaptation of metal-polymer tribosystems, which considerably affect the wear resistance of polymer composite materials, have been analyzed. It has been shown that the physicochemical nanostructural self-organization processes are developed in metal-polymer tribosystems with the formation of thermotropic liquid-crystal structures of the polymer matrix, followed by the transition of the system to the stationary state with a negative feedback that ensures dynamic adaptation of the tribosystem to given operating conditions.
Chen, Hongjun; Wang, Yuling; Dong, Shaojun
2007-12-10
In this article, we demonstrate an effective hydrothermal route for the synthesis of multiple PDDA-protected (PDDA = poly(diallyl dimethylammonium) chloride) noble-metal (including silver, platinum, palladium, and gold) nanostructures in the absence of any seeds and surfactants, in which PDDA, an ordinary and water-soluble polyelectrolyte, acts as both a reducing and a stabilizing agent. Under optimal experimental conditions, Ag nanocubes, Pt and Pd nanopolyhedrons, and Au nanoplates can be obtained, which were characterized by transmission electron microscopy , scanning electron microscopy, energy-dispersive spectroscopy, and X-ray diffraction. More importantly, the nanostructures synthesized show potential applications in surface-enhanced Raman scattering and electrocatalysis, in which Ag nanocubes and Pt nanopolyhedrons were chosen as the examples, respectively.
International Nuclear Information System (INIS)
Xia Youyi
2011-01-01
We describe a prevalent method of synthesizing one-dimensional (1D) noble metal nanostructures (silver nanobelts and palladium nanowires) by treatment of corresponding noble metal ions only in the presence of the conductive sulfonated polyaniline without using any other reducing agents or energies. The results show that the sulfonated polyaniline provides the dual reductant and “soft template” roles to promoting noble metal ions to form shape-controlled 1D noble metal nanostructures in high yield. The employed approach may also shed some light on the preparation of other noble metal nanostructure by using conductive polymer.
Energy Technology Data Exchange (ETDEWEB)
Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)
2002-10-21
Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)
Wetting characteristics of 3-dimensional nanostructured fractal surfaces
Davis, Ethan; Liu, Ying; Jiang, Lijia; Lu, Yongfeng; Ndao, Sidy
2017-01-01
This article reports the fabrication and wetting characteristics of 3-dimensional nanostructured fractal surfaces (3DNFS). Three distinct 3DNFS surfaces, namely cubic, Romanesco broccoli, and sphereflake were fabricated using two-photon direct laser writing. Contact angle measurements were performed on the multiscale fractal surfaces to characterize their wetting properties. Average contact angles ranged from 66.8° for the smooth control surface to 0° for one of the fractal surfaces. The change in wetting behavior was attributed to modification of the interfacial surface properties due to the inclusion of 3-dimensional hierarchical fractal nanostructures. However, this behavior does not exactly obey existing surface wetting models in the literature. Potential applications for these types of surfaces in physical and biological sciences are also discussed.
DEFF Research Database (Denmark)
Eriksen, René Lynge; Pors, Anders; Dreier, Jes
2010-01-01
We propose a simple and reproducible method for fabricating large area metal films with inter-connected nanostructures using a combination of colloidal lithography, metal deposition and a template stripping technique. The method is generic in the sense that it is possible to produce a variety...... to fabricate metal films with inter-connected nanostructures consisting of either partial spherical shells or the inverted structures: spherical cavities. The substrates are characterized by optical reflectance and transmittance spectroscopy. We demonstrate, in the case of partial spherical shells...
Nanostructure Diffraction Gratings for Integrated Spectroscopy and Sensing
Guo, Junpeng (Inventor)
2016-01-01
The present disclosure pertains to metal or dielectric nanostructures of the subwavelength scale within the grating lines of optical diffraction gratings. The nanostructures have surface plasmon resonances or non-plasmon optical resonances. A linear photodetector array is used to capture the resonance spectra from one of the diffraction orders. The combined nanostructure super-grating and photodetector array eliminates the use of external optical spectrometers for measuring surface plasmon or optical resonance frequency shift caused by the presence of chemical and biological agents. The nanostructure super-gratings can be used for building integrated surface enhanced Raman scattering (SERS) spectrometers. The nanostructures within the diffraction grating lines enhance Raman scattering signal light while the diffraction grating pattern of the nanostructures diffracts Raman scattering light to different directions of propagation according to their wavelengths. Therefore, the nanostructure super-gratings allows for the use of a photodetector array to capture the surface enhanced Raman scattering spectra.
The surface nanostructures of titanium alloy regulate the proliferation of endothelial cells
Directory of Open Access Journals (Sweden)
Min Lai
2014-02-01
Full Text Available To investigate the effect of surface nanostructures on the behaviors of human umbilical vein endothelial cells (HUVECs, surface nanostructured titanium alloy (Ti-3Zr2Sn-3Mo-25Nb, TLM was fabricated by surface mechanical attrition treatment (SMAT technique. Field emission scanning electron microscopy (FE-SEM, atomic force microscopy (AFM, transmission electron microscopy (TEM and X-ray diffraction (XRD were employed to characterize the surface nanostructures of the TLM, respectively. The results demonstrated that nano-crystalline structures with several tens of nanometers were formed on the surface of TLM substrates. The HUVECs grown onto the surface nanostructured TLM spread well and expressed more vinculin around the edges of cells. More importantly, HUVECs grown onto the surface nanostructured TLM displayed significantly higher (p < 0.01 or p < 0.05 cell adhesion and viabilities than those of native titanium alloy. HUVECs cultured on the surface nanostructured titanium alloy displayed significantly higher (p < 0.01 or p < 0.05 productions of nitric oxide (NO and prostacyclin (PGI2 than those of native titanium alloy, respectively. This study provides an alternative for the development of titanium alloy based vascular stents.
Metal Oxide Nanostructures in Food Applications: Quality Control and Packaging
Directory of Open Access Journals (Sweden)
Vardan Galstyan
2018-04-01
Full Text Available Metal oxide materials have been applied in different fields due to their excellent functional properties. Metal oxides nanostructuration, preparation with the various morphologies, and their coupling with other structures enhance the unique properties of the materials and open new perspectives for their application in the food industry. Chemical gas sensors that are based on semiconducting metal oxide materials can detect the presence of toxins and volatile organic compounds that are produced in food products due to their spoilage and hazardous processes that may take place during the food aging and transportation. Metal oxide nanomaterials can be used in food processing, packaging, and the preservation industry as well. Moreover, the metal oxide-based nanocomposite structures can provide many advantageous features to the final food packaging material, such as antimicrobial activity, enzyme immobilization, oxygen scavenging, mechanical strength, increasing the stability and the shelf life of food, and securing the food against humidity, temperature, and other physiological factors. In this paper, we review the most recent achievements on the synthesis of metal oxide-based nanostructures and their applications in food quality monitoring and active and intelligent packaging.
Ultrafast nonlinear optical processes in metal-dielectric nanocomposites and nanostructures
Energy Technology Data Exchange (ETDEWEB)
Kim, Kwang-Hyon
2012-04-13
This work reports results of a theoretical study of nonlinear optical processes in metal-dielectric nanocomposites used for the increase of the nonlinear coefficients and for plasmonic field enhancement. The main results include the study of the transient saturable nonlinearity in dielectric composites doped with metal nanoparticles, its physical mechanism as well its applications in nonlinear optics. For the study of the transient response, a time-depending equation for the dielectric function of the nanocomposite using the semi-classical two-temperature model is derived. By using this approach, we study the transient nonlinear characteristics of these materials in comparison with preceding experimental measurements. The results show that these materials behave as efficient saturable absorbers for passive mode-locking of lasers in the spectral range from the visible to near IR. We present results for the modelocked dynamics in short-wavelength solid-state and semiconductor disk lasers; in this spectral range other efficient saturable absorbers do not exist. We suggest a new mechanism for the realization of slow light phenomenon by using glasses doped with metal nanoparticles in a pump-probe regime near the plasmonic resonance. Furthermore, we study femtosecond plasmon generation by mode-locked surface plasmon polariton lasers with Bragg reflectors and metal-gain-absorber layered structures. In the final part of the thesis, we present results for high-order harmonic generation near a metallic fractal rough surface. The results show a possible reduction of the pump intensities by three orders of magnitudes and two orders of magnitudes higher efficiency compared with preceding experimental results by using bow-tie nanostructures.
Jasmin, Jean-Philippe; Miserque, Frédéric; Dumas, Eddy; Vickridge, Ian; Ganem, Jean-Jacques; Cannizzo, Caroline; Chaussé, Annie
2017-03-01
An all covalent nanostructured lead sensor was built by the successive grafting of gold nanoparticles and carboxylic ligands at the surface of self-adhesive carbon screen-printed electrodes (SPEs). Surface analysis techniques were used in each step in order to investigate the structuration of this sensor. The self-adhesive surfaces were made from the electrochemical grafting of p-phenylenediamine at the surface of the SPEs via diazonium salts chemistry. The quantity of grafted aniline functions, estimated by Nuclear Reaction Analysis (NRA) performed with p-phenylenediamine labelled with 15N isotope, is in agreement with an almost complete coverage of the electrode surface. The subsequent diazotization of the aniline functions at the surface of the SPEs was performed; X-ray Photoelectron Spectroscopy (XPS) allowed us to consider a quantitative conversion of the aniline functions into diazonium moieties. The spontaneous grafting of gold nanoparticles on the as-obtained reactive surfaces ensures the nanostructuration of the material, and XPS studies showed that the covalent bonding of the gold nanoparticles at the surface of the SPEs induces a change both in the Au-4f (gold nanoparticles) and Cl-2p (carbon ink) core level signals. These unusual observations are explained by an interaction between the carbon ink constituting the substrate and the gold nanoparticles. Heavy and toxic metals are considered of major environmental concern because of their non-biodegradability. In a final step, the grafting of the carboxylic ligands at the surface of the SPEs and an accumulation step in the presence of lead(II) cations allowed us to evidence the interest of nanostructured materials as metallic pollutants sensors.
Yue, Weisheng; Wang, Zhihong; Wang, Xianbin; Chen, Longqing; Yang, Yang; Chew, Basil; Syed, Ahad A.; Wong, Ka Chun; Zhang, Xixiang
2012-01-01
A process consisting of e-beam lithography and lift-off was optimized to fabricate metallic nanostructures. This optimized process successfully produced gold and aluminum nanostructures with features size less than 20 nm. These structures range from simple parallel lines to complex photonic structures. Optical properties of gold split ring resonators (SRRs) were characterized with Raman spectroscopy. Surface-Enhanced Raman Scattering (SERS) on SRRs was observed with 4-mercaptopyridine (4-MPy) as molecular probe and greatly enhanced Raman scattering was observed. Copyright © 2012 American Scientific Publishers.
Energy Technology Data Exchange (ETDEWEB)
Koenig, Michael [Institut fuer Theoretische Festkoerperphysik, Universitaet Karlsruhe (Germany); Karlsruhe School of Optics and Photonics (KSOP), Universitaet Karlsruhe (Germany); Niegemann, Jens; Tkeshelashvili, Lasha; Busch, Kurt [Institut fuer Theoretische Festkoerperphysik, Universitaet Karlsruhe (Germany); DFG Forschungszentrum Center for Functional Nanostructures (CFN), Universitaet Karlsruhe (Germany); Karlsruhe School of Optics and Photonics (KSOP), Universitaet Karlsruhe (Germany)
2008-07-01
Numerical simulations of metallic nano-structures are crucial for the efficient design of plasmonic devices. Conventional time-domain solvers such as FDTD introduce large numerical errors especially at metallic surfaces. Our approach combines a discontinuous Galerkin method on an adaptive mesh for the spatial discretisation with a Krylov-subspace technique for the time-stepping procedure. Thus, the higher-order accuracy in both time and space is supported by unconditional stability. As illustrative examples, we compare numerical results obtained with our method against analytical reference solutions and results from FDTD calculations.
Modular assembly of low-dimensional coordination architectures on metal surfaces
International Nuclear Information System (INIS)
Stepanow, Sebastian; Lin, Nian; Barth, Johannes V
2008-01-01
The engineering of highly organized molecular architectures has attracted strong interest because of its potential for novel materials and functional nanoscopic devices. An important factor in the development, integration, and exploitation of such systems is the capability to prepare them on surfaces or in nanostructured environments. Recent advances in supramolecular design on metal substrates provide atomistic insight into the underlying self-assembly processes, mainly by scanning tunneling microscopy observations. This review summarizes progress in noncovalent synthesis strategies under ultra-high vacuum conditions employing metal ions as coordination centers directing the molecular organization. The realized metallosupramolecular compounds and arrays combine the properties of their constituent metal ions and organic ligands, and present several attractive features: their redox, magnetic and spin-state transitions. The presented exemplary molecular level studies elucidate the arrangement of organic adsorbates on metal surfaces, demonstrating the interplay between intermolecular and molecule-substrate interactions that needs to be controlled for the fabrication of low-dimensional structures. The understanding of metallosupramolecular organization and metal-ligand interactions on solid surfaces is important for the control of structure and concomitant function
Laser-induced surface modification of metals and alloys in liquid argon medium
International Nuclear Information System (INIS)
Kazakevich, V S; Kazakevich, P V; Yaresko, P S; Kamynina, D A
2016-01-01
Micro and nanostructuring of metals and alloys surfaces (Ti, Mo, Ni, T30K4) was considered by subnanocosecond laser radiation in stationary and dynamic mode in the liquid argon, ethanol and air. Depending of structures size on the samples surface from the energy density and the number of pulses were built. Non-periodic (NSS) and periodic (PSS) surface structures with periods about λ-λ/2 were obtained. PSS formation took place as at the target surface so at the NSS surface. (paper)
Surface nanostructuring by ion-induced localized plasma expansion in zinc oxide
Energy Technology Data Exchange (ETDEWEB)
El-Said, A. S., E-mail: elsaid@kfupm.edu.sa, E-mail: a.s.el-said@hzdr.de [Physics Department, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), 01328 Dresden (Germany); Physics Department, Faculty of Science, Mansoura University, 35516 Mansoura (Egypt); Moslem, W. M. [Department of Physics, Faculty of Science, Port Said University, Port Said 42521 (Egypt); Centre for Theoretical Physics, British University in Egypt (BUE), El-Shorouk City, Cairo (Egypt); Djebli, M. [Theoretical Physics Laboratory, Faculty of Physics USTHB, B.P. 32 Bab Ezzour, 16079 Algiers (Algeria)
2014-06-09
Creation of hillock-like nanostructures on the surface of zinc oxide single crystals by irradiation with slow highly charged ions is reported. At constant kinetic energy, the nanostructures were only observed after irradiation with ions of potential energies above a threshold between 19.1 keV and 23.3 keV. The size of the nanostructures increases as a function of potential energy. A plasma expansion approach is used to explain the nanostructures creation. The calculations showed that the surface nanostructures became taller with the increase of ionic temperature. The influence of charged cluster formation and the relevance of their polarity are discussed.
Surface nanostructuring by ion-induced localized plasma expansion in zinc oxide
International Nuclear Information System (INIS)
El-Said, A. S.; Moslem, W. M.; Djebli, M.
2014-01-01
Creation of hillock-like nanostructures on the surface of zinc oxide single crystals by irradiation with slow highly charged ions is reported. At constant kinetic energy, the nanostructures were only observed after irradiation with ions of potential energies above a threshold between 19.1 keV and 23.3 keV. The size of the nanostructures increases as a function of potential energy. A plasma expansion approach is used to explain the nanostructures creation. The calculations showed that the surface nanostructures became taller with the increase of ionic temperature. The influence of charged cluster formation and the relevance of their polarity are discussed.
Topographic characterization of nanostructures on curved polymer surfaces
DEFF Research Database (Denmark)
Feidenhans'l, Nikolaj Agentoft; Petersen, Jan C.; Taboryski, Rafael J.
2014-01-01
The availability of portable instrumentation for characterizing surface topography on the micro- and nanometer scale is very limited. Particular the handling of curved surfaces, both concave and convex, is complicated or not possible on current instrumentation. However, the currently growing use...... method with a portable instrument that can be used in a production environment, and topographically characterize nanometer-scale surface structures on both flat and curved surfaces. To facilitate the commercialization of injection moulded polymer parts featuring nanostructures, it is pivotal...... of injection moulding of polymer parts featuring nanostructured surfaces, requires an instrument that can characterize these structures to ensure replication-confidence between master structure and replicated polymer parts. This project concerns the development of a metrological traceable quality control...
Sainato, Michela; Strambini, Lucanos Marsilio; Rella, Simona; Mazzotta, Elisabetta; Barillaro, Giuseppe
2015-04-08
Surface doping of nano/mesostructured materials with metal nanoparticles to promote and optimize chemi-transistor sensing performance represents the most advanced research trend in the field of solid-state chemical sensing. In spite of the promising results emerging from metal-doping of a number of nanostructured semiconductors, its applicability to silicon-based chemi-transistor sensors has been hindered so far by the difficulties in integrating the composite metal-silicon nanostructures using the complementary metal-oxide-semiconductor (CMOS) technology. Here we propose a facile and effective top-down method for the high-yield fabrication of chemi-transistor sensors making use of composite porous silicon/gold nanostructures (cSiAuNs) acting as sensing gate. In particular, we investigate the integration of cSiAuNs synthesized by metal-assisted etching (MAE), using gold nanoparticles (NPs) as catalyst, in solid-state junction-field-effect transistors (JFETs), aimed at the detection of NO2 down to 100 parts per billion (ppb). The chemi-transistor sensors, namely cSiAuJFETs, are CMOS compatible, operate at room temperature, and are reliable, sensitive, and fully recoverable for the detection of NO2 at concentrations between 100 and 500 ppb, up to 48 h of continuous operation.
Energy Technology Data Exchange (ETDEWEB)
Golda-Cepa, M., E-mail: golda@chemia.uj.edu.pl [Faculty of Chemistry, Jagiellonian University, Ingardena 3, 30-060 Krakow (Poland); Syrek, K. [Faculty of Chemistry, Jagiellonian University, Ingardena 3, 30-060 Krakow (Poland); Brzychczy-Wloch, M. [Department of Bacteriology, Microbial Ecology and Parasitology, Jagiellonian University Medical College, Czysta 18, 31-121 Krakow (Poland); Sulka, G.D. [Faculty of Chemistry, Jagiellonian University, Ingardena 3, 30-060 Krakow (Poland); Kotarba, A., E-mail: kotarba@chemia.uj.edu.pl [Faculty of Chemistry, Jagiellonian University, Ingardena 3, 30-060 Krakow (Poland)
2016-09-01
The electron work function as an essential descriptor for the evaluation of metal implant surfaces against bacterial infection is identified for the first time. Its validity is demonstrated on Staphylococcus aureus adhesion to nanostructured titania surfaces. The established correlation: work function–bacteria adhesion is of general importance since it can be used for direct evaluation of any electrically conductive implant surfaces. - Highlights: • The correlation between work function and bacteria adhesion was discovered. • The discovered correlation is rationalized in terms of electrostatic bacteria–surface repulsion. • The results provide basis for the simple evaluation of implant surfaces against infection.
International Nuclear Information System (INIS)
Golda-Cepa, M.; Syrek, K.; Brzychczy-Wloch, M.; Sulka, G.D.; Kotarba, A.
2016-01-01
The electron work function as an essential descriptor for the evaluation of metal implant surfaces against bacterial infection is identified for the first time. Its validity is demonstrated on Staphylococcus aureus adhesion to nanostructured titania surfaces. The established correlation: work function–bacteria adhesion is of general importance since it can be used for direct evaluation of any electrically conductive implant surfaces. - Highlights: • The correlation between work function and bacteria adhesion was discovered. • The discovered correlation is rationalized in terms of electrostatic bacteria–surface repulsion. • The results provide basis for the simple evaluation of implant surfaces against infection.
Nanostructured Surfaces for Drug Delivery and Anti-Fibrosis
Kam, Kimberly Renee
Effective and cost-efficient healthcare is at the forefront of public discussion; on both personal and policy levels, technologies that improve therapeutic efficacy without the use of painful hypodermic needle injections or the use of harsh chemicals would prove beneficial to patients. Nanostructured surfaces as structure-mediated permeability enhancers introduce a potentially revolutionary approach to the field of drug delivery. Parental administration routes have been the mainstay technologies for delivering biologics because these therapeutics are too large to permeate epithelial barriers. However, there is a significant patient dislike for hypodermic needles resulting in reduced patient compliance and poor therapeutic results. We present an alternative strategy to harness the body's naturally occurring biological processes and transport mechanisms to enhance the drug transport of biologics across the epithelium. Our strategy offers a paradigm shift from traditional biochemical drug delivery vehicles by using nanotopography to loosen the epithelial barrier. Herein, we demonstrate that nanotopographical cues can be used to enable biologics > 66 kDa to be transported across epithelial monolayers by increasing paracellular transport. When placed in contact with epithelial cells, nanostructured films significantly increase the transport of albumin, IgG, and a model therapeutic, etanercept. Our work highlights the potential to use drug delivery systems which incorporate nanotopographical cues to increase the transport of biologics across epithelial tissue. Furthermore, we describe current advancements in nano- and microfabrication for applications in anti-fibrosis and wound healing. Influencing cellular responses to biomaterials is crucial in the field of tissue engineering and regenerative medicine. Since cells are surrounded by extracellular matrix features that are on the nanoscale, identifying nanostructures for imparting desirable cellular function could greatly
Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.
2017-12-01
The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.
Wetting characteristics of 3-dimensional nanostructured fractal surfaces
Energy Technology Data Exchange (ETDEWEB)
Davis, Ethan, E-mail: ethan.davis4@huskers.unl.edu [Nano & Microsystems Research Laboratory, Department of Mechanical and Materials Engineering, University of Nebraska-Lincoln, W342 Nebraska Hall, Lincoln, NE 68588-0526 (United States); Liu, Ying; Jiang, Lijia; Lu, Yongfeng [Laser Assisted Nano Engineering Lab, Department of Electrical and Computer Engineering, University of Nebraska-Lincoln, 209N Scott Engineering Center, Lincoln, NE 68588-0511 (United States); Ndao, Sidy, E-mail: sndao2@unl.edu [Nano & Microsystems Research Laboratory, Department of Mechanical and Materials Engineering, University of Nebraska-Lincoln, W342 Nebraska Hall, Lincoln, NE 68588-0526 (United States)
2017-01-15
Highlights: • Hierarchically structured surfaces were fabricated on the micro/nano-scale. • These structures reduced the contact angle of the inherently hydrophilic material. • Similar surfaces have applications in two-phase heat transfer and microfluidics. - Abstract: This article reports the fabrication and wetting characteristics of 3-dimensional nanostructured fractal surfaces (3DNFS). Three distinct 3DNFS surfaces, namely cubic, Romanesco broccoli, and sphereflake were fabricated using two-photon direct laser writing. Contact angle measurements were performed on the multiscale fractal surfaces to characterize their wetting properties. Average contact angles ranged from 66.8° for the smooth control surface to 0° for one of the fractal surfaces. The change in wetting behavior was attributed to modification of the interfacial surface properties due to the inclusion of 3-dimensional hierarchical fractal nanostructures. However, this behavior does not exactly obey existing surface wetting models in the literature. Potential applications for these types of surfaces in physical and biological sciences are also discussed.
Wetting characteristics of 3-dimensional nanostructured fractal surfaces
International Nuclear Information System (INIS)
Davis, Ethan; Liu, Ying; Jiang, Lijia; Lu, Yongfeng; Ndao, Sidy
2017-01-01
Highlights: • Hierarchically structured surfaces were fabricated on the micro/nano-scale. • These structures reduced the contact angle of the inherently hydrophilic material. • Similar surfaces have applications in two-phase heat transfer and microfluidics. - Abstract: This article reports the fabrication and wetting characteristics of 3-dimensional nanostructured fractal surfaces (3DNFS). Three distinct 3DNFS surfaces, namely cubic, Romanesco broccoli, and sphereflake were fabricated using two-photon direct laser writing. Contact angle measurements were performed on the multiscale fractal surfaces to characterize their wetting properties. Average contact angles ranged from 66.8° for the smooth control surface to 0° for one of the fractal surfaces. The change in wetting behavior was attributed to modification of the interfacial surface properties due to the inclusion of 3-dimensional hierarchical fractal nanostructures. However, this behavior does not exactly obey existing surface wetting models in the literature. Potential applications for these types of surfaces in physical and biological sciences are also discussed.
International Nuclear Information System (INIS)
Abel, B.; Aslan, K.
2012-01-01
This study demonstrates the proof-of-principle of rapid surface modification of plasmonic nanostructured materials with oligonucleotides using low power microwave heating. Due to their interesting optical and electronic properties, silver nanoparticle films (SNFs, 2 nm thick) deposited onto glass slides were used as the model plasmonic nanostructured materials. Rapid surface modification of SNFs with oligonucleotides was carried out using two strategies (1) Strategy 1: for ss-oligonucleotides, surface hybridization and (2) Strategy 2: for ds-oligonucleotides, solution hybridization, where the samples were exposed to 10, 15, 30 and 60 seconds microwave heating. To assess the efficacy of our new rapid surface modification technique, identical experiments carried out without the microwave heating (i.e., conventional method), which requires 24 hours for the completion of the identical steps. It was found that SNFs can be modified with ss- and ds-oligonucleotides in 10 seconds, which typically requires several hours of incubation time for the chemisorption of thiol groups on to the planar metal surface using conventional techniques. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Nanostructure-Enabled and Macromolecule-Grafted Surfaces for Biomedical Applications
Directory of Open Access Journals (Sweden)
Madeline Small
2018-05-01
Full Text Available Advances in nanotechnology and nanomaterials have enabled the development of functional biomaterials with surface properties that reduce the rate of the device rejection in injectable and implantable biomaterials. In addition, the surface of biomaterials can be functionalized with macromolecules for stimuli-responsive purposes to improve the efficacy and effectiveness in drug release applications. Furthermore, macromolecule-grafted surfaces exhibit a hierarchical nanostructure that mimics nanotextured surfaces for the promotion of cellular responses in tissue engineering. Owing to these unique properties, this review focuses on the grafting of macromolecules on the surfaces of various biomaterials (e.g., films, fibers, hydrogels, and etc. to create nanostructure-enabled and macromolecule-grafted surfaces for biomedical applications, such as thrombosis prevention and wound healing. The macromolecule-modified surfaces can be treated as a functional device that either passively inhibits adverse effects from injectable and implantable devices or actively delivers biological agents that are locally based on proper stimulation. In this review, several methods are discussed to enable the surface of biomaterials to be used for further grafting of macromolecules. In addition, we review surface-modified films (coatings and fibers with respect to several biomedical applications. Our review provides a scientific update on the current achievements and future trends of nanostructure-enabled and macromolecule-grafted surfaces in biomedical applications.
Packaging glass with hierarchically nanostructured surface
He, Jr-Hau; Fu, Hui-Chun
2017-01-01
An optical device includes an active region and packaging glass located on top of the active region. A top surface of the packaging glass includes hierarchical nanostructures comprised of honeycombed nanowalls (HNWs) and nanorod (NR) structures
Benzyl Alcohol-Mediated Versatile Method to Fabricate Nonstoichiometric Metal Oxide Nanostructures.
Qamar, Mohammad; Adam, Alaaldin; Azad, Abdul-Majeed; Kim, Yong-Wah
2017-11-22
Nanostructured metal oxides with cationic or anionic deficiency find applications in a wide range of technological areas including the energy sector and environment. However, a facile route to prepare such materials in bulk with acceptable reproducibility is still lacking; many synthesis techniques are still only bench-top and cannot be easily scaled-up. Here, we report that the benzyl alcohol (BA)-mediated method is capable of producing a host of nanostructured metal oxides (MO x , where M = Ti, Zn, Ce, Sn, In, Ga, or Fe) with inherent nonstoichiometry. It employs multifunctional BA as a solvent, a reducing agent, and a structure-directing agent. Depending on the oxidation states of metal, elemental or nonstoichiometric oxide forms are obtained. Augmented photoelectrochemical oxidation of water under visible light by some of these nonstoichiometric oxides highlights the versatility of the BA-mediated synthesis protocol.
Inverse design of nanostructured surfaces for color effects
DEFF Research Database (Denmark)
Andkjær, Jacob Anders; Johansen, Villads Egede; Friis, Kasper Storgaard
2014-01-01
We propose an inverse design methodology for systematic design of nanostructured surfaces for color effects. The methodology is based on a 2D topology optimization formulation based on frequency-domain finite element simulations for E and/or H polarized waves. The goal of the optimization...... is to maximize color intensity in prescribed direction(s) for a prescribed color (RGB) vector. Results indicate that nanostructured surfaces with any desirable color vector can be generated; that complex structures can generate more intense colors than simple layerings; that angle independent colorings can...
Features of Magnetorefractive Effect in a [CoFe/Cu] n Multilayer Metallic Nanostructure
Yurasov, A. N.; Telegin, A. V.; Bannikova, N. S.; Milyaev, M. A.; Sukhorukov, Yu. P.
2018-02-01
The features of magnetorefractive effect (MRE) in metallic multilayer film Ni48Fe12Cr40(50 Å)/[Co90Fe10(14 Å)/Cu(22 Å)]8/Cr(20 Å) nanostructures, which exhibit giant magnetoresistance at room temperature, are investigated experimentally and theoretically. We show that the MRE in these structures reaches 1.5% in an applied magnetic field of 3.5 kOe, in a broad part of the IR region, and can change sign for both transmission and reflection of light. The refraction and extinction coefficients that are calculated for the nanostructures in an external magnetic field are in good agreement with our experimental data. The deduced formulas can be applied to estimating the MRE in multilayer metallic nanostructures.
International Nuclear Information System (INIS)
Siozios, A; Bellas, D V; Lidorikis, E; Patsalas, P; Kalfagiannis, N; Cranton, W M; Koutsogeorgis, D C; Bazioti, C; Dimitrakopulos, G P; Vourlias, G
2015-01-01
Laser nanostructuring of pure ultrathin metal layers or ceramic/metal composite thin films has emerged as a promising route for the fabrication of plasmonic patterns with applications in information storage, cryptography, and security tagging. However, the environmental sensitivity of pure Ag layers and the complexity of ceramic/metal composite film growth hinder the implementation of this technology to large-scale production, as well as its combination with flexible substrates. In the present work we investigate an alternative pathway, namely, starting from non-plasmonic multilayer metal/dielectric layers, whose growth is compatible with large scale production such as in-line sputtering and roll-to-roll deposition, which are then transformed into plasmonic templates by single-shot UV-laser annealing (LA). This entirely cold, large-scale process leads to a subsurface nanoconstruction involving plasmonic Ag nanoparticles (NPs) embedded in a hard and inert dielectric matrix on top of both rigid and flexible substrates. The subsurface encapsulation of Ag NPs provides durability and long-term stability, while the cold character of LA suits the use of sensitive flexible substrates. The morphology of the final composite film depends primarily on the nanocrystalline character of the dielectric host and its thermal conductivity. We demonstrate the emergence of a localized surface plasmon resonance, and its tunability depending on the applied fluence and environmental pressure. The results are well explained by theoretical photothermal modeling. Overall, our findings qualify the proposed process as an excellent candidate for versatile, large-scale optical encoding applications. (paper)
Optical transmission theory for metal-insulator-metal periodic nanostructures
Directory of Open Access Journals (Sweden)
Blanchard-Dionne Andre-Pierre
2016-11-01
Full Text Available A semi-analytical formalism for the optical properties of a metal-insulator-metal periodic nanostructure using coupled-mode theory is presented. This structure consists in a dielectric layer in between two metallic layers with periodic one-dimensional nanoslit corrugation. The model is developed using multiple-scattering formalism, which defines transmission and reflection coefficients for each of the interface as a semi-infinite medium. Total transmission is then calculated using a summation of the multiple paths of light inside the structure. This method allows finding an exact solution for the transmission problem in every dimension regime, as long as a sufficient number of diffraction orders and guided modes are considered for the structure. The resonant modes of the structure are found to be related to the metallic slab only and to a combination of both the metallic slab and dielectric layer. This model also allows describing the resonant behavior of the system in the limit of a small dielectric layer, for which discontinuities in the dispersion curves are found. These discontinuities result from the out-of-phase interference of the different diffraction orders of the system, which account for field interaction for both inner interfaces of the structure.
Nanostructured surface processing by an intense pulsed ion beam irradiation
International Nuclear Information System (INIS)
Yatsuzuka, M.; Masuda, T.; Yamasaki, T.; Uchida, H.; Nobuhara, S.; Hashimoto, Y.; Yoshihara, Y.
1997-01-01
Metal surface modification by irradiating an intense pulsed ion beam (IPIB) with short pulse width has been studied experimentally. An IPIB irradiation to a target leads to rapid heating above its melting point. After the beam is turned off, the heated region is immediately cooled by thermal conduction at a cooling rate of typically 10 10 K/s. This rapid cooling and resolidification results in generation of nanostructured phase in the top of surface. The typical hydrogen IPIB parameters are 200 kV of energy, 500 A/cm 2 of current density and 70 ns of pulsewidth. The IPIB was irradiated on a pure titanium to generate nanocrystalline phase. The IPIB-irradiated surface was examined with X-ray diffraction, SEM, and HR-TEM. The randomly oriented lattice fringes as well as a halo diffraction pattern are observed in the HR-TEM micrograph of IPIB-irradiated titanium. The average grain size is found to be 32 nanometers
Energy Technology Data Exchange (ETDEWEB)
Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw
2014-04-15
Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were
A nanostructured surface increases friction exponentially at the solid-gas interface.
Phani, Arindam; Putkaradze, Vakhtang; Hawk, John E; Prashanthi, Kovur; Thundat, Thomas
2016-09-06
According to Stokes' law, a moving solid surface experiences viscous drag that is linearly related to its velocity and the viscosity of the medium. The viscous interactions result in dissipation that is known to scale as the square root of the kinematic viscosity times the density of the gas. We observed that when an oscillating surface is modified with nanostructures, the experimentally measured dissipation shows an exponential dependence on kinematic viscosity. The surface nanostructures alter solid-gas interplay greatly, amplifying the dissipation response exponentially for even minute variations in viscosity. Nanostructured resonator thus allows discrimination of otherwise narrow range of gaseous viscosity making dissipation an ideal parameter for analysis of a gaseous media. We attribute the observed exponential enhancement to the stochastic nature of interactions of many coupled nanostructures with the gas media.
A nanostructured surface increases friction exponentially at the solid-gas interface
Phani, Arindam; Putkaradze, Vakhtang; Hawk, John E.; Prashanthi, Kovur; Thundat, Thomas
2016-09-01
According to Stokes’ law, a moving solid surface experiences viscous drag that is linearly related to its velocity and the viscosity of the medium. The viscous interactions result in dissipation that is known to scale as the square root of the kinematic viscosity times the density of the gas. We observed that when an oscillating surface is modified with nanostructures, the experimentally measured dissipation shows an exponential dependence on kinematic viscosity. The surface nanostructures alter solid-gas interplay greatly, amplifying the dissipation response exponentially for even minute variations in viscosity. Nanostructured resonator thus allows discrimination of otherwise narrow range of gaseous viscosity making dissipation an ideal parameter for analysis of a gaseous media. We attribute the observed exponential enhancement to the stochastic nature of interactions of many coupled nanostructures with the gas media.
Energy Technology Data Exchange (ETDEWEB)
Hegde, Ganesh, E-mail: ghegde@purdue.edu; Povolotskyi, Michael; Kubis, Tillmann; Klimeck, Gerhard, E-mail: gekco@purdue.edu [Network for Computational Nanotechnology (NCN), Department of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana 47907 (United States); Boykin, Timothy [Department of Electrical and Computer Engineering, University of Alabama, Huntsville, Alabama (United States)
2014-03-28
Semi-empirical Tight Binding (TB) is known to be a scalable and accurate atomistic representation for electron transport for realistically extended nano-scaled semiconductor devices that might contain millions of atoms. In this paper, an environment-aware and transferable TB model suitable for electronic structure and transport simulations in technologically relevant metals, metallic alloys, metal nanostructures, and metallic interface systems are described. Part I of this paper describes the development and validation of the new TB model. The new model incorporates intra-atomic diagonal and off-diagonal elements for implicit self-consistency and greater transferability across bonding environments. The dependence of the on-site energies on strain has been obtained by appealing to the Moments Theorem that links closed electron paths in the system to energy moments of angular momentum resolved local density of states obtained ab initio. The model matches self-consistent density functional theory electronic structure results for bulk face centered cubic metals with and without strain, metallic alloys, metallic interfaces, and metallic nanostructures with high accuracy and can be used in predictive electronic structure and transport problems in metallic systems at realistically extended length scales.
International Nuclear Information System (INIS)
Hegde, Ganesh; Povolotskyi, Michael; Kubis, Tillmann; Klimeck, Gerhard; Boykin, Timothy
2014-01-01
Semi-empirical Tight Binding (TB) is known to be a scalable and accurate atomistic representation for electron transport for realistically extended nano-scaled semiconductor devices that might contain millions of atoms. In this paper, an environment-aware and transferable TB model suitable for electronic structure and transport simulations in technologically relevant metals, metallic alloys, metal nanostructures, and metallic interface systems are described. Part I of this paper describes the development and validation of the new TB model. The new model incorporates intra-atomic diagonal and off-diagonal elements for implicit self-consistency and greater transferability across bonding environments. The dependence of the on-site energies on strain has been obtained by appealing to the Moments Theorem that links closed electron paths in the system to energy moments of angular momentum resolved local density of states obtained ab initio. The model matches self-consistent density functional theory electronic structure results for bulk face centered cubic metals with and without strain, metallic alloys, metallic interfaces, and metallic nanostructures with high accuracy and can be used in predictive electronic structure and transport problems in metallic systems at realistically extended length scales
Hegde, Ganesh; Povolotskyi, Michael; Kubis, Tillmann; Boykin, Timothy; Klimeck, Gerhard
2014-03-01
Semi-empirical Tight Binding (TB) is known to be a scalable and accurate atomistic representation for electron transport for realistically extended nano-scaled semiconductor devices that might contain millions of atoms. In this paper, an environment-aware and transferable TB model suitable for electronic structure and transport simulations in technologically relevant metals, metallic alloys, metal nanostructures, and metallic interface systems are described. Part I of this paper describes the development and validation of the new TB model. The new model incorporates intra-atomic diagonal and off-diagonal elements for implicit self-consistency and greater transferability across bonding environments. The dependence of the on-site energies on strain has been obtained by appealing to the Moments Theorem that links closed electron paths in the system to energy moments of angular momentum resolved local density of states obtained ab initio. The model matches self-consistent density functional theory electronic structure results for bulk face centered cubic metals with and without strain, metallic alloys, metallic interfaces, and metallic nanostructures with high accuracy and can be used in predictive electronic structure and transport problems in metallic systems at realistically extended length scales.
Packaging glass with hierarchically nanostructured surface
He, Jr-Hau
2017-08-03
An optical device includes an active region and packaging glass located on top of the active region. A top surface of the packaging glass includes hierarchical nanostructures comprised of honeycombed nanowalls (HNWs) and nanorod (NR) structures extending from the HNWs.
Near-field effects and energy transfer in hybrid metal-oxide nanostructures.
Herr, Ulrich; Kuerbanjiang, Balati; Benel, Cahit; Papageorgiou, Giorgos; Goncalves, Manuel; Boneberg, Johannes; Leiderer, Paul; Ziemann, Paul; Marek, Peter; Hahn, Horst
2013-01-01
One of the big challenges of the 21st century is the utilization of nanotechnology for energy technology. Nanoscale structures may provide novel functionality, which has been demonstrated most convincingly by successful applications such as dye-sensitized solar cells introduced by M. Grätzel. Applications in energy technology are based on the transfer and conversion of energy. Following the example of photosynthesis, this requires a combination of light harvesting, transfer of energy to a reaction center, and conversion to other forms of energy by charge separation and transfer. This may be achieved by utilizing hybrid nanostructures, which combine metallic and nonmetallic components. Metallic nanostructures can interact strongly with light. Plasmonic excitations of such structures can cause local enhancement of the electrical field, which has been utilized in spectroscopy for many years. On the other hand, the excited states in metallic structures decay over very short lifetimes. Longer lifetimes of excited states occur in nonmetallic nanostructures, which makes them attractive for further energy transfer before recombination or relaxation sets in. Therefore, the combination of metallic nanostructures with nonmetallic materials is of great interest. We report investigations of hybrid nanostructured model systems that consist of a combination of metallic nanoantennas (fabricated by nanosphere lithography, NSL) and oxide nanoparticles. The oxide particles were doped with rare-earth (RE) ions, which show a large shift between absorption and emission wavelengths, allowing us to investigate the energy-transfer processes in detail. The main focus is on TiO2 nanoparticles doped with Eu(3+), since the material is interesting for applications such as the generation of hydrogen by photocatalytic splitting of water molecules. We use high-resolution techniques such as confocal fluorescence microscopy for the investigation of energy-transfer processes. The experiments are
International Nuclear Information System (INIS)
Qin, Lang; Cheng, Zhuo; Guo, Mengqing; Fan, Jonathan A.; Fan, Liang-Shih
2017-01-01
Transition metal are heavily used in chemical looping technologies because of their high oxygen carrying capacity and high thermal reactivity. These oxygen activities result in the oxide formation and oxygen vacancy formation that affect the nanoscale crystal phase and morphology within these materials and their subsequent bulk chemical behavior. In this study, two selected earlier transition metals manganese and cobalt as well as two selected later transition metals copper and nickel that are important to chemical looping reactions are investigated when they undergo cyclic redox reactions. We found Co microparticles exhibited increased CoO impurity presence when oxidized to Co_3O_4 upon cyclic oxidation; CuO redox cycles prefer to be limited to a reduced form of Cu_2O and an oxidized form of CuO; Mn microparticles were oxidized to a mixed phases of MnO and Mn_3O_4, which causes delamination during oxidation. For Ni microparticles, a dense surface were observed during the redox reaction. The atomistic thermodynamics methods and density functional theory (DFT) calculations are carried out to elucidate the effect of oxygen dissociation and migration on the morphological evolution of nanostructures during the redox processes. Our results indicate that the earlier transition metals (Mn and Co) tend to have stronger interaction with O_2 than the later transition metals (Ni and Cu). Also, our modified Brønsted−Evans−Polanyi (BEP) relationship for reaction energies and total reaction barriers reveals that reactions of earlier transition metals are more exergonic and have lower oxygen dissociation barriers than those of later transition metals. In addition, it was found that for these transition metal oxides the oxygen vacancy formation energies increase with the depth. The oxide in the higher oxidation state of transition metal has lower vacancy formation energy, which can facilitate forming the defective nanostructures. The fundamental understanding of these metal
Multifunctional, Nanostructured Metal Rubber Protective Films for Space Exploration, Phase II
National Aeronautics and Space Administration — NanoSonic has developed revolutionary nanostructured, yet macroscale, multifunctional Metal RubberTM films. In support of NASA's Vision for Space Exploration, low...
Multifunctional, Nanostructured Metal Rubber Protective Films for Space Exploration, Phase I
National Aeronautics and Space Administration — NanoSonic has developed revolutionary nanostructured, yet macroscale, multifunctional Metal RubberTM films. In support of NASA's Vision for Space Exploration, low...
Inorganic nanostructured materials for high performance electrochemical supercapacitors
Liu, Sheng; Sun, Shouheng; You, Xiao-Zeng
2014-01-01
Electrochemical supercapacitors (ES) are a well-known energy storage system that has high power density, long life-cycle and fast charge-discharge kinetics. Nanostructured materials are a new generation of electrode materials with large surface area and short transport/diffusion path for ions and electrons to achieve high specific capacitance in ES. This mini review highlights recent developments of inorganic nanostructure materials, including carbon nanomaterials, metal oxide nanoparticles, and metal oxide nanowires/nanotubes, for high performance ES applications.
Laser-induced surface modification of biopolymers – micro/nanostructuring and functionalization
Stankova, N. E.; Atanasov, P. A.; Nedyalkov, N. N.; Tatchev, Dr; Kolev, K. N.; Valova, E. I.; Armyanov, St. A.; Grochowska, K.; Śliwiński, G.; Fukata, N.; Hirsch, D.; Rauschenbach, B.
2018-03-01
The medical-grade polydimethylsiloxane (PDMS) elastomer is a widely used biomaterial in medicine for preparation of high-tech devices because of its remarkable properties. In this paper, we present experimental results on surface modification of PDMS elastomer by using ultraviolet, visible, and near-infrared ns-laser system and investigation of the chemical composition and the morphological structure inside the treated area in dependence on the processing parameters – wavelength, laser fluence and number of pulses. Remarkable chemical transformations and changes of the morphological structure were observed, resulting in the formation of a highly catalytically active surface, which was successfully functionalized via electroless Ni and Pt deposition by a sensitizing-activation free process. The results obtained are very promising in view of applying the methods of laser-induced micro- and nano-structuring and activation of biopolymers’ surface and further electroless metal plating to the preparation of, e.g., multielectrode arrays (MEAs) devices in neural and muscular surface interfacing implantable systems.
DEFF Research Database (Denmark)
Huang, Xiaoxu
2009-01-01
with increasingly finer structures in order to improve properties and sustainability. The structural scale of interest in such materials is therefore reduced to the nanometer range, which means that characterization and modeling of nanostructured metals now address an audience including not only physicists...... and materials scientists but also technologists and engineers. The present Viewpoint Set therefore covers metallic materials with a structural scale ranging from micrometer to nanometer in dimensions and focuses on processing techniques such as plastic deformation and phase transformations. As a result......The theme of two viewpoint sets has been nanostructured metals: one in 2003 on “Mechanical properties of fully dense nanocrystalline metals” (Scripta Materialia 2003;49:625–680) and one in 2004 on “Metals and alloys with a structural scale from the micrometer to the atomic dimensions” (Scripta...
Rasouli, Rahimeh; Barhoum, Ahmed; Uludag, Hasan
2018-05-10
The emerging field of nanostructured implants has enormous scope in the areas of medical science and dental implants. Surface nanofeatures provide significant potential solutions to medical problems by the introduction of better biomaterials, improved implant design, and surface engineering techniques such as coating, patterning, functionalization and molecular grafting at the nanoscale. This review is of an interdisciplinary nature, addressing the history and development of dental implants and the emerging area of nanotechnology in dental implants. After a brief introduction to nanotechnology in dental implants and the main classes of dental implants, an overview of different types of nanomaterials (i.e. metals, metal oxides, ceramics, polymers and hydrides) used in dental implant together with their unique properties, the influence of elemental compositions, and surface morphologies and possible applications are presented from a chemical point of view. In the core of this review, the dental implant materials, physical and chemical fabrication techniques and the role of nanotechnology in achieving ideal dental implants have been discussed. Finally, the critical parameters in dental implant design and available data on the current dental implant surfaces that use nanotopography in clinical dentistry have been discussed.
A general soft-enveloping strategy in the templating synthesis of mesoporous metal nanostructures.
Fang, Jixiang; Zhang, Lingling; Li, Jiang; Lu, Lu; Ma, Chuansheng; Cheng, Shaodong; Li, Zhiyuan; Xiong, Qihua; You, Hongjun
2018-02-06
Metal species have a relatively high mobility inside mesoporous silica; thus, it is difficult to introduce the metal precursors into silica mesopores and suppress the migration of metal species during a reduction process. Therefore, until now, the controlled growth of metal nanocrystals in a confined space, i.e., mesoporous channels, has been very challenging. Here, by using a soft-enveloping reaction at the interfaces of the solid, liquid, and solution phases, we successfully control the growth of metallic nanocrystals inside a mesoporous silica template. Diverse monodispersed nanostructures with well-defined sizes and shapes, including Ag nanowires, 3D mesoporous Au, AuAg alloys, Pt networks, and Au nanoparticle superlattices are successfully obtained. The 3D mesoporous AuAg networks exhibit enhanced catalytic activities in an electrochemical methanol oxidation reaction. The current soft-enveloping synthetic strategy offers a robust approach to synthesize diverse mesoporous metal nanostructures that can be utilized in catalysis, optics, and biomedicine applications.
Noh, Jiwhan; Lee, Jae-Hoon; Na, Suckjoo; Lim, Hyuneui; Jung, Dae-Hwan
2010-10-01
Many studies have examined the formation of surfaces with mixed patterns of micro- and nano-sized lotus leaves that have hydrophobic properties. In this study, micro- and nano-shapes such as lotus leaves were fabricated on a metal mold surface using laser ablation and ripple formation. A microstructure on the mold surface was replicated onto poly(dimethylsiloxane) (PDMS) using the polymer casting method to manufacture low-cost hydrophobic surfaces. A PDMS surface with micro- and nano-structures that were the inverse image of a lotus leaf showed hydrophobic characteristics (water contact angle: 157°). From these results, we deduced that portions of the microstructures were wet and that air gaps existed between the microstructures and the water drops. In this paper we suggest the possibility of the mass production of hydrophobic plastic surfaces and the development of a methodology for the hydrophobic texturing of various polymer surfaces, using the polymer casting method with laser-processed molds.
Energy Technology Data Exchange (ETDEWEB)
Kamaruddin, Nur Hasiba [Department of Electric, Electronic and Systems Engineering, Faculty of Engineering and Built Environment, Universiti Kebangsaan Malaysia, 43600 UKM Bangi, Selangor (Malaysia); Bakar, Ahmad Ashrif A., E-mail: ashrif@ukm.edu.my [Department of Electric, Electronic and Systems Engineering, Faculty of Engineering and Built Environment, Universiti Kebangsaan Malaysia, 43600 UKM Bangi, Selangor (Malaysia); Yaacob, Mohd Hanif; Mahdi, Mohd Adzir [Wireless and Photonic Network Research Centre, Faculty of Engineering, Universiti Putra Malaysia, 43400 Serdang, Selangor (Malaysia); Zan, Mohd Saiful Dzulkefly [Department of Electric, Electronic and Systems Engineering, Faculty of Engineering and Built Environment, Universiti Kebangsaan Malaysia, 43600 UKM Bangi, Selangor (Malaysia); Shaari, Sahbudin [Institute of Microengineering and Nanoelectronics, Universiti Kebangsaan Malaysia, 43600 UKM Bangi, Selangor (Malaysia)
2016-01-15
Highlights: • Tri-metallic Au–Ag–Au CS-GO SPR sensor was fabricated for the first time. • The tri-metallic nanostructure provided an enhanced evanescent field. • Successful functionalization of the CS-GO sensing layer. • Superior performance for lead(II) ion detection. - Abstract: We demonstrate the enhancement of surface plasmon resonance (SPR) technique by implementing a multi-metallic layers of Au–Ag–Au nanostructure in the chitosan-graphene oxide (CS-GO) SPR sensor for lead(II) ion detection. The performance of the sensor is analyzed via SPR measurements, from which the sensitivity, signal-to-noise ratio and repeatability are determined. The nanostructure layers are characterized using field emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), X-ray diffraction (XRD), Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). We showed that the proposed structure has increased the shift in the SPR angle up to 3.5° within the range of 0.1–1 ppm due to the enhanced evanescent field at the sensing layer-analyte interface. This sensor also exhibits great repeatability which benefits from the stable multi-metallic nanostructure. The SNR value of 0.92 for 5 ppm lead(II) ion solution and reasonable linearity range up to that concentration shows that the tri-metallic CS-GO SPR sensor gives a good response towards the lead(II) ion solution. The CS-GO SPR sensor is also sensitive to at least a 10{sup −5} change in the refractive index. The results prove that our proposed tri-metallic CS-GO SPR sensor demonstrates a strong performance and reliability for lead(II) ion detection in accordance with the standardized lead safety level for wastewater.
Directory of Open Access Journals (Sweden)
Alan X. Wang
2015-05-01
Full Text Available Surface-enhanced Raman scattering (SERS has demonstrated single-molecule sensitivity and is becoming intensively investigated due to its significant potential in chemical and biomedical applications. SERS sensing is highly dependent on the substrate, where excitation of the localized surface plasmons (LSPs enhances the Raman scattering signals of proximate analyte molecules. This paper reviews research progress of SERS substrates based on both plasmonic materials and nano-photonic structures. We first discuss basic plasmonic materials, such as metallic nanoparticles and nano-rods prepared by conventional bottom-up chemical synthesis processes. Then, we review rationally-designed plasmonic nano-structures created by top-down approaches or fine-controlled synthesis with high-density hot-spots to provide large SERS enhancement factors (EFs. Finally, we discuss the research progress of hybrid SERS substrates through the integration of plasmonic nano-structures with other nano-photonic devices, such as photonic crystals, bio-enabled nanomaterials, guided-wave systems, micro-fluidics and graphene.
Surface modification of microfibrous materials with nanostructured carbon
Energy Technology Data Exchange (ETDEWEB)
Krasnikova, Irina V., E-mail: tokareva@catalysis.ru [Boreskov Institute of Catalysis SB RAS, pr. Ac. Lavrentieva, 5, Novosibirsk 630090 (Russian Federation); National Research Tomsk Polytechnic University, Lenin av., 30, Tomsk 634050 (Russian Federation); Mishakov, Ilya V.; Vedyagin, Aleksey A. [Boreskov Institute of Catalysis SB RAS, pr. Ac. Lavrentieva, 5, Novosibirsk 630090 (Russian Federation); National Research Tomsk Polytechnic University, Lenin av., 30, Tomsk 634050 (Russian Federation); Bauman, Yury I. [Boreskov Institute of Catalysis SB RAS, pr. Ac. Lavrentieva, 5, Novosibirsk 630090 (Russian Federation); Korneev, Denis V. [State Research Center of Virology and Biotechnology VECTOR, Koltsovo, Novosibirsk Region 630559 (Russian Federation)
2017-01-15
The surface of fiberglass cloth, carbon and basalt microfibers was modified with carbon nanostructured coating via catalytic chemical vapor deposition (CCVD) of 1,2-dichloroethane. Incipient wetness impregnation and solution combustion synthesis (SCS) methods were used to deposit nickel catalyst on the surface of microfibrous support. Prepared NiO/support samples were characterized by X-ray diffraction analysis and temperature-programmed reduction. The samples of resulted hybrid materials were studied by means of scanning and transmission electron microscopies as well as by low-temperature nitrogen adsorption. The nature of the support was found to have considerable effect on the CCVD process peculiarities. High yield of nanostructured carbon with largest average diameter of nanofibers within the studied series was observed when carbon microfibers were used as a support. This sample characterized with moderate surface area (about 80 m{sup 2}/g after 2 h of CCVD) shows the best anchorage effect. Among the mineral supports, fiberglass tissue was found to provide highest carbon yield (up to 3.07 g/g{sub FG}) and surface area (up to 344 m{sup 2}/g) due to applicability of SCS method for Ni deposition. - Highlights: • The microfibers of different nature were coated with nanostructured carbon layer. • Features of CNF growth and characteristics of hybrid materials were studied. • Appropriate anchorage of CNF layer on microfiber’s surface was demonstrated.
Ren, Na; Li, Jianhua; Qiu, Jichuan; Sang, Yuanhua; Jiang, Huaidong; Boughton, Robert I; Huang, Ling; Huang, Wei; Liu, Hong
2014-08-13
Titanium (Ti) is widely used for load-bearing bio-implants, however, it is bio-inert and exhibits poor osteo-inductive properties. Calcium and magnesium ions are considered to be involved in bone metabolism and play a physiological role in the angiogenesis, growth, and mineralization of bone tissue. In this study, a facile synthesis approach to the in situ construction of a nanostructure enriched with Ca(2+) and Mg(2+) on the surface of titanium foil is proposed by inserting Ca(2+) and Mg(2+) into the interlayers of sodium titanate nanostructures through an ion-substitution process. The characteriz 0.67, and 0.73 nm ation results validate that cations can be inserted into the interlayer regions of the layered nanostructure without any obvious change of morphology. The cation content is positively correlated to the concentration of the solutions employed. The biological assessments indicate that the type and the amount of cations in the titanate nanostructure can alter the bioactivity of titanium implants. Compared with a Na(+) filled titanate nanostructure, the incorporation of divalent ions (Mg(2+) , Ca(2+) ) can effectively enhance protein adsorption, and thus also enhance the adhesion and differentiation ability of rat bone-marrow stem cells (rBMSCs). The Mg(2+) /Ca(2+) -titanate nanostructure is a promising implantable material that will be widely applicable in artificial bones, joints, and dental implants. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Ag-ZnO nanostructure for ANTA explosive molecule detection
Energy Technology Data Exchange (ETDEWEB)
Shaik, Ummar Pasha [Advanced Center of Research in High Energy Materials, University of Hyderabad, Hyderabad 500046 (India); Sangani, L. D. Varma [Centre for Advanced Studies in Electronics Science and Technology, School of Physics, University of Hyderabad (India); Gaur, Anshu [Department of Industrial Engineering, University of Trento, Via Sommarive9, Trento (Italy); Mohiddon, Md. Ahamad, E-mail: ahamed.vza@gmail.com [National Institute of Technology Andhra Pradesh, Tadepalliguem 534101, AP, India Phone : (+) 91-40-23134382, FAX: (+) 91-40-23010227 (India); Krishna, M. Ghanashyam [Advanced Center of Research in High Energy Materials, University of Hyderabad, Hyderabad 500046 (India); Centre for Advanced Studies in Electronics Science and Technology, School of Physics, University of Hyderabad (India); National Institute of Technology Andhra Pradesh, Tadepalliguem 534101, AP, India Phone : (+) 91-40-23134382, FAX: (+) 91-40-23010227 (India)
2016-05-23
Ag/ZnO nanostructure for surface enhanced Raman scattering application in the detection of ANTA explosive molecule is demonstrated. A highly rough ZnO microstructure was achieved by rapid thermal annealing of metallic Zn film. Different thickness Ag nanostructures are decorated over these ZnO microstructures by ion beam sputtering technique. Surface enhanced Raman spectroscopic studies carried out over Ag/ZnO substrates have shown three orders higher enhancement compared to bare Ag nanostructure deposited on the same substrate. The reasons behind such huge enhancement are discussed based on the morphology of the sample.
Imprinted and injection-molded nano-structured optical surfaces
DEFF Research Database (Denmark)
Christiansen, Alexander Bruun; Højlund-Nielsen, Emil; Clausen, Jeppe Sandvik
2013-01-01
. In this paper, nanostructured polymer surfaces suitable for up-scalable polymer replication methods, such as imprinting/embossing and injection-molding, are discussed. The limiting case of injection-moulding compatible designs is investigated. Anti-reflective polymer surfaces are realized by replication...
Size-dependent electronic properties of metal nanostructures
Indian Academy of Sciences (India)
Table of contents. Size-dependent electronic properties of metal nanostructures · Slide 2 · Slide 3 · Slide 4 · Slide 5 · Slide 6 · Slide 7 · Slide 8 · Slide 9 · Slide 10 · Slide 11 · Slide 12 · Slide 13 · Slide 14 · Slide 15 · Slide 16 · Slide 17 · Slide 18 · Slide 19 · Nanocrystalline film at liquid-liquid interface · Slide 21 · Slide 22.
Characterization of magnetization processes in nanostructured rare earth-transition metal films
International Nuclear Information System (INIS)
Zheng Guangping; Zhan Yangwen; Liu Peng; Li Mo
2003-01-01
We synthesize rare earth-transition metal (RE-TM) amorphous films using the electrodeposition method (RE=Nd, Gd and TM=Co). Nanocrystructured RE-TM films are prepared by thermal treatment of as-synthesized films below the glass-crystal transition temperature. Based on the magnetoelastic effect, the magnetization processes in nanostructured samples are characterized by acoustic internal friction measurements using the vibrating-reed technique. Since internal friction and the Young's modulus are sensitive to grain boundary and magnetic domains movement, this technique seems to characterize the effects of nanostructures on the magnetization processes in RE-TM films well. We find that the magnetoelastic effect in nanostructured RE-TM film increases with an increase in grain size
Directory of Open Access Journals (Sweden)
James L. Gole
2013-08-01
Full Text Available The response matrix, as metal oxide nanostructure decorated n-type semiconductor interfaces are modified in situ through direct amination and through treatment with organic sulfides and thiols, is demonstrated. Nanostructured TiO2, SnOx, NiO and CuxO (x = 1,2, in order of decreasing Lewis acidity, are deposited to a porous silicon interface to direct a dominant electron transduction process for reversible chemical sensing in the absence of significant chemical bond formation. The metal oxide sensing sites can be modified to decrease their Lewis acidity in a process appearing to substitute nitrogen or sulfur, providing a weak interaction to form the oxynitrides and oxysulfides. Treatment with triethylamine and diethyl sulfide decreases the Lewis acidity of the metal oxide sites. Treatment with acidic ethane thiol modifies the sensor response in an opposite sense, suggesting that there are thiol (SH groups present on the surface that provide a Brønsted acidity to the surface. The in situ modification of the metal oxides deposited to the interface changes the reversible interaction with the analytes, NH3 and NO. The observed change for either the more basic oxynitrides or oxysulfides or the apparent Brønsted acid sites produced from the interaction of the thiols do not represent a simple increase in surface basicity or acidity, but appear to involve a change in molecular electronic structure, which is well explained using the recently developed inverse hard and soft acids and bases (IHSAB model.
Removal of Heavy Metals from Drinking Water by Magnetic Carbon Nanostructures Prepared from Biomass
Directory of Open Access Journals (Sweden)
Muhammad Muneeb Ur Rahman Khattak
2017-01-01
Full Text Available Heavy metals contamination of drinking water has significant adverse effects on human health due to their toxic nature. In this study a new adsorbent, magnetic graphitic nanostructures were prepared from watermelon waste. The adsorbent was characterized by different instrumental techniques (surface area analyzer, FTIR, XRD, EDX, SEM, and TG/DTA and was used for the removal of heavy metals (As, Cr, Cu, Pb, and Zn from water. The adsorption parameters were determined for heavy metals adsorption using Freundlich and Langmuir isotherms. The adsorption kinetics and effect of time, pH, and temperature on heavy metal ions were also determined. The best fits were obtained for Freundlich isotherm. The percent adsorption showed a decline at high pH. Best fit was obtained with second-order kinetics model for the kinetics experiments. The values of ΔH° and ΔG° were negative while that of ΔS° was positive. The prepared adsorbent has high adsorption capacities and can be efficiently used for the removal of heavy metals from water.
Surface engineering of one-dimensional tin oxide nanostructures for chemical sensors
International Nuclear Information System (INIS)
Ma, Yuanyuan; Qu, Yongquan; Zhou, Wei
2013-01-01
Nanostructured materials are promising candidates for chemical sensors due to their fascinating physicochemical properties. Among various candidates, tin oxide (SnO 2 ) has been widely explored in gas sensing elements due to its excellent chemical stability, low cost, ease of fabrication and remarkable reproducibility. We are presenting an overview on recent investigations on 1-dimensional (1D) SnO 2 nanostructures for chemical sensing. In particular, we focus on the performance of devices based on surface engineered SnO 2 nanostructures, and on aspects of morphology, size, and functionality. The synthesis and sensing mechanism of highly selective, sensitive and stable 1D nanostructures for use in chemical sensing are discussed first. This is followed by a discussion of the relationship between the surface properties of the SnO 2 layer and the sensor performance from a thermodynamic point of view. Then, the opportunities and recent progress of chemical sensors fabricated from 1D SnO 2 heterogeneous nanostructures are discussed. Finally, we summarize current challenges in terms of improving the performance of chemical (gas) sensors using such nanostructures and suggest potential applications. (author)
DEFF Research Database (Denmark)
Talian, Ivan; Hübner, Jörg
2013-01-01
The article describes the multifunctionality of a novel black silicon (BS) nanostructured surface covered with a thin layer of noble metal prepared in the a microfluidic channel. It is focused on the separation properties of the BS substrate with direct detection of the separated analytes utilizing...
Jin, Shengyu; Wang, Yixiu; Motlag, Maithilee; Gao, Shengjie; Xu, Jin; Nian, Qiong; Wu, Wenzhuo; Cheng, Gary J
2018-03-01
Ongoing efforts in triboelectric nanogenerators (TENGs) focus on enhancing power generation, but obstacles concerning the economical and cost-effective production of TENGs continue to prevail. Micro-/nanostructure engineering of polymer surfaces has been dominantly utilized for boosting the contact triboelectrification, with deposited metal electrodes for collecting the scavenged energy. Nevertheless, this state-of-the-art approach is limited by the vague potential for producing 3D hierarchical surface structures with conformable coverage of high-quality metal. Laser-shock imprinting (LSI) is emerging as a potentially scalable approach for directly surface patterning of a wide range of metals with 3D nanoscale structures by design, benefiting from the ultrahigh-strain-rate forming process. Here, a TENG device is demonstrated with LSI-processed biomimetic hierarchically structured metal electrodes for efficient harvesting of water-drop energy in the environment. Mimicking and transferring hierarchical microstructures from natural templates, such as leaves, into these water-TENG devices is effective regarding repelling water drops from the device surface, since surface hydrophobicity from these biomicrostructures maximizes the TENG output. Among various leaves' microstructures, hierarchical microstructures from dried bamboo leaves are preferable regarding maximizing power output, which is attributed to their unique structures, containing both dense nanostructures and microscale features, compared with other types of leaves. Also, the triboelectric output is significantly improved by closely mimicking the hydrophobic nature of the leaves in the LSI-processed metal surface after functionalizing it with low-surface-energy self-assembled-monolayers. The approach opens doors to new manufacturable TENG technologies for economically feasible and ecologically friendly production of functional devices with directly patterned 3D biomimic metallic surfaces in energy
Qiu, T.; Wu, X. L.; Mei, Y. F.; Chu, P. K.; Siu, G. G.
2005-09-01
Unique silver dendritic nanostructures, with stems, branches, and leaves, were synthesized with self-organization via a simple electroless metal deposition method in a conventional autoclave containing aqueous HF and AgNO3 solution. Their growth mechanisms are discussed in detail on the basis of a self-assembled localized microscopic electrochemical cell model. A process of diffusion-limited aggregation is suggested for the formation of the silver dendritic nanostructures. This nanostructured material is of great potential to be building blocks for assembling mini-functional devices of the next generation.
PARAMETERS OPTIMIZATION OF METAL-DIELECTRIC NANOSTRUCTURES FOR SENSOR APPLICATIONS
Directory of Open Access Journals (Sweden)
V. I. Egorov
2014-07-01
Full Text Available We present calculation results of optical properties of silver nanoparticles with dielectric shell in relation to their applications in chemical and biosensors. Absorption cross-section calculation for spherical silver nanoparticles was performed by quasi static dipole approximation. It is shown that dielectric shell thickness equal to 2-3 nm and its refraction index equal to 1,5-1,75 are optimal. Calculation results were compared to experimental data. Experimental investigation of metal-dielectric nanostructures sensitivity to external refraction index was performed. Synthesis of silver nanoparticles with dielectric shell on glass surface was performed by nanosecond laser ablation method in near-surface glass layer at 1,06 μm wavelength (Solar LQ129. Synthesis of silver nanoparticles without a shell on the glass surface with silver ions was performed using thermal treatment in wet atmosphere. Spectrophotometer Cary 500 (Varyan was used for spectral measurements. In case of laser ablation method application, external refraction index changes from 1 (the air to 1,33 (water and plasmon resonance band shift for 6 nm occurs. In case of another method application at the same conditions the registered shift was equal to 13 nm. However, in the latter case the particles can be easily removed from the substrate surface. Obtained results will be useful for developing chemical and biological sensors based on plasmon resonance band shift.
Theory of Quantum Transport in Metallic and Hybrid Nanostructures
Glatz, Andreas; Vinokur, Valerii M
2006-01-01
There is a major development emerging at the intersection of modern physics, computer science, and materials science, which struggles to squeeze more devices into a restricted volume and constitutes a central focus of modern nanotechnology. Utilizing the metal-based hybrid nanostructures may offer significant advantages over those exploiting purely semiconductor materials. First, the chemistry of metals is typically simpler than that of semiconductors. Second, the electric properties of metals are much less sensitive to the structural defects and impurities than those of semiconductors. Next, metallic devices allow better electric and thermal contacts. And, last but by no means least, the high electron velocity in metals promises to accelerate enormously operation rates with respect to those in semiconductor-based devices. The book reflects scientific developments in the physics of metallic compounds based nanodevices presented at the NATO-sponsored Workshop on nanophysics held in St. Petersburg, Russia in th...
Energy Technology Data Exchange (ETDEWEB)
Amanov, Auezhan, E-mail: amanov_a@yahoo.com [Department of Mechanical Engineering, Sun Moon University, Asan 336-708 (Korea, Republic of); Cho, In-Sik [R& D Group, Mbrosia Co., Ltd., Asan 336-708 (Korea, Republic of); Pyun, Young-Sik [Department of Mechanical Engineering, Sun Moon University, Asan 336-708 (Korea, Republic of)
2016-12-01
Graphical abstract: - Highlights: • A nanostructured surface was produced by UNSM technique. • Porosities were eliminated from the surface by UNSM technique. • Extremely high hardness obtained at the top surface after UNSM treatment. • Friction and wear behavior was improved by UNSM technique. • Resistance to scratch behavior was improved by UNSM technique. - Abstract: A nanostructured surface layer with a thickness of about 180 μm was successfully produced in Cu-based alloy using an ultrasonic nanocrystalline surface modification (UNSM) technique. Cu-based alloy was sintered onto low carbon steel using a powder metallurgy (P/M) method. Transmission electron microscope (TEM) characterization revealed that the severe plastic deformation introduced by UNSM technique resulted in nano-sized grains in the topmost surface layer and deformation twins. It was also found by atomic force microscope (AFM) observations that the UNSM technique provides a significant reduction in number of interconnected pores. The effectiveness of nanostructured surface layer on the tribological and micro-scratch properties of Cu-based alloy specimens was investigated using a ball-on-disk tribometer and micro-scratch tester, respectively. Results exhibited that the UNSM-treated specimen led to an improvement in tribological and micro-scratch properties compared to that of the sintered specimen, which may be attributed to the presence of nanostructured surface layer having an increase in surface hardness and reduction in surface roughness. The findings from this study are expected to be implemented to the automotive industry, in particular connected rod bearings and bushings in order to increase the efficiency and performance of internal combustion engines (ICEs).
Li, R K; To, H; Andonian, G; Feng, J; Polyakov, A; Scoby, C M; Thompson, K; Wan, W; Padmore, H A; Musumeci, P
2013-02-15
We experimentally investigate surface-plasmon assisted photoemission to enhance the efficiency of metallic photocathodes for high-brightness electron sources. A nanohole array-based copper surface was designed to exhibit a plasmonic response at 800 nm, fabricated using the focused ion beam milling technique, optically characterized and tested as a photocathode in a high power radio frequency photoinjector. Because of the larger absorption and localization of the optical field intensity, the charge yield observed under ultrashort laser pulse illumination is increased by more than 100 times compared to a flat surface. We also present the first beam characterization results (intrinsic emittance and bunch length) from a nanostructured photocathode.
A model for the impact of the nanostructure size on its gas sensing properties
DEFF Research Database (Denmark)
Alenezi, Mohammad R.; Alzanki, T.H.; Almeshal, A.M.
2015-01-01
The size of a metal oxide nanostructure plays a key role in its performance as a gas sensor. ZnO nanostructures with different morphologies including nanowires at different diameters and nanodisks at different thicknesses were synthesized hydrothermally. Gas sensors based on individual...... of the surface to volume ratio as well as the depletion region of the nanostructure. This work can be simply generalized for other metal oxides to enhance their performance as gas sensors....... nanostructures with different sizes were fabricated and their sensing properties were compared and investigated. Nanowires with smaller diameter size and higher surface to volume ratio showed enhanced gas sensing performance. Also, as the nanodisk thickness gets closer to the thickness of the ZnO depletion layer...
International Nuclear Information System (INIS)
Jung, Mi; Choi, Jeong-Woo
2010-01-01
The discrimination of the heterogeneity of different materials on nanostructured surfaces has attracted a great deal of interest in biotechnology as well as nanotechnology. Phase imaging through tapping mode of atomic force microscopy (TMAFM) can be used to distinguish the heterogeneity on a nanostructured surface. Nanostructures were fabricated using anodic aluminum oxide (AAO). An 11-mercaptoundecanoic acid (11-MUA) layer adsorbed onto the Au nanodots through self-assembly to improve the bio-compatibility. The Au nanostructures that were modified with 11-MUA and the concave surfaces were investigated using the TMAFM phase images to compare the heterogeneous and homogeneous nanostructured surfaces. Although the topography and phase images were taken simultaneously, the images were different. Therefore, the contrast in the TMAFM phase images revealed the different compositional materials on the heterogeneous nanostructure surface.
International Nuclear Information System (INIS)
Sargazi, Ghasem; Afzali, Daryoush; Mostafavi, Ali; Ebrahimipour, S. Yousef
2017-01-01
This work presents a fast route for the preparation of a new Ta(V) metal-organic framework nanostructure with high surface area, significant porosity, and small size distribution. X-ray diffraction (XRD), scanning electron microscopy (SEM), Transition electron microscopy (TEM), energy dispersive spectrometer (EDS), thermo-gravimetric analysis (TGA), differential scanning calorimetry (DSC), fourier transform infrared spectroscopy (FTIR), CHNS/O elemental analyser, and Brunauer-Emmett-Teller (BET) surface area analysis were applied to characterize the synthesized product. Moreover, the influences of ultrasonic irradiation including temperature, time, and power on different features of the final products were systematically studied using 2 k-1 factorial design experiments, and the response surface optimization was used for determining the best welding parameter combination. The results obtained from analyses of variances showed that ultrasonic parameters affected the size distribution, thermal behaviour, and surface area of Ta-MOF samples. Based on response surface methodology, Ta-MOF could be obtained with mean diameter of 55 nm, thermal stability of 228 °C, and high surface area of 2100 m 2 /g. The results revealed that the synthesized products could be utilized in various applications such as a novel candidate for CO 2 adsorption. - Graphical abstract: A facile route was used for fabrication of a new metal -organic framework based on tantalum nanostructures that have high surface area, considerable porosity, homogenous morphology, and small size distribution.
Characteristic structures and properties of nanostructured metals prepared by plastic deformation
DEFF Research Database (Denmark)
Huang, Xiaoxu
2011-01-01
This chapter focuses on describing the characteristic microstructures of nanostructured metals produced by plastic deformation to ultrahigh strains and their correlation with hardening by annealing and softening by deformation. The results suggest that optimising microstructure and the mechanical...
International Nuclear Information System (INIS)
Laminack, William; Baker, Caitlin; Gole, James
2015-01-01
Nanostructure metal oxide decorated n-type extrinsic porous silicon (PS) semiconductor interfaces are modified through in-situ interaction with acidic ethane and butane thiols (EtSH, BuSH) and basic diethyl sulfide (Et 2 S). Highly sensitive conductometric sensor evaluations and X-ray Photoelectron Spectroscopy demonstrate the effect of sulfur group functionalization modifying the acidity of the metal oxides and their interaction with NH 3 . SEM micrographs demonstrate that the sulfur treated particles are less than 30 nm in size. EDAX studies confirm the chemical composition of the modified nanoparticles and suggest the surface interaction of the sulfides and thiols. The acidic thiols can form Brönsted acidic sites enhancing the acidity of the metal oxides, thus broadening the initial metal oxide acidity range. The sulfides interact to lower the Lewis acidity of nanostructured metal oxide sites. Conductometric response matrices with NH 3 at room temperature, corresponding to the thiol and sulfide treated nanostructures of the metal oxides TiO 2 , SnO x , Ni x O, Cu x O, and Au x O (x >> 1) are evaluated for a dominant electron transduction process forming the basis for reversible chemical sensing in the absence of chemical bond formation. Treatment with the acidic thiols enhances the metal center acidity. It is suggested that the thiols can interact to increase the Brönsted acidity of the doped metal oxide surface if they maintain SH bonds. This process may account for the shift in Lewis acidity as the Brönsted acid sites counter the decrease in Lewis acidity resulting from the interaction of S-(CH x ) y groups. In contrast, treatment with basic Et 2 S decreases the Lewis acidity of the metal oxide sites, enhancing the basicity of the decorated interface. XPS measurements indicate a change in binding energy (BE) of the metal and oxygen centers. The observed changes in conductometric response do not represent a simple increase in surface acidity or basicity but
Femtosecond laser-induced periodic surface nanostructuring of sputtered platinum thin films
Energy Technology Data Exchange (ETDEWEB)
Rodríguez, Ainara, E-mail: airodriguez@ceit.es [CIC microGUNE, Goiru Kalea 9 Polo Innovación Garaia, 20500 Arrasate-Mondragón (Spain); CEIT-IK4 & Tecnun (University of Navarra), Paseo Manuel Lardizábal 15, 20018 San Sebastián (Spain); Morant-Miñana, Maria Carmen; Dias-Ponte, Antonio; Martínez-Calderón, Miguel; Gómez-Aranzadi, Mikel; Olaizola, Santiago M. [CIC microGUNE, Goiru Kalea 9 Polo Innovación Garaia, 20500 Arrasate-Mondragón (Spain); CEIT-IK4 & Tecnun (University of Navarra), Paseo Manuel Lardizábal 15, 20018 San Sebastián (Spain)
2015-10-01
Highlights: • Femtosecond laser-induced surface nanostructures on sputtered platinum thin films. • Three types of structures obtained: random nanostructures, LSFL and HSFL. • Two different modification regimes have been established based on laser fluence. - Abstract: In this work, submicro and nanostructures self-formed on the surface of Platinum thin films under femtosecond laser-pulse irradiation are investigated. A Ti:Sapphire laser system was used to linearly scan 15 mm lines with 100 fs pulses at a central wavelength of 800 nm with a 1 kHz repetition rate. The resulting structures were characterized by scanning electron microscopy (SEM) and 2D-Fast Fourier Transform (2D-FFT) analysis. This analysis of images revealed different types of structures depending on the laser irradiation parameters: random nanostructures, low spatial frequency LIPSS (LSFL) with a periodicity from about 450 to 600 nm, and high spatial frequency LIPSS (HSFL) with a periodicity from about 80 to 200 nm. Two different modifications regimes have been established for the formation of nanostructures: (a) a high-fluence regime in which random nanostructures and LSFL are obtained and (b) a low-fluence regime in which HSFL and LSFL are obtained.
Optical anisotropy of quasi-1D rare-earth silicide nanostructures on Si(001)
Energy Technology Data Exchange (ETDEWEB)
Chandola, S., E-mail: sandhya.chandola@isas.de [Leibniz-Institut für Analytische Wissenschaften – ISAS – e.V., Schwarzschildstraße 8, 12489 Berlin (Germany); Speiser, E.; Esser, N. [Leibniz-Institut für Analytische Wissenschaften – ISAS – e.V., Schwarzschildstraße 8, 12489 Berlin (Germany); Appelfeller, S.; Franz, M.; Dähne, M. [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, 10623 Berlin (Germany)
2017-03-31
Highlights: • Reflectance anisotropy spectroscopy (RAS) is capable of distinguishing optically between the semiconducting wetting layer and the metallic nanowires of rare earth (Tb and Dy) silicide nanostructures grown on vicinal Si(001). • The spectra of the wetting layer show a distinctive line shape with a large peak appearing at 3.8 eV, which is assigned to the formation of 2 × 3 and 2 × 4-like subunits of the 2 × 7 reconstruction. The spectra of the metallic nanowires show peaks at the E{sub 1} and E{sub 2} transitions of bulk Si which is assigned to strong substrate strain induced by the nanowires. • The optical anisotropy of the Tb nanowires is larger than for the Dy nanowires, which is related to the preferential formation of more strained bundles as well as larger areas of clean Si surfaces in the case of Tb. • RAS is shown to be a powerful addition to surface science techniques for studying the formation of rare-earth silicide nanostructures. Its surface sensitivity and rapidity of response make it an ideal complement to the slower but higher resolution of scanning probes of STM and AFM. - Abstract: Rare earth metals are known to interact strongly with Si(001) surfaces to form different types of silicide nanostructures. Using STM to structurally characterize Dy and Tb silicide nanostructures on vicinal Si(001), it will be shown that reflectance anisotropy spectroscopy (RAS) can be used as an optical fingerprint technique to clearly distinguish between the formation of a semiconducting two-dimensional wetting layer and the metallic one-dimensional nanowires. Moreover, the distinctive spectral features can be related to structural units of the nanostructures. RAS spectra of Tb and Dy nanostructures are found to show similar features.
STRUCTURAL FLUCTUATIONS, ELECTRICAL RESPONSE AND THE RELIABILITY OF NANOSTRUCTURES (FINAL REPORT)
Energy Technology Data Exchange (ETDEWEB)
Philip J. Rous; Ellen D. Williams; Michael S. Fuhrer
2006-07-31
The goal of the research supported by DOE-FG02-01ER45939 was to synthesize a number of experimental and theoretical approaches to understand the relationship between morphological fluctuations, the electrical response and the reliability (failure) of metallic nanostructures. The primary focus of our work was the study of metallic nanowires which we regard as prototypical of nanoscale interconnects. Our research plan has been to link together these materials properties and behaviors by understanding the phenomenon of, and the effects of electromigration at nanometer length scales. The thrust of our research has been founded on the concept that, for nanostructures where the surface-to-volume ratio is necessarily high, surface diffusion is the dominant mass transport mechanism that governs the fluctuations, electrical properties and failure modes of nanostructures. Our approach has been to develop experimental methods that permit the direct imaging of the electromagnetic distributions within nanostructures, their structural fluctuations and their electrical response. This experimental research is complemented by a parallel theoretical and computational program that describes the temporal evolution of nanostructures in response to current flow.
Dielectric properties of DNA oligonucleotides on the surface of silicon nanostructures
Energy Technology Data Exchange (ETDEWEB)
Bagraev, N. T., E-mail: bagraev@mail.ioffe.ru [St. Petersburg Polytechnic University (Russian Federation); Chernev, A. L. [Russian Academy of Sciences, St. Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation); Klyachkin, L. E. [St. Petersburg Polytechnic University (Russian Federation); Malyarenko, A. M. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Emel’yanov, A. K.; Dubina, M. V. [Russian Academy of Sciences, St. Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation)
2016-10-15
Planar silicon nanostructures that are formed as a very narrow silicon quantum well confined by δ barriers heavily doped with boron are used to study the dielectric properties of DNA oligonucleotides deposited onto the surface of the nanostructures. The capacitance characteristics of the silicon nanostructures with oligonucleotides deposited onto their surface are determined by recording the local tunneling current–voltage characteristics by means of scanning tunneling microscopy. The results show the possibility of identifying the local dielectric properties of DNA oligonucleotide segments consisting of repeating G–C pairs. These properties apparently give grounds to correlate the segments with polymer molecules exhibiting the properties of multiferroics.
Studies on the controlled growth of InAs nanostructures on scission surfaces
International Nuclear Information System (INIS)
Bauer, J.
2006-01-01
The aim of this thesis was the controlled alignment of self-assembled InAs nano-structures on a {110}-oriented surface. The surface is prestructured with the atomic precision offered by molecular beam epitaxy, using the cleaved edge overgrowth-technique. On all samples grown within this work, the epitaxial template in the first growth step was deposited on a (001)GaAs substrate, while the InAs-layer forming the nanostructures during the second growth step was grown on cleaved {110}-GaAs surfaces. Atomic Force Microscopy (AFM) investigations demonstrate the formation of quantum dot (QD)-like nanostructures on top of the AlAs-stripes. X-ray diffraction measurements on large arrays of aligned quantum dots demonstrate that the quantum dots are formed of pure InAs. First investigations on the optical properties of these nanostructures were done using microphotoluminescence-spectroscopy with both high spatial and spectral resolution. (orig.)
Facile synthesis of nanostructured transition metal oxides as electrodes for Li-ion batteries
Opra, Denis P.; Gnedenkov, Sergey V.; Sokolov, Alexander A.; Minaev, Alexander N.; Kuryavyi, Valery G.; Sinebryukhov, Sergey L.
2017-09-01
At all times, energy storage is one of the greatest scientific challenge. Recently, Li-ion batteries are under special attention due to high working voltage, long cycle life, low self-discharge, reliability, no-memory effect. However, commercial LIBs usage in medium- and large-scale energy storage are limited by the capacity of lithiated metal oxide cathode and unsafety of graphite anode at high-rate charge. In this way, new electrode materials with higher electrochemical performance should be designed to satisfy a requirement in both energy and power. As it known, nanostructured transition metal oxides are promising electrode materials because of their elevated specific capacity and high potential vs. Li/Li+. In this work, the perspective of an original facile technique of pulsed high-voltage plasma discharge in synthesis of nanostructured transition metal oxides as electrodes for lithium-ion batteries has been demonstrated.
Directory of Open Access Journals (Sweden)
A. Taleb
2017-09-01
Full Text Available In the present work, the formation of silver and copper nanostructures on highly oriented pyrolytic graphite (HOPG modified with self-assembled gold nanoparticles (Au NPs is demonstrated. Surface patterning with nanometer resolution was achieved. Different methods such as field emission scanning electron microscopy (FEGSEM, energy dispersive spectrometry (EDS and X-ray photoelectron spectroscopy (XPS were used to illustrate a selective deposition of silver and copper on Au NPs. The mechanism of silver and copper ions reduction on Au NP with n-dodecanethiol coating is discussed.
Surface morphology effects on the light-controlled wettability of ZnO nanostructures
Energy Technology Data Exchange (ETDEWEB)
Khranovskyy, V., E-mail: volkh@ifm.liu.se [Department of Physics, Chemistry and Biology (IFM), Linkoping University (Sweden); Ekblad, T.; Yakimova, R.; Hultman, L. [Department of Physics, Chemistry and Biology (IFM), Linkoping University (Sweden)
2012-08-01
ZnO nanostructures of diverse morphology with shapes of corrals and cabbages as well as open and filled hexagons and sheaves prepared by APMOCVD technique, are investigated with water contact angle (CA) analysis. The as-grown ZnO nanostructures exhibit pure hydrophobic behavior, which is enhanced with the increase of the nanostructure's surface area. The most hydrophobic structures (CA = 124 Degree-Sign ) were found to be the complex nanosheaf, containing both the macro-and nanoscale features. It is concluded that the nanoscale roughness contributes significantly to the hydrophobicity increase. The character of wettability was possible to switch from hydrophobic-to-superhydrophilic state upon ultra violet irradiation. Both the rate and amplitude of the contact angle depend on the characteristic size of nanostructure. The observed effect is explained due to the semiconductor properties of zinc oxide enhanced by increased surface chemistry effect in nanostructures.
Energy Technology Data Exchange (ETDEWEB)
Sargazi, Ghasem, E-mail: g.sargazi@gmail.com [Department of Nanotechnology Engineering, Mineral Industries Research Center, Shahid Bahonar University of Kerman, Kerman, Iran (Iran, Islamic Republic of); Young Researchers Society, Shahid Bahonar University of Kerman, Kerman, Iran (Iran, Islamic Republic of); Afzali, Daryoush, E-mail: daryoush_afzali@yahoo.com [Department of Nanotechnology, Graduate University of Advanced Technology, Kerman (Iran, Islamic Republic of); Mostafavi, Ali [Department of Chemistry, Faculty of Sciences, Shahid Bahonar University of Kerman, Kerman, Iran (Iran, Islamic Republic of); Ebrahimipour, S. Yousef [Department of Chemistry, Faculty of Sciences, Shahid Bahonar University of Kerman, Kerman, Iran (Iran, Islamic Republic of); Pistachio Safety Research Center, Rafsanjan University of Medical Sciences, Rafsanjan, Iran (Iran, Islamic Republic of)
2017-06-15
This work presents a fast route for the preparation of a new Ta(V) metal-organic framework nanostructure with high surface area, significant porosity, and small size distribution. X-ray diffraction (XRD), scanning electron microscopy (SEM), Transition electron microscopy (TEM), energy dispersive spectrometer (EDS), thermo-gravimetric analysis (TGA), differential scanning calorimetry (DSC), fourier transform infrared spectroscopy (FTIR), CHNS/O elemental analyser, and Brunauer-Emmett-Teller (BET) surface area analysis were applied to characterize the synthesized product. Moreover, the influences of ultrasonic irradiation including temperature, time, and power on different features of the final products were systematically studied using 2{sup k-1} factorial design experiments, and the response surface optimization was used for determining the best welding parameter combination. The results obtained from analyses of variances showed that ultrasonic parameters affected the size distribution, thermal behaviour, and surface area of Ta-MOF samples. Based on response surface methodology, Ta-MOF could be obtained with mean diameter of 55 nm, thermal stability of 228 °C, and high surface area of 2100 m{sup 2}/g. The results revealed that the synthesized products could be utilized in various applications such as a novel candidate for CO{sub 2} adsorption. - Graphical abstract: A facile route was used for fabrication of a new metal -organic framework based on tantalum nanostructures that have high surface area, considerable porosity, homogenous morphology, and small size distribution.
Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection
Li, Jing; Lu, Yijiang
2005-01-01
A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.
International Nuclear Information System (INIS)
Park, Seok Joo; Park, Young Ok; Lee, Dong Geun; Ryu, Jeong In
2008-01-01
The membrane filter adhered with nanostructured porous layer was made by heat treatment after deposition of nanoparticle-agglomerates sintered in aerosol phase onto a conventional micron-fibrous metal filter as a substrate filter. The Sintered-Nanoparticle-Agglomerates-coated NanoStructured porous layer Membrane Filter (SNA-NSMF), whose the filtration performance was improved compared with the conventional metal membrane filters, was developed by adhesion of nanoparticle-agglomerates of dendrite structure sintered onto the micron-fibrous metal filter. The size of nanoparticle-agglomerates of dendrite structure decreased with increasing the sintering temperature because nanoparticle-agglomerates shrank. When shrinking nanoparticle-agglomerates were deposited and treated with heat onto the conventional micron-fibrous metal filter, pore size of nanostructured porous layer decreased. Therefore, pressure drops of SNA-NSMFs increased from 0.3 to 0.516 KPa and filtration efficiencies remarkably increased from 95.612 to 99.9993%
Piacenza, Elena; Presentato, Alessandro; Turner, Raymond J
2018-02-25
In the last 15 years, the exploitation of biological systems (i.e. plants, bacteria, mycelial fungi, yeasts, and algae) to produce metal(loid) (Me)-based nanomaterials has been evaluated as eco-friendly and a cost-effective alternative to the chemical synthesis processes. Although the biological mechanisms of biogenic Me-nanomaterial (Bio-Me-nanomaterials) production are not yet completely elucidated, a key advantage of such bio-nanostructures over those chemically synthesized is related to their natural thermodynamic stability, with several studies ascribed to the presence of an organic layer surrounding these Bio-Me-nanostructures. Different macromolecules (e.g. proteins, peptides, lipids, DNA, and polysaccharides) or secondary metabolites (e.g. flavonoids, terpenoids, glycosides, organic acids, and alkaloids) naturally produced by organisms have been indicated as main contributors to the stabilization of Bio-Me-nanostructures. Nevertheless, the chemical-physical mechanisms behind the ability of these molecules in providing stability to Bio-Me-nanomaterials are unknown. In this context, transposing the stabilization theory of chemically synthesized Me-nanomaterials (Ch-Me-nanomaterials) to biogenic materials can be used towards a better comprehension of macromolecules and secondary metabolites role as stabilizing agents of Bio-Me-nanomaterials. According to this theory, nanomaterials are generally featured by high thermodynamic instability in suspension, due to their high surface area and surface energy. This feature leads to the necessity to stabilize chemical nanostructures, even during or directly after their synthesis, through the development of (i) electrostatic, (ii) steric, or (iii) electrosteric interactions occurring between molecules and nanomaterials in suspension. Based on these three mechanisms, this review is focused on parallels between the stabilization of biogenic or chemical nanomaterials, suggesting which chemical-physical mechanisms may be
GREENER PRODUCTION OF NOBLE METAL NANOSTRUCTURES AND NANOCOMPOSITES: RISK REDUCTION AND APPLICATIONS
The synthesis of nanometal/nano metal oxide/nanostructured polymer and their stabilization (through dispersant, biodegradable polymer) involves the use of natural renewable resources such plant material extract, biodegradable polymers, sugars, vitamins and finally efficient and s...
Effects of fibre-form nanostructures on particle emissions from a tungsten surface in plasmas
International Nuclear Information System (INIS)
Takamura, S.; Miyamoto, T.; Ohno, N.
2012-01-01
The effects of fibre-form nanostructure of a tungsten surface on both electron emission and sputtering in helium/argon plasmas are represented. Generally, a nano-fibre forest, the so-called ‘fuzz’, made of tungsten with helium gas inside is found to have the tendency of suppressing the particle emission substantially. The electron emission comes from the impact of high-energy primary electrons. In addition, a deeply biased tungsten target, which inhibits the influx of even energetic primary electrons, seems to produce an electron emission, and it may be suppressed on the way to nanostructure formation on the surface of the W target. Such an emission process is discussed here. The sputtering yield of the He-damaged tungsten surface with the fibre-form nanostructure depends on the surface morphology while the sputtering itself changes the surface morphology, so that the time evolutions of sputtering yield from the W surface with an originally well-developed nanostructure are found to show a minimum in sputtering yield, which is about a half for the fresh nanostructured tungsten and roughly one-fifth of the yield for the original flat normal tungsten surface. The surface morphology at that time is, for the first time, made clear with field emission scanning electron microscopy observation. The physical mechanism for the appearance of such a minimum in sputtering yield is discussed. (paper)
International Nuclear Information System (INIS)
Macko, Ján; Oriňak, Andrej; Oriňaková, Renáta; Muhmann, Christian; Petruš, Ondrej; Harvanová, Denisa
2015-01-01
Highlights: • Unique nanohybrid formed from nanostructured nickel covered with polymer layer in being introduced. • Polymer is spin-coated on nanostructured nickel surface. • Nanohybrid surface hydrophobicity extension has been observed. • Adhesion of the cells was studied at nanohybrid surface. • The cells growth was differently inhibited at nanohybrid surface. - Abstract: An intensive gain of surface hydrophobicity has been observed on the differently polar polymer layers spin-coated directly on the previously prepared nanostructured nickel surface to form nanohybrids. Nanostructured nickel layer has been prepared by electrochemical deposition to form polyhedral crystalline nanostructure. Surface morphology and homogeneity of a nanohybrid polymer layer have been monitored by TOF-SIMS and SEM methods. Hydrophobicity extension of nanohybrid surfaces increased nearly linearly with decreasing polarity of single polymers applied and maximum increase in hydrophobicity value obtained was 32%. Novel nanohybrid surfaces functionality has been tested on the different cells adhesion. The results showed cell adhesion followed with an inhibition of the living cells spreading and proliferation on declared nanostructured nickel–polymer nanohybrid surfaces. The maximum inhibition activity of nanohybrid surface against cells line has been observed in a case when polydimethylsiloxane was applied as surface polymeric layer. Preparation of this kind of surface is easy and inexpensive, with many proposed applications where hydrophobic surfaces are required. This also can tend as a model for the preparation of the surfaces with cell anti-adhesion and antimicrobial activity.
Nanostructured magnesium has fewer detrimental effects on osteoblast function
Directory of Open Access Journals (Sweden)
Weng L
2013-05-01
Full Text Available Lucy Weng, Thomas J Webster School of Engineering and Department of Orthopedics, Brown University, Providence, RI, USA Abstract: Efforts have been made recently to implement nanoscale surface features on magnesium, a biodegradable metal, to increase bone formation. Compared with normal magnesium, nanostructured magnesium has unique characteristics, including increased grain boundary properties, surface to volume ratio, surface roughness, and surface energy, which may influence the initial adsorption of proteins known to promote the function of osteoblasts (bone-forming cells. Previous studies have shown that one way to increase nanosurface roughness on magnesium is to soak the metal in NaOH. However, it has not been determined if degradation of magnesium is altered by creating nanoscale features on its surface to influence osteoblast density. The aim of the present in vitro study was to determine the influence of degradation of nanostructured magnesium, created by soaking in NaOH, on osteoblast density. Our results showed a less detrimental effect of magnesium degradation on osteoblast density when magnesium was treated with NaOH to create nanoscale surface features. The detrimental degradation products of magnesium are of significant concern when considering use of magnesium as an orthopedic implant material, and this study identified a surface treatment, ie, soaking in NaOH to create nanoscale features for magnesium that can improve its use in numerous orthopedic applications. Keywords: nanostructured magnesium, degradation, detrimental effects, osteoblasts
DNA nanostructure-directed assembly of metal nanoparticle superlattices
Julin, Sofia; Nummelin, Sami; Kostiainen, Mauri A.; Linko, Veikko
2018-05-01
Structural DNA nanotechnology provides unique, well-controlled, versatile, and highly addressable motifs and templates for assembling materials at the nanoscale. These methods to build from the bottom-up using DNA as a construction material are based on programmable and fully predictable Watson-Crick base pairing. Researchers have adopted these techniques to an increasing extent for creating numerous DNA nanostructures for a variety of uses ranging from nanoelectronics to drug-delivery applications. Recently, an increasing effort has been put into attaching nanoparticles (the size range of 1-20 nm) to the accurate DNA motifs and into creating metallic nanostructures (typically 20-100 nm) using designer DNA nanoshapes as molds or stencils. By combining nanoparticles with the superior addressability of DNA-based scaffolds, it is possible to form well-ordered materials with intriguing and completely new optical, plasmonic, electronic, and magnetic properties. This focused review discusses the DNA structure-directed nanoparticle assemblies covering the wide range of different one-, two-, and three-dimensional systems.
Delayed frost formation on hybrid nanostructured surfaces with patterned high wetting contrast
Hou, Youmin; Zhou, Peng; Yao, Shuhuai
2014-11-01
Engineering icephobic surfaces that can retard the frost formation and accumulation are important to vehicles, wind turbines, power lines, and HVAC systems. For condensation frosting, superhydrophobic surfaces promote self-removal of condensed droplets before freezing and consequently delay the frost growth. However, a small thermal fluctuation may lead to a Cassie-to-Wenzel transition, and thus dramatically enhance the frost formation and adhesion. In this work, we investigated the heterogeneous ice nucleation on hybrid nanostructured surfaces with patterned high wetting contrast. By judiciously introducing hydrophilic micro-patches into superhydrophobic nanostructured surface, we demonstrated that such a novel hybrid structure can efficiently defer the ice nucleation as compared to a superhydrophobic surface with nanostructures only. We observed efficient droplet jumping and higher coverage of droplets with diameter smaller than 10 μm, both of which suppress frost formation. The hybrid surface avoids the formation of liquid-bridges for Cassie-to-Wenzel transition, therefore eliminating the `bottom-up' droplet freezing from the cold substrate. These findings provide new insights to improve anti-frosting and anti-icing by using heterogeneous wettability in multiscale structures.
Chiang, Cheng-Kun; Lu, Yen-Wen
2011-07-01
Evaporation phenomena are a critical and frequently seen phase change process in many heat transfer applications. In this paper, we study the evaporation process of a sessile droplet on two topologically different surfaces, including smooth and nanostructured surfaces. The nanostructured surface has an array of high-aspect-ratio nanowires (height/diameter ~ 125) and is implemented by using a simple template-based nanofabrication method. It possesses superhydrophobicity (>140°) and low contact angle hysteresis (1.2-2.1°), allowing the liquid droplets to remain in the 'fakir' state throughout the evaporation processes. Sessile droplets of deionized (DI) water and water/methanol binary mixture test liquids with their contact angles and base diameters are monitored. The results show that the nanostructures play a critical role in the droplet dynamics during evaporation.
International Nuclear Information System (INIS)
Chiang, Cheng-Kun; Lu, Yen-Wen
2011-01-01
Evaporation phenomena are a critical and frequently seen phase change process in many heat transfer applications. In this paper, we study the evaporation process of a sessile droplet on two topologically different surfaces, including smooth and nanostructured surfaces. The nanostructured surface has an array of high-aspect-ratio nanowires (height/diameter ∼ 125) and is implemented by using a simple template-based nanofabrication method. It possesses superhydrophobicity (>140°) and low contact angle hysteresis (1.2–2.1°), allowing the liquid droplets to remain in the 'fakir' state throughout the evaporation processes. Sessile droplets of deionized (DI) water and water/methanol binary mixture test liquids with their contact angles and base diameters are monitored. The results show that the nanostructures play a critical role in the droplet dynamics during evaporation
Control of bacterial biofilm growth on surfaces by nanostructural mechanics and geometry
International Nuclear Information System (INIS)
Epstein, A K; Hochbaum, A I; Kim, Philseok; Aizenberg, J
2011-01-01
Surface-associated communities of bacteria, called biofilms, pervade natural and anthropogenic environments. Mature biofilms are resistant to a wide range of antimicrobial treatments and therefore pose persistent pathogenic threats. The use of surface chemistry to inhibit biofilm growth has been found to only transiently affect initial attachment. In this work, we investigate the tunable effects of physical surface properties, including high-aspect-ratio (HAR) surface nanostructure arrays recently reported to induce long-range spontaneous spatial patterning of bacteria on the surface. The functional parameters and length scale regimes that control such artificial patterning for the rod-shaped pathogenic species Pseudomonas aeruginosa are elucidated through a combinatorial approach. We further report a crossover regime of biofilm growth on a HAR nanostructured surface versus the nanostructure effective stiffness. When the 'softness' of the hair-like nanoarray is increased beyond a threshold value, biofilm growth is inhibited as compared to a flat control surface. This result is consistent with the mechanoselective adhesion of bacteria to surfaces. Therefore by combining nanoarray-induced bacterial patterning and modulating the effective stiffness of the nanoarray—thus mimicking an extremely compliant flat surface—bacterial mechanoselective adhesion can be exploited to control and inhibit biofilm growth.
Laser generation of nanostructures on the surface and in the bulk of solids
International Nuclear Information System (INIS)
Bityurin, N M
2010-01-01
This paper considers nanostructuring of solid surfaces by nano-optical techniques, primarily by laser particle nanolithography. Threshold processes are examined that can be used for laser structuring of solid surfaces, with particular attention to laser swelling of materials. Fundamental spatial resolution issues in three-dimensional (3D) laser nanostructuring are analysed with application to laser nanopolymerisation and 3D optical information recording. The formation of nanostructures in the bulk of solids due to their structural instability under irradiation is exemplified by photoinduced formation of nanocomposites. (photonics and nanotechnology)
Surface States Effect on the Large Photoluminescence Redshift in GaN Nanostructures
Ben Slimane, Ahmed; Najar, Adel; Ooi, Boon S.; Shen, Chao; Anjum, Dalaver H.; San-Romá n-Alerigi, Damiá n P.; Ng, Tien Khee
2013-01-01
We report on the large photoluminescence redshift observed in nanostructures fabricated using n-type GaN by ultraviolet (UV) metal-assisted electroless chemical-etching method. The scanning electron microscopy (SEM) characterization showed
Two-color beam improvement of the colloidal particle lens array assisted surface nanostructuring
Energy Technology Data Exchange (ETDEWEB)
Afanasiev, Andrei; Bredikhin, Vladimir; Pikulin, Alexander; Ilyakov, Igor; Shishkin, Boris; Akhmedzhanov, Rinat; Bityurin, Nikita, E-mail: bit@ufp.appl.sci-nnov.ru [Institute of Applied Physics of Russian Academy of Scienses, 46, Ul' yanov St., Nizhniy Novgorod 603950 (Russian Federation)
2015-05-04
We consider laser nanostructuring of the material surface by means of a colloidal particle lens array. Here, the monolayer of dielectric micro- or nanospheres placed on the surface acts as an array of near-field lenses that focus the laser radiation into the multitude of distinct spots, allowing the formation of many structures in a single stage. We show that conversion of a small part of the energy of the femtosecond beam into the second harmonic (SH) is an efficient way to increase the surface density of obtained nanostructures. By combining the fundamental frequency and the SH, one benefits both from the power of the former and from the focusing ability of the latter. This combination provides an efficient nanostructuring with sphere diameter close to the wavelength of the second harmonic. The possibility to create arrays of nanostructures with surface density above 5×10{sup 8} cm{sup −2} with femtosecond Ti:sapphire laser operating at 800 nm was demonstrated by employing 0.45 μm spheres.
International Nuclear Information System (INIS)
Bettini, Luca Giacomo; Bardizza, Giorgio; Podestà, Alessandro; Milani, Paolo; Piseri, Paolo
2013-01-01
Nanostructured porous films of carbon with density of about 0.5 g/cm 3 and 200 nm thickness were deposited at room temperature by supersonic cluster beam deposition (SCBD) from carbon clusters formed in the gas phase. Carbon film surface topography, determined by atomic force microscopy, reveals a surface roughness of 16 nm and a granular morphology arising from the low kinetic energy ballistic deposition regime. The material is characterized by a highly disordered carbon structure with predominant sp2 hybridization as evidenced by Raman spectroscopy. The interface properties of nanostructured carbon electrodes were investigated by cyclic voltammetry and electrochemical impedance spectroscopy employing KOH 1 M solution as aqueous electrolyte. An increase of the double layer capacitance is observed when the electrodes are heat treated in air or when a nanostructured nickel layer deposited by SCBD on top of a sputter deposited film of the same metal is employed as a current collector instead of a plain metallic film. This enhancement is consistent with an improved charge injection in the active material and is ascribed to the modification of the electrical contact at the interface between the carbon and the metal current collector. Specific capacitance values up to 120 F/g have been measured for the electrodes with nanostructured metal/carbon interface.
Energy Technology Data Exchange (ETDEWEB)
Bettini, Luca Giacomo; Bardizza, Giorgio; Podesta, Alessandro; Milani, Paolo; Piseri, Paolo, E-mail: piseri@mi.infn.it [Universita degli Studi di Milano, Dipartimento di Fisica and CIMaINa (Italy)
2013-02-15
Nanostructured porous films of carbon with density of about 0.5 g/cm{sup 3} and 200 nm thickness were deposited at room temperature by supersonic cluster beam deposition (SCBD) from carbon clusters formed in the gas phase. Carbon film surface topography, determined by atomic force microscopy, reveals a surface roughness of 16 nm and a granular morphology arising from the low kinetic energy ballistic deposition regime. The material is characterized by a highly disordered carbon structure with predominant sp2 hybridization as evidenced by Raman spectroscopy. The interface properties of nanostructured carbon electrodes were investigated by cyclic voltammetry and electrochemical impedance spectroscopy employing KOH 1 M solution as aqueous electrolyte. An increase of the double layer capacitance is observed when the electrodes are heat treated in air or when a nanostructured nickel layer deposited by SCBD on top of a sputter deposited film of the same metal is employed as a current collector instead of a plain metallic film. This enhancement is consistent with an improved charge injection in the active material and is ascribed to the modification of the electrical contact at the interface between the carbon and the metal current collector. Specific capacitance values up to 120 F/g have been measured for the electrodes with nanostructured metal/carbon interface.
Bettini, Luca Giacomo; Bardizza, Giorgio; Podestà, Alessandro; Milani, Paolo; Piseri, Paolo
2013-02-01
Nanostructured porous films of carbon with density of about 0.5 g/cm3 and 200 nm thickness were deposited at room temperature by supersonic cluster beam deposition (SCBD) from carbon clusters formed in the gas phase. Carbon film surface topography, determined by atomic force microscopy, reveals a surface roughness of 16 nm and a granular morphology arising from the low kinetic energy ballistic deposition regime. The material is characterized by a highly disordered carbon structure with predominant sp2 hybridization as evidenced by Raman spectroscopy. The interface properties of nanostructured carbon electrodes were investigated by cyclic voltammetry and electrochemical impedance spectroscopy employing KOH 1 M solution as aqueous electrolyte. An increase of the double layer capacitance is observed when the electrodes are heat treated in air or when a nanostructured nickel layer deposited by SCBD on top of a sputter deposited film of the same metal is employed as a current collector instead of a plain metallic film. This enhancement is consistent with an improved charge injection in the active material and is ascribed to the modification of the electrical contact at the interface between the carbon and the metal current collector. Specific capacitance values up to 120 F/g have been measured for the electrodes with nanostructured metal/carbon interface.
International Nuclear Information System (INIS)
Jiao Tifeng; Cheng Caixia; Xi Fu; Liu Minghua
2006-01-01
Supramolecular assemblies at the air/water interface from a newly designed tyrosine-based bolaamphiphile, 1,10-bis(O-L-tyrosine)-decane (C10BT), were investigated. The compound could be spread on water surface and form organized ultrathin film. It was interesting to find that metal ions such as Ag + and Cu 2+ in the subphase can greatly modulate the molecular packing of C10BT and the morphology of the subsequently deposited Langmuir-Blodgett (LB) films. Atomic force microscopic measurements revealed that C10BT LB film from the subphase containing Ag + ion showed well-ordered layered nanofibers, while Cu 2+ ion coordinated C10BT film demonstrated dense cross-linked network. It was suggested that both the strong chelating property to the carboxylate and the different packing mode of hydrocarbon chain resulted in the distinct nanostructures. Fourier transform infrared spectra reveal the difference between the Ag-C10BT complex film and that of Cu 2+ ion, and the mechanism of the packing mode of hydrocarbon chain was discussed. Furthermore, the X-ray diffraction and X-ray photoelectron spectra also verified the orderly layer structure and the relative molar ratios compared with different metal ions. While many efforts have been devoted to manipulation of the nanostructures and functions of sophisticated bolaform amphiphiles, we provided a simple method of modulating the organization and morphology of C10BT films through metal ions
Responses of fibroblasts and glial cells to nanostructured platinum surfaces
Energy Technology Data Exchange (ETDEWEB)
Pennisi, C P; Sevcencu, C; Yoshida, K [Center for Sensory-Motor Interaction (SMI), Aalborg University, Aalborg (Denmark); Dolatshahi-Pirouz, A; Foss, M; Larsen, A Nylandsted; Besenbacher, F [Interdisciplinary Nanoscience Center (iNANO), Aarhus University, Aarhus (Denmark); Hansen, J Lundsgaard [Department of Physics and Astronomy, Aarhus University, Aarhus (Denmark); Zachar, V, E-mail: cpennisi@hst.aau.d [Laboratory for Stem Cell Research, Aalborg University (Denmark)
2009-09-23
The chronic performance of implantable neural prostheses is affected by the growth of encapsulation tissue onto the stimulation electrodes. Encapsulation is associated with activation of connective tissue cells at the electrode's metallic contacts, usually made of platinum. Since surface nanotopography can modulate the cellular responses to materials, the aim of the present work was to evaluate the 'in vitro' responses of connective tissue cells to platinum strictly by modulating its surface nanoroughness. Using molecular beam epitaxy combined with sputtering, we produced platinum nanostructured substrates consisting of irregularly distributed nanopyramids and investigated their effect on the proliferation, cytoskeletal organization and cellular morphology of primary fibroblasts and transformed glial cells. Cells were cultured on these substrates and their responses to surface roughness were studied. After one day in culture, the fibroblasts were more elongated and their cytoskeleton less mature when cultured on rough substrates. This effect increased as the roughness of the surface increased and was associated with reduced cell proliferation throughout the observation period (4 days). Morphological changes also occurred in glial cells, but they were triggered by a different roughness scale and did not affect cellular proliferation. In conclusion, surface nanotopography modulates the responses of fibroblasts and glial cells to platinum, which may be an important factor in optimizing the tissue response to implanted neural electrodes.
Ion beam induced optical and surface modification in plasmonic nanostructures
Energy Technology Data Exchange (ETDEWEB)
Singh, Udai B., E-mail: udaibhansingh123@gmail.com; Gautam, Subodh K.; Kumar, Sunil; Hooda, Sonu; Ojha, Sunil; Singh, Fouran
2016-07-15
In present work, ion irradiation induced nanostructuring has been exploited as an efficient and effective tool for synthesis of coupled plasmonics nanostructures by using 1.2 MeV Xe ions on Au/ZnO/Au system deposited on glass substrate. The results are correlated on the basis of their optical absorption, surface morphologies and enhanced sensitivity of evolved phonon modes by using UV Visible spectroscopy, scanning electron microscopy (SEM), and Raman spectroscopy (RS), respectively. Optical absorbance spectra of plasmonic nanostructures (NSs) show a decrease in band gap, which may be ascribed to the formation of defects with ion irradiation. The surface morphology reveals the formation of percolated NSs upon ion irradiation and Rutherford backscattering spectrometry (RBS) study clearly shows the formation of multilayer system. Furthermore, RS measurements on samples are studied to understand the enhanced sensitivity of ion irradiation induced phonon mode at 573 cm{sup −1} along with other modes. As compared to pristine sample, a stronger and pronounced evolution of these phonon modes is observed with further ion irradiation, which indicates localized surface plasmon results with enhanced intensity of phonon modes of Zinc oxide (ZnO) material. Thus, such plasmonic NSs can be used as surface enhanced Raman scattering (SERS) substrates.
Fabrication of Nanostructured Polymer Surfaces and Characterization of their Wetting Properties
DEFF Research Database (Denmark)
Andersen, Nis Korsgaard
. • Simulations of wetting transitions. • Clean room fabrication of functional surfaces, and production of micro- and nanostructured mold inserts. • Injection molding of micro- and nanostructured polymer parts on a commercial injection molding machine. • Co-invented a patented technique for microstructuring steel...... molds able to produce superhydrophobic polymer parts. The patented microstructuring technique generates microstructures similar to those found on the leaf of the lotus flower, without the overlaying nanostructure. Despite the lack of hierarchical structures, the microstructured surface shows excellent...... structures and the irregular structures produced by the patented microstructuring technique. The second study bridges the gap between silicon structures produced by planar processes in the clean room and the smooth multi-height structures often found in nature. Finally i have demonstrated a novel type...
Plasmonics analysis of nanostructures for bioapplications
Xie, Qian
Plasmonics, the science and technology of the plasmons, is a rapidly growing field with substantial broader impact in numerous different fields, especially for bio-applications such as bio-sensing, bio-photonics and photothermal therapy. Resonance effects associated with plasmatic behavior i.e. surface Plasmon resonance (SPR) and localize surface Plasmon resonance (LSPR), are of particular interest because of their strong sensitivity to the local environment. In this thesis, plasmonic resonance effects are discussed from the basic theory to applications, especially the application in photothermal therapy, and grating bio-sensing. This thesis focuses on modeling different metallic nanostructures, i.e. nanospheres, nanorods, core-shell nanoparticles, nanotori and hexagonal closed packed nanosphere structures, to determine their LSPR wavelengths for use in various applications. Experiments regarding photothermal therapy using gold nanorods are described and a comparison is presented with results obtained from simulations. Lastly, experiments of grating-based plasmon-enhanced bio-sensing are also discussed. In chapter one, the physics of plasmonics is reviewed, including surface plasmon resonance (SPR) and localized surface plasmon resonance (LSPR). In the section on surface plasmon resonance, the physics behind the phenomenon is discussed, and also, the detection methods and applications in bio-sensing are described. In the section on localized surface plasmon resonance (LSPR), the phenomenon is described with respect to sub wavelength metallic nanoparticles. In chapter two, specific plasmonic-based bio-applications are discussed including plasmonic and magneto-plasmonic enhanced photothermal therapy and grating-based SPR bio-sening. In chapter three, which is the most important part in the thesis, optical modeling of different gold nanostructures is presented. The modeling tools used in this thesis are Comsol and custom developed Matlab programs. In Comsol, the
Energy Technology Data Exchange (ETDEWEB)
Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)
2016-03-15
The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.
International Nuclear Information System (INIS)
Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.
2016-01-01
The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.
Thermally controlled growth of surface nanostructures on ion-modified AIII-BV semiconductor crystals
Trynkiewicz, Elzbieta; Jany, Benedykt R.; Wrana, Dominik; Krok, Franciszek
2018-01-01
The primary motivation for our systematic study is to provide a comprehensive overview of the role of sample temperature on the pattern evolution of several AIII-BV semiconductor crystal (001) surfaces (i.e., InSb, InP, InAs, GaSb) in terms of their response to low-energy Ar+ ion irradiation conditions. The surface morphology and the chemical diversity of such ion-modified binary materials has been characterized by means of scanning electron microscopy (SEM). In general, all surface textures following ion irradiation exhibit transitional behavior from small islands, via vertically oriented 3D nanostructures, to smoothened surface when the sample temperature is increased. This result reinforces our conviction that the mass redistribution of adatoms along the surface plays a vital role during the formation and growth process of surface nanostructures. We would like to emphasize that this paper addresses in detail for the first time the topic of the growth kinetics of the nanostructures with regard to thermal surface diffusion, while simultaneously offering some possible approaches to supplementing previous studies and therein gaining a new insight into this complex issue. The experimental results are discussed with reference to models of the pillars growth, abutting on preferential sputtering, the self-sustained etch masking effect and the redeposition process recently proposed to elucidate the observed nanostructuring mechanism.
Directory of Open Access Journals (Sweden)
Andreas Körtge
2013-01-01
Full Text Available A major challenge in biomaterials research is the regulation of protein adsorption at metallic implant surfaces. Recently, a number of studies have shown that protein adsorption can be influenced by metallic nanotopographies, which are discussed to increase electric field strengths near sharp edges and spikes. Since many metallic biomaterials form a native passivation layer with semiconducting properties, we have analyzed the influence of this layer on the near-surface electric field distribution of a nanostructure using finite element simulations. The Poisson-Boltzmann equation was solved for a titanium nanostructure covered by a TiO2 passivation layer in contact with a physiological NaCl solution (bulk concentration 0.137 mol/L. In contrast to a purely metallic nanostructure, the electric field strengths near sharp edges and spikes can be lower than in planar regions if a passivation layer is considered. Our results demonstrate that the passivation layer has a significant influence on the near-surface electric field distribution and must be considered for theoretical treatments of protein adsorption on passivated metals like titanium.
Burakov, Alexander E; Galunin, Evgeny V; Burakova, Irina V; Kucherova, Anastassia E; Agarwal, Shilpi; Tkachev, Alexey G; Gupta, Vinod K
2018-02-01
The problem of water pollution is of a great concern. Adsorption is one of the most efficient techniques for removing noxious heavy metals from the solvent phase. This paper presents a detailed information and review on the adsorption of noxious heavy metal ions from wastewater effluents using various adsorbents - i.e., conventional (activated carbons, zeolites, clays, biosorbents, and industrial by-products) and nanostructured (fullerenes, carbon nanotubes, graphenes). In addition to this, the efficiency of developed materials for adsorption of the heavy metals is discussed in detail along with the comparison of their maximum adsorption capacity in tabular form. A special focus is made on the perspectives of further wider applications of nanostructured adsorbents (especially, carbon nanotubes and graphenes) in wastewater treatment. Copyright © 2017 Elsevier Inc. All rights reserved.
Palmstrom, Axel F.; Santra, Pralay K.; Bent, Stacey F.
2015-07-01
Nanostructured materials offer key advantages for third-generation photovoltaics, such as the ability to achieve high optical absorption together with enhanced charge carrier collection using low cost components. However, the extensive interfacial areas in nanostructured photovoltaic devices can cause high recombination rates and a high density of surface electronic states. In this feature article, we provide a brief review of some nanostructured photovoltaic technologies including dye-sensitized, quantum dot sensitized and colloidal quantum dot solar cells. We then introduce the technique of atomic layer deposition (ALD), which is a vapor phase deposition method using a sequence of self-limiting surface reaction steps to grow thin, uniform and conformal films. We discuss how ALD has established itself as a promising tool for addressing different aspects of nanostructured photovoltaics. Examples include the use of ALD to synthesize absorber materials for both quantum dot and plasmonic solar cells, to grow barrier layers for dye and quantum dot sensitized solar cells, and to infiltrate coatings into colloidal quantum dot solar cell to improve charge carrier mobilities as well as stability. We also provide an example of monolayer surface modification in which adsorbed ligand molecules on quantum dots are used to tune the band structure of colloidal quantum dot solar cells for improved charge collection. Finally, we comment on the present challenges and future outlook of the use of ALD for nanostructured photovoltaics.
Con, Celal; Cui, Bo
2017-12-01
This paper describes a simple and low-cost fabrication method for multi-functional nanostructures with outstanding anti-reflective and super-hydrophobic properties. Our method employed phase separation of a metal salt-polymer nanocomposite film that leads to nanoisland formation after etching away the polymer matrix, and the metal salt island can then be utilized as a hard mask for dry etching the substrate or sublayer. Compared to many other methods for patterning metallic hard mask structures, such as the popular lift-off method, our approach involves only spin coating and thermal annealing, thus is more cost-efficient. Metal salts including aluminum nitrate nonahydrate (ANN) and chromium nitrate nonahydrate (CNN) can both be used, and high aspect ratio (1:30) and high-resolution (sub-50 nm) pillars etched into silicon can be achieved readily. With further control of the etching profile by adjusting the dry etching parameters, cone-like silicon structure with reflectivity in the visible region down to a remarkably low value of 2% was achieved. Lastly, by coating a hydrophobic surfactant layer, the pillar array demonstrated a super-hydrophobic property with an exceptionally high water contact angle of up to 165.7°.
Takenaka, Keisuke; Tsukamoto, Masahiro; Sato, Yuji; Ooga, Takahiro; Asai, Satoru; Murai, Kensuke
2018-06-01
Poly(methyl methacrylate) (PMMA) is widely used as a biomaterial. The formation of periodic nanostructures on the surface is necessary to improve the biocompatibility. A method was proposed and developed to form periodic nanostructures on a PMMA surface. A PMMA plate was placed on titanium (Ti) plate, and then the Ti plate was irradiated with a laser through the PMMA plate. We try to effectively produce periodic nanostructures on PMMA with a femtosecond laser at a fundamental wavelength by increasing the contact pressure and using titanium (Ti) plate. The contact pressure between PMMA and Ti required to form a periodic nanostructure is 300 kPa, and for a contact pressure of 2400 kPa, periodic nanostructures are formed in 62% of the laser-irradiated area on the PMMA surface. These results suggest that the formation efficiency of the periodic nanostructure depends on the laser conditions and the contact pressure.
DEFF Research Database (Denmark)
Veltzé, Sune; Larsen, Mikkel Juul; Elina, Yli-Rantala
or platinum-alloy catalysts in the electrodes are required. To maximize the utilization of the noble metal it is frequently deposited as nanoparticles (1–5 nm) on a stabilizing support of carbon black. Carbon black provides good anchoring of the catalyst particles, but is prone to severe destructive oxidation...... at high electrical potentials encountered occasionally in fuel cells. Other nanostructures of carbon are being investigated as alternatives to carbon black as they have several beneficial properties. Multi-walled carbon nanotubes (MW-CNT) are an example of one type of these promising materials. Like...... of the fuel-cell electrodes. However, the low concentration of structural defects also poses challenges with regard to anchoring of the catalyst particles on the CNT surface. Thus, activation treatments introducing surface functional groups may be necessary. Also, the surface properties are responsible...
Using surfaces, ligands, and dimensionality to obtain desired nanostructure properties
Nagpal, Prashant; Singh, Vivek; Ding, Yuchen
2014-03-01
Nanostructured materials are intensively investigated to obtain material properties different from their bulk counterparts. It has been demonstrated that nanoscaled semiconductor can have interesting size, shape and morphology dependent optoelectronic properties. But the effect of surfaces, ligands and dimensionality (0D quantum dots to 2D nanosheets) has been largely unexplored. Here, we will show how tuning the surface and dimensionality can affect the electronic states of the semiconductor, and how these states can play an important role in their fundamental photophysical properties or thermal transport. Using the specific case for silicon, we will show how ``new'' surface states in small uniform can lead to light absorption/emission without phonon assistance, while hindering the phonon-drag of charge carriers leading to low Seebeck coefficient for thermoelectric applications. These measurements will shed light on designing appropriate surface, size, and dimensionality for desired applications of nanostructured films.
Direct writing of metal nanostructures: lithographic tools for nanoplasmonics research.
Leggett, Graham J
2011-03-22
Continued progress in the fast-growing field of nanoplasmonics will require the development of new methods for the fabrication of metal nanostructures. Optical lithography provides a continually expanding tool box. Two-photon processes, as demonstrated by Shukla et al. (doi: 10.1021/nn103015g), enable the fabrication of gold nanostructures encapsulated in dielectric material in a simple, direct process and offer the prospect of three-dimensional fabrication. At higher resolution, scanning probe techniques enable nanoparticle particle placement by localized oxidation, and near-field sintering of nanoparticulate films enables direct writing of nanowires. Direct laser "printing" of single gold nanoparticles offers a remarkable capability for the controlled fabrication of model structures for fundamental studies, particle-by-particle. Optical methods continue to provide a powerful support for research into metamaterials.
Nanostructured gold microelectrodes for extracellular recording
Energy Technology Data Exchange (ETDEWEB)
Brueggemann, Dorothea; Wolfrum, Bernhard; Maybeck, Vanessa; Offenhaeusser, Andreas [CNI Center of Nanoelectronic Systems for Information Technology and Institute of Bio- and Nanosystems 2, Forschungszentrum Juelich (Germany)
2010-07-01
Electrophysiological activity of electrogenic cells is currently recorded with planar bioelectronic interfaces such as microelectrode arrays (MEAs). In this work, a novel concept of biocompatible nanostructured gold MEAs for extracellular signal recording is presented. MEAs were fabricated using clean room technologies, e.g. photolithography and metallization. Subsequently, they were modified with gold nanopillars of approximately 300 to 400 nm in height and 60 nm width. The nanostructuring process was carried out with a template-assisted approach using nanoporous aluminium oxide. Impedance spectroscopy of the resulting nanostructures showed higher capacitances compared to planar gold. This confirmed the expected increase of the surface area via nanostructuring. We used the nanostructured microelectrodes to record extracellular potentials from heart muscle cells (HL1), which were plated onto the chips. Good coupling between the HL1 cells and the nanostructured electrodes was observed. The resulting signal-to-noise ratio of nanopillar-MEAs was increased by a factor of 2 compared to planar MEAs. In future applications this nanopillar concept can be adopted for distinct interface materials and coupling to cellular and molecular sensing components.
Topographically Engineered Large Scale Nanostructures for Plasmonic Biosensing
Xiao, Bo; Pradhan, Sangram K.; Santiago, Kevin C.; Rutherford, Gugu N.; Pradhan, Aswini K.
2016-04-01
We demonstrate that a nanostructured metal thin film can achieve enhanced transmission efficiency and sharp resonances and use a large-scale and high-throughput nanofabrication technique for the plasmonic structures. The fabrication technique combines the features of nanoimprint and soft lithography to topographically construct metal thin films with nanoscale patterns. Metal nanogratings developed using this method show significantly enhanced optical transmission (up to a one-order-of-magnitude enhancement) and sharp resonances with full width at half maximum (FWHM) of ~15nm in the zero-order transmission using an incoherent white light source. These nanostructures are sensitive to the surrounding environment, and the resonance can shift as the refractive index changes. We derive an analytical method using a spatial Fourier transformation to understand the enhancement phenomenon and the sensing mechanism. The use of real-time monitoring of protein-protein interactions in microfluidic cells integrated with these nanostructures is demonstrated to be effective for biosensing. The perpendicular transmission configuration and large-scale structures provide a feasible platform without sophisticated optical instrumentation to realize label-free surface plasmon resonance (SPR) sensing.
Optical Characterization of Nanostructured Surfaces
DEFF Research Database (Denmark)
Feidenhans'l, Nikolaj Agentoft
Micro- and nanostructured surfaces are interesting due to the unique properties they add to the bulk material. One example is structural colors, where the interaction between surface structures and visible light produce bright color effects without the use of paints or dyes. Several research groups...... modeling to evaluate the dimensions of subwavelength gratings, by correlating the reflected light measured from the structures with a database of simulations. A new method is developed and termed color scatterometry, since compared to typical spectroscopic scatterometry, which evaluates the full reflection...... spectrum; the new method only evaluates the color of the reflected light using a standard RGB color camera. Color scatterometry provides the combined advantages of spectroscopic scatterometry, which provides fast evaluations, and imaging scatterometry that provides an overview image from which small...
Plasmonic Nanostructures for Biosensor Applications
Gadde, Akshitha
Improving the sensitivity of existing biosensors is an active research topic that cuts across several disciplines, including engineering and biology. Optical biosensors are the one of the most diverse class of biosensors which can be broadly categorized into two types based on the detection scheme: label-based and label-free detection. In label-based detection, the target bio-molecules are labeled with dyes or tags that fluoresce upon excitation, indicating the presence of target molecules. Label-based detection is highly-sensitive, capable of single molecule detection depending on the detector type used. One method of improving the sensitivity of label-based fluorescence detection is by enhancement of the emission of the labels by coupling them with metal nanostructures. This approach is referred as plasmon-enhanced fluorescence (PEF). PEF is achieved by increasing the electric field around the nano metal structures through plasmonics. This increased electric field improves the enhancement from the fluorophores which in turn improves the photon emission from the fluorophores which, in turn, improves the limit of detection. Biosensors taking advantage of the plasmonic properties of metal films and nanostructures have emerged an alternative, low-cost, high sensitivity method for detecting labeled DNA. Localized surface plasmon resonance (LSPR) sensors employing noble metal nanostructures have recently attracted considerable attention as a new class of plasmonic nanosensors. In this work, the design, fabrication and characterization of plasmonic nanostructures is carried out. Finite difference time domain (FDTD) simulations were performed using software from Lumerical Inc. to design a novel LSPR structure that exhibit resonance overlapping with the absorption and emission wavelengths of quantum dots (QD). Simulations of a composite Au/SiO2 nanopillars on silicon substrate were performed using FDTD software to show peak plasmonic enhancement at QD emission wavelength
Directory of Open Access Journals (Sweden)
D. S. Ivanov
2015-11-01
Full Text Available Subject of Study. The mechanism of surface restructuring by ultrashort laser pulses involves a lot of fast, non-equilibrium, and interrelated processes while the solid is in a transient state. As a result, the analysis of the experimental data cannot cover all the mechanisms of nanostructuring. We present a direct comparison of a simulation and experimental results of surface nanomodification induced by a single laser pulse. Method. The experimental results were obtained by using a mask projection setup with a laser wavelength equal to 248 nm and a pulse length equal to 1.6 ps. This setup is used to produce an intensity grating on a gold surface with a sinusoidal shape and a period of 500 nm. The formed structures were analyzed by a scanning and transmission electron microscope, respectively. Then a hybrid atomistic-continuum model capable of capturing the essential mechanisms responsible for the nanostructuring process was used for modeling the interaction of the laser pulse with a thick gold target. Main Results. A good agreement between simulation and experimental data justifies the proposed approach as a powerful tool revealing the physics behind the nanostructuring process at a gold surface and providing a microscopic insight into the dynamics of the structuring processes of metals in general. The presented model, therefore, is an important step towards a new computational tool in predicting materials response to an ultrashort laser pulse on the atomic scale and properties of the modified surfaces. Practical Relevance. This detailed understanding of the dynamics of the process will pave the way towards pre-designed topologies for functionalized surfaces on the nano- and micro-scales.
Directory of Open Access Journals (Sweden)
Smita Mukherjee
2015-12-01
Full Text Available In order to form a nanostructured metallic layer below a Langmuir monolayer, radiolysis synthesis was carried out in an adapted geometry that we call surface X-ray radiolysis. In this procedure, an X-ray beam produced by a synchrotron beamline intercepts the surface of an aqueous metal-ion solution covered by a Langmuir monolayer at an angle of incidence below the critical angle for total internal reflection. Underneath the organic layer, the X-ray beam induces the radiolytic synthesis of a nanostructured metal–organic layer whose ultrathin thickness is defined by the vertical X-ray penetration depth. We have shown that increasing the X-ray flux on the surface, which considerably enhances the kinetics of the silver layer formation, results in a second growth regime of silver nanocrystals. Here the formation of the oriented thin layer is followed by the appearance of a 3D powder of silver clusters.
Formation of Self-assembled Nanostructure on Noble Metal Islands Based on Anodized Aluminum Oxide
International Nuclear Information System (INIS)
Park, Jong Bae; Kim, Young Sic; Kim, Seong Kyu; Lee, Hae Seong
2004-01-01
We have developed the methodology to produce nanoscale gold rods using an AAO template. Each gold rod was generated in every AAO pore. This nanoislands array of gold formed over the AAO pores can be used as corner stones for building nanostructures. We demonstrated this by forming a nanostructure on the Au/AAO by binding a self-assembly class of molecules onto the metal islands. Anodized aluminum oxide (AAO) has been considered an attractive template for simple fabrication of highly-ordered nanostructures. It provides a 2-dimensional array of hexagonal cells with pores of uniform diameter and inter-pore distance that are adjustable in the range of a few tens to hundreds of nanometers. It can be easily grown on an aluminum sheet with high purity by a sequence of several electrochemical steps; electro-polishing, the 1st anodization, etching, and the 2nd anodization. The pores are grown vertically with respect to the AAO surface. The regularity of the pore structure is usually limited by the inherent grain domain in the aluminum sheet to a few micrometers, but can be improved to cover many millimeters of monodomain by pre-indenting the aluminum sheet with SiC 7 or Si 3 N 4 molds. Although fabrication of such molds requires elaborate and costly processes with e-beam nanolithography, such potentially superb regularity can be practically applied to fabrication of nanoscale devices in electronics, optics, biosensors, etc
DEFF Research Database (Denmark)
Huang, Xiaoxu
2009-01-01
The presence of a dislocation structure associated with low-angle dislocation boundaries and interior dislocations is a common and characteristic feature in nanostructured metals produced by plastic deformation, and plays an important role in determining both the strength and ductility...
Wettability control of micropore-array films by altering the surface nanostructures.
Chang, Chi-Jung; Hung, Shao-Tsu
2010-07-01
By controlling the surface nanostructure, the wettability of films with similar pore-array microstructure can be tuned from hydrophilic to nearly superhydrophobic without variation of the chemical composition. PA1 pore-array film consisting of the horizontal ZnO nanosheets was nearly superhydrophobic. PA2 pore-array film consisting of growth-hindered vertically-aligned ZnO nanorods was hydrophilic. The influences of the nanostructure shape, orientation and the micropore size on the contact angle of the PA1 films were studied. This study provides a new approach to control the wettability of films with similar pore-array structure at the micro-scale by changing their surface nanostructure. PA1 films exhibited irradiation induced reversible wettability transition. The feasibility of creating a wetted radial pattern by selective UV irradiation of PA1 film through a mask with radial pattern and water vapor condensation was also evaluated.
Enhanced absorption of graphene in the visible region by use of plasmonic nanostructures
DEFF Research Database (Denmark)
Hashemi, Mahdieh; Farzad, Mahmood Hosseini; Mortensen, N. Asger
2013-01-01
Low absorption of graphene in the visible range of the spectrum makes it difficult to uniquely benefit from this material in ultra-fast optoelectronic applications. We numerically propose to utilize patterned metallic nanostructures to increase light absorption in single-layer graphene. Simulation...... results show that excitation of surface plasmon resonances in the metallic nanostructures significantly enhances the local electromagnetic field near the graphene layer, therefore leading to a dramatic enhancement of the absorption in the graphene layer itself. Broadband high optical absorption can...
Reliable fabrication of plasmonic nanostructures without an adhesion layer using dry lift-off
Chen, Yiqin; Li, Zhiqin; Xiang, Quan; Wang, Yasi; Zhang, Zhiqiang; Duan, Huigao
2015-10-01
Lift-off is the most commonly used pattern-transfer method to define lithographic plasmonic metal nanostructures. A typical lift-off process is realized by dissolving patterned resists in solutions, which has the limits of low yield when not using adhesion layers and incompatibility with the fabrication of some specific structures and devices. In this work, we report an alternative ‘dry’ lift-off process to obtain metallic nanostructures via mechanical stripping by using the advantage of poor adhesion between resists and noble metal films. We show that this dry stripping lift-off method is effective for both positive- and negative-tone resists to fabricate sparse and densely-packed plasmonic nanostructures, respectively. In particular, this method is achieved without using an adhesion layer, which enables the mitigation of plasmon damping to obtain larger field enhancement. Dark-field scattering, one-photon luminescence and surface-enhanced Raman scattering measurements were performed to demonstrate the improved quality factor of the plasmonic nanostructures fabricated by this dry lift-off process.
Reliable fabrication of plasmonic nanostructures without an adhesion layer using dry lift-off
International Nuclear Information System (INIS)
Chen, Yiqin; Li, Zhiqin; Xiang, Quan; Wang, Yasi; Duan, Huigao; Zhang, Zhiqiang
2015-01-01
Lift-off is the most commonly used pattern-transfer method to define lithographic plasmonic metal nanostructures. A typical lift-off process is realized by dissolving patterned resists in solutions, which has the limits of low yield when not using adhesion layers and incompatibility with the fabrication of some specific structures and devices. In this work, we report an alternative ‘dry’ lift-off process to obtain metallic nanostructures via mechanical stripping by using the advantage of poor adhesion between resists and noble metal films. We show that this dry stripping lift-off method is effective for both positive- and negative-tone resists to fabricate sparse and densely-packed plasmonic nanostructures, respectively. In particular, this method is achieved without using an adhesion layer, which enables the mitigation of plasmon damping to obtain larger field enhancement. Dark-field scattering, one-photon luminescence and surface-enhanced Raman scattering measurements were performed to demonstrate the improved quality factor of the plasmonic nanostructures fabricated by this dry lift-off process. (paper)
Directory of Open Access Journals (Sweden)
Svensson S
2014-02-01
Full Text Available Sara Svensson,1,2 Magnus Forsberg,1,2 Mats Hulander,1,2 Forugh Vazirisani,1,2 Anders Palmquist,1,2 Jukka Lausmaa,2,3 Peter Thomsen,1,2 Margarita Trobos1,21Department of Biomaterials, Sahlgrenska Academy at University of Gothenburg, Gothenburg, Sweden; 2BIOMATCELL VINN Excellence Center of Biomaterials and Cell Therapy, Gothenburg, Sweden; 3SP Technical Research Institute of Sweden, Borås, SwedenAbstract: The role of material surface properties in the direct interaction with bacteria and the indirect route via host defense cells is not fully understood. Recently, it was suggested that nanostructured implant surfaces possess antimicrobial properties. In the current study, the adhesion and biofilm formation of Staphylococcus epidermidis and human monocyte adhesion and activation were studied separately and in coculture in different in vitro models using smooth gold and well-defined nanostructured gold surfaces. Two polystyrene surfaces were used as controls in the monocyte experiments. Fluorescent viability staining demonstrated a reduction in the viability of S. epidermidis close to the nanostructured gold surface, whereas the smooth gold correlated with more live biofilm. The results were supported by scanning electron microscopy observations, showing higher biofilm tower formations and more mature biofilms on smooth gold compared with nanostructured gold. Unstimulated monocytes on the different substrates demonstrated low activation, reduced gene expression of pro- and anti-inflammatory cytokines, and low cytokine secretion. In contrast, stimulation with opsonized zymosan or opsonized live S. epidermidis for 1 hour significantly increased the production of reactive oxygen species, the gene expression of tumor necrosis factor-α (TNF-α, interleukin-1β (IL-1β, IL-6, and IL-10, as well as the secretion of TNF-α, demonstrating the ability of the cells to elicit a response and actively phagocytose prey. In addition, cells cultured on the smooth
Kim, Haneun; Lee, Seung-Wook; Joh, Hyungmok; Seong, Mingi; Lee, Woo Seok; Kang, Min Su; Pyo, Jun Beom; Oh, Soong Ju
2018-01-10
With the increase in interest in wearable tactile pressure sensors for e-skin, researches to make nanostructures to achieve high sensitivity have been actively conducted. However, limitations such as complex fabrication processes using expensive equipment still exist. Herein, simple lithography-free techniques to develop pyramid-like metal/insulator hybrid nanostructures utilizing nanocrystals (NCs) are demonstrated. Ligand-exchanged and unexchanged silver NC thin films are used as metallic and insulating components, respectively. The interfaces of each NC layer are chemically engineered to create discontinuous insulating layers, i.e., spacers for improved sensitivity, and eventually to realize fully solution-processed pressure sensors. Device performance analysis with structural, chemical, and electronic characterization and conductive atomic force microscopy study reveals that hybrid nanostructure based pressure sensor shows an enhanced sensitivity of higher than 500 kPa -1 , reliability, and low power consumption with a wide range of pressure sensing. Nano-/micro-hierarchical structures are also designed by combining hybrid nanostructures with conventional microstructures, exhibiting further enhanced sensing range and achieving a record sensitivity of 2.72 × 10 4 kPa -1 . Finally, all-solution-processed pressure sensor arrays with high pixel density, capable of detecting delicate signals with high spatial selectivity much better than the human tactile threshold, are introduced.
Surface-Enhanced Raman Spectroscopy as a Probe of the Surface Chemistry of Nanostructured Materials.
Dick, Susan; Konrad, Magdalena P; Lee, Wendy W Y; McCabe, Hannah; McCracken, John N; Rahman, Taifur M D; Stewart, Alan; Xu, Yikai; Bell, Steven E J
2016-07-01
Surface-enhanced Raman spectroscopy (SERS) is now widely used as a rapid and inexpensive tool for chemical/biochemical analysis. The method can give enormous increases in the intensities of the Raman signals of low-concentration molecular targets if they are adsorbed on suitable enhancing substrates, which are typically composed of nanostructured Ag or Au. However, the features of SERS that allow it to be used as a chemical sensor also mean that it can be used as a powerful probe of the surface chemistry of any nanostructured material that can provide SERS enhancement. This is important because it is the surface chemistry that controls how these materials interact with their local environment and, in real applications, this interaction can be more important than more commonly measured properties such as morphology or plasmonic absorption. Here, the opportunity that this approach to SERS provides is illustrated with examples where the surface chemistry is both characterized and controlled in order to create functional nanomaterials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Adherence of human oral keratinocytes and gingival fibroblasts to nano-structured titanium surfaces.
Dorkhan, Marjan; Yücel-Lindberg, Tülay; Hall, Jan; Svensäter, Gunnel; Davies, Julia R
2014-06-21
A key element for long-term success of dental implants is integration of the implant surface with the surrounding host tissues. Modification of titanium implant surfaces can enhance osteoblast activity but their effects on soft-tissue cells are unclear. Adherence of human keratinocytes and gingival fibroblasts to control commercially pure titanium (CpTi) and two surfaces prepared by anodic oxidation was therefore investigated. Since implant abutments are exposed to a bacteria-rich environment in vivo, the effect of oral bacteria on keratinocyte adhesion was also evaluated. The surfaces were characterized using scanning electron microscopy (SEM). The number of adhered cells and binding strength, as well as vitality of fibroblasts and keratinocytes were evaluated using confocal scanning laser microscopy after staining with Live/Dead Baclight. To evaluate the effect of bacteria on adherence and vitality, keratinocytes were co-cultured with a four-species streptococcal consortium. SEM analysis showed the two anodically oxidized surfaces to be nano-structured with differing degrees of pore-density. Over 24 hours, both fibroblasts and keratinocytes adhered well to the nano-structured surfaces, although to a somewhat lesser degree than to CpTi (range 42-89% of the levels on CpTi). The strength of keratinocyte adhesion was greater than that of the fibroblasts but no differences in adhesion strength could be observed between the two nano-structured surfaces and the CpTi. The consortium of commensal streptococci markedly reduced keratinocyte adherence on all the surfaces as well as compromising membrane integrity of the adhered cells. Both the vitality and level of adherence of soft-tissue cells to the nano-structured surfaces was similar to that on CpTi. Co-culture with streptococci reduced the number of keratinocytes on all the surfaces to approximately the same level and caused cell damage, suggesting that commensal bacteria could affect adherence of soft-tissue cells to
Many-body dispersion effects in the binding of adsorbates on metal surfaces
Energy Technology Data Exchange (ETDEWEB)
Maurer, Reinhard J. [Department of Chemistry, Yale University, New Haven, Connecticut 06520 (United States); Ruiz, Victor G.; Tkatchenko, Alexandre [Fritz-Haber-Institut der Max-Planck-Gesellschaft, Faradayweg 4-6, D-14195 Berlin (Germany)
2015-09-14
A correct description of electronic exchange and correlation effects for molecules in contact with extended (metal) surfaces is a challenging task for first-principles modeling. In this work, we demonstrate the importance of collective van der Waals dispersion effects beyond the pairwise approximation for organic–inorganic systems on the example of atoms, molecules, and nanostructures adsorbed on metals. We use the recently developed many-body dispersion (MBD) approach in the context of density-functional theory [Tkatchenko et al., Phys. Rev. Lett. 108, 236402 (2012) and Ambrosetti et al., J. Chem. Phys. 140, 18A508 (2014)] and assess its ability to correctly describe the binding of adsorbates on metal surfaces. We briefly review the MBD method and highlight its similarities to quantum-chemical approaches to electron correlation in a quasiparticle picture. In particular, we study the binding properties of xenon, 3,4,9,10-perylene-tetracarboxylic acid, and a graphene sheet adsorbed on the Ag(111) surface. Accounting for MBD effects, we are able to describe changes in the anisotropic polarizability tensor, improve the description of adsorbate vibrations, and correctly capture the adsorbate–surface interaction screening. Comparison to other methods and experiment reveals that inclusion of MBD effects improves adsorption energies and geometries, by reducing the overbinding typically found in pairwise additive dispersion-correction approaches.
Design and fabrication of Ni nanowires having periodically hollow nanostructures
Sada, Takao; Fujigaya, Tsuyohiko; Nakashima, Naotoshi
2014-09-01
We propose a concept for the design and fabrication of metal nanowires having periodically hollow nanostructures inside the pores of an anodic aluminum oxide (AAO) membrane using a sacrificial metal. In this study, nickel (Ni) and silver (Ag) were used as the base metal and the sacrificial metal, respectively. Alternating an applied potential between -0.4 and -1.0 V provided alternatively deposited Ni and Ag segments in a Ni-Ag `barcode' nanowire with a diameter of 18 or 35 nm. After etching away the Ag segments, we fabricated Ni nanowires with nanopores of 12 +/- 5.3 nm. Such nanostructure formation is explained by the formation of a Ni shell layer over the surface of the Ag segments due to the strong affinity of Ni2+ for the interior surfaces of AAO. The Ni shell layer allows the Ni segments to remain even after dissolution of the Ag segments. Because the electroplating conditions can be easily controlled, we could carefully adjust the size and pitch of the periodically hollow nanospaces. We also describe a method for the fabrication of Ni nanorods by forming an Ag shell instead of a Ni shell on the Ni-Ag barcode nanowire, in which the interior of the AAO surfaces was modified with a compound bearing a thiol group prior to electroplating.We propose a concept for the design and fabrication of metal nanowires having periodically hollow nanostructures inside the pores of an anodic aluminum oxide (AAO) membrane using a sacrificial metal. In this study, nickel (Ni) and silver (Ag) were used as the base metal and the sacrificial metal, respectively. Alternating an applied potential between -0.4 and -1.0 V provided alternatively deposited Ni and Ag segments in a Ni-Ag `barcode' nanowire with a diameter of 18 or 35 nm. After etching away the Ag segments, we fabricated Ni nanowires with nanopores of 12 +/- 5.3 nm. Such nanostructure formation is explained by the formation of a Ni shell layer over the surface of the Ag segments due to the strong affinity of Ni2+ for the
Reduced graphene oxide wrapped Ag nanostructures for enhanced SERS activity
Nair, Anju K.; Kala, M. S.; Thomas, Sabu; Kalarikkal, Nandakumar
2018-04-01
Graphene - metal nanoparticle hybrids have received great attention due to their unique electronic properties, large specific surface area, very high conductivity and more charge transfer. Thus, it is extremely advantages to develop a simple and efficient process to disperse metal nanostructures over the surface of graphene sheets. Herein, we report a hydrothermal assisted strategy for developing reduced graphene oxide /Ag nanomorphotypes (cube, wire) for surface enhanced Raman scattering (SERS) applications, considering the advantages of synergistic effect of graphene and plasmonic properties of Ag nanomorphotypes.
Carbon-based nanostructured surfaces for enhanced phase-change cooling
Selvaraj Kousalya, Arun
To maintain acceptable device temperatures in the new generation of electronic devices under development for high-power applications, conventional liquid cooling schemes will likely be superseded by multi-phase cooling solutions to provide substantial enhancement to the cooling capability. The central theme of the current work is to investigate the two-phase thermal performance of carbon-based nanostructured coatings in passive and pumped liquid-vapor phase-change cooling schemes. Quantification of the critical parameters that influence thermal performance of the carbon nanostructured boiling surfaces presented herein will lead to improved understanding of the underlying evaporative and boiling mechanisms in such surfaces. A flow boiling experimental facility is developed to generate consistent and accurate heat transfer performance curves with degassed and deionized water as the working fluid. New means of boiling heat transfer enhancement by altering surface characteristics such as surface energy and wettability through light-surface interactions is explored in this work. In this regard, carbon nanotube (CNT) coatings are exposed to low-intensity irradiation emitted from a light emitting diode and the subcooled flow boiling performance is compared against a non-irradiated CNT-coated copper surface. A considerable reduction in surface superheat and enhancement in average heat transfer coefficient is observed. In another work involving CNTs, the thermal performance of CNT-integrated sintered wick structures is evaluated in a passively cooled vapor chamber. A physical vapor deposition process is used to coat the CNTs with varying thicknesses of copper to promote surface wetting with the working fluid, water. Thermal performance of the bare sintered copper powder sample and the copper-functionalized CNT-coated sintered copper powder wick samples is compared using an experimental facility that simulates the capillary fluid feeding conditions of a vapor chamber
Ceramic nanostructures and methods of fabrication
Ripley, Edward B [Knoxville, TN; Seals, Roland D [Oak Ridge, TN; Morrell, Jonathan S [Knoxville, TN
2009-11-24
Structures and methods for the fabrication of ceramic nanostructures. Structures include metal particles, preferably comprising copper, disposed on a ceramic substrate. The structures are heated, preferably in the presence of microwaves, to a temperature that softens the metal particles and preferably forms a pool of molten ceramic under the softened metal particle. A nano-generator is created wherein ceramic material diffuses through the molten particle and forms ceramic nanostructures on a polar site of the metal particle. The nanostructures may comprise silica, alumina, titania, or compounds or mixtures thereof.
Directory of Open Access Journals (Sweden)
Sang-Ei Seo
2017-10-01
Full Text Available Metallic oxides manganese dioxide (MnO2, samarium oxide (Sm2O3, and dysprosium oxide (Dy2O3 with nanorod-like structures were synthesized by the hydrothermal synthesis method, respectively. Subsequently, the nanostructured radioisotopes MnO2 with Mn-56, Sm2O3 with Sm-153, and Dy2O3 with Dy-165 were prepared by neutron irradiation from the HANARO research reactor, respectively. The three different elements, Mn, Sm, and Dy, were selected as radiotracers because these elements can be easily gamma-activated from neutrons (activation limits: 1 picogram (Dy, 1–10 picogram (Mn, 10–100 picogram (Sm. Furthermore, the synthesized radioisotopes can be used as radiotracers in Prompt Gamma Neutron Activation Analysis as the rare earth metals Dy and Sm were not present in the Korean environment. The successful synthesis of the radioisotope metallic oxides was confirmed by Transmission Electron Microscopy (TEM, Energy Dispersive X-ray Spectrometry (EDS, X-ray Diffraction (XRD analysis, and gamma spectroscopy analysis. The synthesized nanostructured radioisotope metallic oxides may be used as radiotracers in scientific, environmental, engineering, and industrial fields.
Directory of Open Access Journals (Sweden)
Pietro Calandra
2010-01-01
Full Text Available We review the most advanced methods for the fabrication of cathodes for dye-sensitized solar cells employing nanostructured materials. The attention is focused on metal nanoparticles and nanostructured carbon, among which nanotubes and graphene, whose good catalytic properties make them ideal for the development of counter electrode substrates, transparent conducting oxide, and advanced catalyst materials.
Failure of metals III: Fracture and fatigue of nanostructured metallic materials
International Nuclear Information System (INIS)
Pineau, André; Amine Benzerga, A.; Pardoen, Thomas
2016-01-01
Pushing the internal or external dimensions of metallic alloys down to the nanometer scale gives rise to strong materials, though most often at the expense of a low ductility and a low resistance to cracking, with negative impact on the transfer to engineering applications. These characteristics are observed, with some exceptions, in bulk ultra-fine grained and nanocrystalline metals, nano-twinned metals, thin metallic coatings on substrates and freestanding thin metallic films and nanowires. This overview encompasses all these systems to reveal commonalities in the origins of the lack of ductility and fracture resistance, in factors governing fatigue resistance, and in ways to improve properties. After surveying the various processing methods and key deformation mechanisms, we systematically address the current state of the art in terms of plastic localization, damage, static and fatigue cracking, for three classes of systems: (1) bulk ultra-fine grained and nanocrystalline metals, (2) thin metallic films on substrates, and (3) 1D and 2D freestanding micro and nanoscale systems. In doing so, we aim to favour cross-fertilization between progress made in the fields of mechanics of thin films, nanomechanics, fundamental researches in bulk nanocrystalline metals and metallurgy to impart enhanced resistance to fracture and fatigue in high-strength nanostructured systems. This involves exploiting intrinsic mechanisms, e.g. to enhance hardening and rate-sensitivity so as to delay necking, or improve grain-boundary cohesion to resist intergranular cracks or voids. Extrinsic methods can also be utilized such as by hybridizing the metal with another material to delocalize the deformation - as practiced in stretchable electronics. Fatigue crack initiation is in principle improved by a fine structure, but at the expense of larger fatigue crack growth rates. Extrinsic toughening through hybridization allows arresting or bridging cracks. The content and discussions are based on
Gold coated metal nanostructures grown by glancing angle deposition and pulsed electroplating
Grüner, Christoph; Reeck, Pascal; Jacobs, Paul-Philipp; Liedtke, Susann; Lotnyk, Andriy; Rauschenbach, Bernd
2018-05-01
Nickel based nanostructures are grown by glancing angle deposition (GLAD) on flat and pre-patterned substrates. These fabricated porous thin films were subsequently coated by pulsed electroplating with gold. The morphology and conformity of the gold coating were investigated by scanning electron microscopy and X-ray diffraction. Controlled growth of closed gold layers on the nanostructures could be achieved, while the open-pore structure of the nanosculptured thin films was preserved. Such gold coated nanostructures are a candidate for optical sensing and catalysis applications. The demonstrated method can be applied for numerous material combinations, allowing to provide GLAD thin films with new surface properties.
Theerthagiri, Jayaraman; Durai, Govindarajan; Rana, Abu ul Hassan Sarwar; Sangeetha, Kirubanandam; Kuppusami, Parasuraman; Kim, Hyun-Seok
2018-01-01
Supercapacitors (SCs) have received a great deal of attention and play an important role for future self-powered devices, mainly owing to their higher power density. Among all types of electrical energy storage devices, electrochemical supercapacitors are considered to be the most promising because of their superior performance characteristics, including short charging time, high power density, safety, easy fabrication procedures, and long operational life. An SC consists of two foremost components, namely electrode materials, and electrolyte. The selection of appropriate electrode materials with rational nanostructured designs has resulted in improved electrochemical properties for high performance and has reduced the cost of SCs. In this review, we mainly spotlight the non-metallic oxide, especially metal chalcogenides (MX; X = S, Se) based nanostructured electrode materials for electrochemical SCs. Different non-metallic oxide materials are highlighted in various categories, such as transition metal sulfides and selenides materials. Finally, the designing strategy and future improvements on metal chalcogenide materials for the application of electrochemical SCs are also discussed. PMID:29671823
Characterization of highly anisotropic three-dimensionally nanostructured surfaces
International Nuclear Information System (INIS)
Schmidt, Daniel
2014-01-01
Generalized ellipsometry, a non-destructive optical characterization technique, is employed to determine geometrical structure parameters and anisotropic dielectric properties of highly spatially coherent three-dimensionally nanostructured thin films grown by glancing angle deposition. The (piecewise) homogeneous biaxial layer model approach is discussed, which can be universally applied to model the optical response of sculptured thin films with different geometries and from diverse materials, and structural parameters as well as effective optical properties of the nanostructured thin films are obtained. Alternative model approaches for slanted columnar thin films, anisotropic effective medium approximations based on the Bruggeman formalism, are presented, which deliver results comparable to the homogeneous biaxial layer approach and in addition provide film constituent volume fraction parameters as well as depolarization or shape factors. Advantages of these ellipsometry models are discussed on the example of metal slanted columnar thin films, which have been conformally coated with a thin passivating oxide layer by atomic layer deposition. Furthermore, the application of an effective medium approximation approach to in-situ growth monitoring of this anisotropic thin film functionalization process is presented. It was found that structural parameters determined with the presented optical model equivalents for slanted columnar thin films agree very well with scanning electron microscope image estimates. - Highlights: • Summary of optical model strategies for sculptured thin films with arbitrary geometries • Application of the rigorous anisotropic Bruggeman effective medium applications • In-situ growth monitoring of atomic layer deposition on biaxial metal slanted columnar thin film
International Nuclear Information System (INIS)
Shen, Cai; Zhao, Chongchong; Xin, Fengxia; Cao, Can; Han, Wei-Qiang
2015-01-01
Here, we report preparation of nitrogen-modified nanostructure carbons through carbonization of Cu-based metal organic nanofibers at 700 °C under argon gas atmosphere. After removal of copper through chemical treatment with acids, pure N-modified nanostructure carbon with a nitrogen content of 8.62 wt% is obtained. When use as anodes for lithium-ion battery, the nanostructure carbon electrode has a discharge capacity of 853.1 mAh g −1 measured at a current of 500 mA g −1 after 800 cycles.
Picosecond ultrasonic study of surface acoustic waves on titanium nitride nanostructures
International Nuclear Information System (INIS)
Bjornsson, M. M.; Connolly, A. B.; Mahat, S.; Rachmilowitz, B. E.; Daly, B. C.; Antonelli, G. A.; Myers, A.; Singh, K. J.; Yoo, H. J.; King, S. W.
2015-01-01
We have measured surface acoustic waves on nanostructured TiN wires overlaid on multiple thin films on a silicon substrate using the ultrafast pump-probe technique known as picosecond ultrasonics. We find a prominent oscillation in the range of 11–54 GHz for samples with varying pitch ranging from 420 nm down to 168 nm. We find that the observed oscillation increases monotonically in frequency with decrease in pitch, but that the increase is not linear. By comparing our data to two-dimensional mechanical simulations of the nanostructures, we find that the type of surface oscillation to which we are sensitive changes depending on the pitch of the sample. Surface waves on substrates that are loaded by thin films can take multiple forms, including Rayleigh-like waves, Sezawa waves, and radiative (leaky) surface waves. We describe evidence for detection of modes that display characteristics of these three surface wave types
One-step direct-laser metal writing of sub-100 nm 3D silver nanostructures in a gelatin matrix
International Nuclear Information System (INIS)
Kang, SeungYeon; Vora, Kevin; Mazur, Eric
2015-01-01
Developing an ability to fabricate high-resolution, 3D metal nanostructures in a stretchable 3D matrix is a critical step to realizing novel optoelectronic devices such as tunable bulk metal-dielectric optical devices and THz metamaterial devices that are not feasible with alternative techniques. We report a new chemistry method to fabricate high-resolution, 3D silver nanostructures using a femtosecond-laser direct metal writing technique. Previously, only fabrication of 3D polymeric structures or single-/few-layer metal structures was possible. Our method takes advantage of unique gelatin properties to overcome such previous limitations as limited freedom in 3D material design and short sample lifetime. We fabricate more than 15 layers of 3D silver nanostructures with a resolution of less than 100 nm in a stable dielectric matrix that is flexible and has high large transparency that is well-matched for potential applications in the optical and THz metamaterial regimes. This is a single-step process that does not require any further processing. This work will be of interest to those interested in fabrication methods that utilize nonlinear light–matter interactions and the realization of future metamaterials. (fast track communication)
One-step direct-laser metal writing of sub-100 nm 3D silver nanostructures in a gelatin matrix
Kang, SeungYeon; Vora, Kevin; Mazur, Eric
2015-03-01
Developing an ability to fabricate high-resolution, 3D metal nanostructures in a stretchable 3D matrix is a critical step to realizing novel optoelectronic devices such as tunable bulk metal-dielectric optical devices and THz metamaterial devices that are not feasible with alternative techniques. We report a new chemistry method to fabricate high-resolution, 3D silver nanostructures using a femtosecond-laser direct metal writing technique. Previously, only fabrication of 3D polymeric structures or single-/few-layer metal structures was possible. Our method takes advantage of unique gelatin properties to overcome such previous limitations as limited freedom in 3D material design and short sample lifetime. We fabricate more than 15 layers of 3D silver nanostructures with a resolution of less than 100 nm in a stable dielectric matrix that is flexible and has high large transparency that is well-matched for potential applications in the optical and THz metamaterial regimes. This is a single-step process that does not require any further processing. This work will be of interest to those interested in fabrication methods that utilize nonlinear light-matter interactions and the realization of future metamaterials.
An SU-8-based microprobe with a nanostructured surface enhances neuronal cell attachment and growth
Kim, Eunhee; Kim, Jin-Young; Choi, Hongsoo
2017-12-01
Microprobes are used to repair neuronal injury by recording electrical signals from neuronal cells around the surface of the device. Following implantation into the brain, the immune response results in formation of scar tissue around the microprobe. However, neurons must be in close proximity to the microprobe to enable signal recording. A common reason for failure of microprobes is impaired signal recording due to scar tissue, which is not related to the microprobe itself. Therefore, the device-cell interface must be improved to increase the number of neurons in contact with the surface. In this study, we developed nanostructured SU-8 microprobes to support neuronal growth. Nanostructures of 200 nm diameter and depth were applied to the surface of microprobes, and the attachment and neurite outgrowth of PC12 cells on the microprobes were evaluated. Neuronal attachment and neurite outgrowth on the nanostructured microprobes were significantly greater than those on non-nanostructured microprobes. The enhanced neuronal attachment and neurite outgrowth on the nanostructured microprobes occurred in the absence of an adhesive coating, such as poly- l-lysine, and so may be useful for implantable devices for long-term use. Therefore, nanostructured microprobes can be implanted without adhesive coating, which can cause problems in vivo over the long term.
Xu, Ping; Mack, Nathan H; Jeon, Sea-Ho; Doorn, Stephen K; Han, Xijiang; Wang, Hsing-Lin
2010-06-01
We report a facile synthesis of large-area homogeneous three-dimensional (3D) Ag nanostructures on Au-supported polyaniline (PANI) membranes through a direct chemical reduction of metal ions by PANI. The citric acid absorbed on the Au nuclei that are prefabricated on PANI membranes directs Ag nanoaprticles (AgNPs) to self-assemble into 3D Ag nanosheet structures. The fabricated hybrid metal nanostructures display uniform surface-enhanced Raman scattering (SERS) responses throughout the whole surface area, with an average enhancement factor of 10(6)-10(7). The nanocavities formed by the stereotypical stacking of these Ag nanosheets and the junctions and gaps between two neighboring AgNPs are believed to be responsible for the strong SERS response upon plasmon absorption. These homogeneous metal nanostructure decorated PANI membranes can be used as highly efficient SERS substrates for sensitive detection of chemical and biological analytes.
Synthesis of polymer nanostructures via the use of surfactant surface aggregates as templates
Marquez, Maricel
The subject of this work is the synthesis of polymer nanostructures via the use of surfactant surface aggregates as templates, also termed Template Assisted Admicellar Polymerization (TAAP). The first chapter reviews some of the most current nanopatterning techniques (including both top-down and bottom-up approaches), with particular emphasis on the fabrication of organic and inorganic patterned nanostructures via particle lithography. In chapter 2, highly ordered hexagonal arrays of latex spheres were prepared on highly ordered pyrolytic graphite (HOPG) from a variation of the Langmuir Blodgett technique, using an anionic surfactant (SDS), and a low molecular weight (ca. 10000) polyacrylamide as spreading agents. When a nonionic polyethoxylated (EO = 9) surfactant was used as the spreading agent, no ordered arrays were observed. Based on the correlation found between the surface tension in the presence of the latex particles and the critical concentration at which hexagonal arrangements of latex spheres occurs; a model was proposed to explain the role of the spreading agent in forming stable monolayers at the air/liquid interface, which in turn are necessary for the formation of well-ordered monolayers on a solid substrate from the LB technique. According to this model, solid-like regions of small numbers of latex spheres form at the liquid-air interface, which are then transferred to the substrate. These ordered regions then act as nuclei for the formation of 2D arrays of latex spheres on the surface upon water evaporation. The role of other factors such as relative humidity, substrate and solvent choice, and pulling vs. compression speed were also found to affect the quality of the monolayers formed. Finally, a simple, easy to automate, yet effective surface tension method was proposed to predict the optimal conditions for the formation of ordered monolayers using a variation of the LB deposition method from any monodisperse set of spheres. In chapter 3, a novel
Yeshchenko, Oleg A.; Kozachenko, Viktor V.; Naumenko, Antonina P.; Berezovska, Nataliya I.; Kutsevol, Nataliya V.; Chumachenko, Vasyl A.; Haftel, Michael; Pinchuk, Anatoliy O.
2018-05-01
We study the effects of coupling between plasmonic metal nanoparticles and a thin metal film by using light extinction spectroscopy. A planar monolayer of gold nanoparticles located near an aluminum thin film (thicknesses within the range of 0-62 nm) was used to analyze the coupling between the monolayer and the thin metal film. SPR peak area increase for polymer coated Au NPs, non-monotonical behavior of the peak area for bare Au NPs, as well as red shift and broadening of SPR at the increase of the Al film thickness have been observed. These effects are rationalized as a result of coupling of the layer of Au NPs with Al film through the field of localized surface plasmons in Au NPs that causes the excitation of collective plasmonic gap mode in the nanostructure. An additional mechanism for bare Au NPs is the non-radiative damping of SPR that is caused by the electrical contact between metal NPs and film.
International Nuclear Information System (INIS)
Kantser, Valeriu
2011-01-01
Two fundamental electrons attributes in materials and nanostructures - charge and spin - determine their electronic properties. The processing of information in conventional electronic devices is based only on the charge of the electrons. Spin electronics, or spintronics, uses the spin of electrons, as well as their charge, to process information. Metals, semiconductors and insulators are the basic materials that constitute the components of electronic devices, and these have been transforming all aspects of society for over a century. In contrast, magnetic metals, half-metals, magnetic semiconductors, dilute magnetic semiconductors and magnetic insulators are the materials that will form the basis for spintronic devices. Materials with topological band structure attributes and having a zero-energy band gap surface states are a special class of these materials that exhibit some fascinating and superior electronic properties compared to conventional materials allowing to combine both charge and spin functionalities. This article reviews a range of topological insulator materials and nanostructures with tunable surface states, focusing on nanolayered and nanowire like structures. These materials and nanostructures all have intriguing physical properties and numerous potential practical applications in spintronics, electronics, optics and sensors.
Formation and surface characterization of nanostructured Al2O3 ...
Indian Academy of Sciences (India)
Administrator
Page 1. Electronic Supplementary Material. Graphical abstract. Formation and surface characterization of nanostructured Al2O3–TiO2 coatings by Vairamuthu Raj and Mohamed Sirajudeen Mumjitha. (pp 1411–1418).
Solid-state Water-mediated Transport Reduction of Nanostructured Iron Oxides
International Nuclear Information System (INIS)
Smirnov, Vladimir M.; Povarov, Vladimir G.; Voronkov, Gennadii P.; Semenov, Valentin G.; Murin, Igor' V.; Gittsovich, Viktor N.; Sinel'nikov, Boris M.
2001-01-01
The Fe 2+ /Fe 3+ ratio in two-dimensional iron oxide nanosructures (nanolayers with a thickness of 0.3-1.5 nm on silica surface) may be precisely controlled using the transport reduction (TR) technique. The species ≡-O-Fe(OH) 2 and (≡Si-O-) 2 -FeOH forming the surface monolayer are not reduced at 400-600 deg. C because of their covalent bonding to the silica surface, as demonstrated by Moessbauer spectroscopy. Iron oxide microparticles (microstructures) obtained by the impregnation technique, being chemically unbound to silica, are subjected to reduction at T ≥ 500 deg. C with formation of metallic iron in the form of α-Fe. Transport reduction of supported nanostructures (consisting of 1 or 4 monolayers) at T ≥ 600 deg. C produces bulk iron(II) silicate and metallic iron phases. The structural-chemical transformations occurring in transport reduction of supported iron oxide nanolayers are proved to be governed by specific phase processes in the nanostructures themselves
Strong, ductile, and thermally stable Cu-based metal-intermetallic nanostructured composites.
Dusoe, Keith J; Vijayan, Sriram; Bissell, Thomas R; Chen, Jie; Morley, Jack E; Valencia, Leopolodo; Dongare, Avinash M; Aindow, Mark; Lee, Seok-Woo
2017-01-09
Bulk metallic glasses (BMGs) and nanocrystalline metals (NMs) have been extensively investigated due to their superior strengths and elastic limits. Despite these excellent mechanical properties, low ductility at room temperature and poor microstructural stability at elevated temperatures often limit their practical applications. Thus, there is a need for a metallic material system that can overcome these performance limits of BMGs and NMs. Here, we present novel Cu-based metal-intermetallic nanostructured composites (MINCs), which exhibit high ultimate compressive strengths (over 2 GPa), high compressive failure strain (over 20%), and superior microstructural stability even at temperatures above the glass transition temperature of Cu-based BMGs. Rapid solidification produces a unique ultra-fine microstructure that contains a large volume fraction of Cu 5 Zr superlattice intermetallic compound; this contributes to the high strength and superior thermal stability. Mechanical and microstructural characterizations reveal that substantial accumulation of phase boundary sliding at metal/intermetallic interfaces accounts for the extensive ductility observed.
Xu, Lu-Hai; Ou, Qing-Dong; Li, Yan-Qing; Zhang, Yi-Bo; Zhao, Xin-Dong; Xiang, Heng-Yang; Chen, Jing-De; Zhou, Lei; Lee, Shuit-Tong; Tang, Jian-Xin
2016-01-26
Flexible organic light-emitting diodes (OLEDs) hold great promise for future bendable display and curved lighting applications. One key challenge of high-performance flexible OLEDs is to develop new flexible transparent conductive electrodes with superior mechanical, electrical, and optical properties. Herein, an effective nanostructured metal/dielectric composite electrode on a plastic substrate is reported by combining a quasi-random outcoupling structure for broadband and angle-independent light outcoupling of white emission with an ultrathin metal alloy film for optimum optical transparency, electrical conduction, and mechanical flexibility. The microcavity effect and surface plasmonic loss can be remarkably reduced in white flexible OLEDs, resulting in a substantial increase in the external quantum efficiency and power efficiency to 47.2% and 112.4 lm W(-1).
Synthesis and applications of MOF-derived porous nanostructures
Directory of Open Access Journals (Sweden)
Min Hui Yap
2017-07-01
Full Text Available Metal organic frameworks (MOFs represent a class of porous material which is formed by strong bonds between metal ions and organic linkers. By careful selection of constituents, MOFs can exhibit very high surface area, large pore volume, and excellent chemical stability. Research on synthesis, structures and properties of various MOFs has shown that they are promising materials for many applications, such as energy storage, gas storage, heterogeneous catalysis and sensing. Apart from direct use, MOFs have also been used as support substrates for nanomaterials or as sacrificial templates/precursors for preparation of various functional nanostructures. In this review, we aim to present the most recent development of MOFs as precursors for the preparation of various nanostructures and their potential applications in energy-related devices and processes. Specifically, this present survey intends to push the boundaries and covers the literatures from the year 2013 to early 2017, on supercapacitors, lithium ion batteries, electrocatalysts, photocatalyst, gas sensing, water treatment, solar cells, and carbon dioxide capture. Finally, an outlook in terms of future challenges and potential prospects towards industrial applications are also discussed. Keywords: Metal organic frameworks, Porous nanostructures, Supercapacitors, Lithium ion batteries, Heterogeneous catalyst
Topotactic reduction yielding black titanium oxide nanostructures as metallic electronic conductors.
Tominaka, Satoshi
2012-10-01
Detailed analyses of reduced, single crystal, rutile-type TiO(2) via high-resolution transmission electron microscopy (TEM) are reported which reveal that the reduction proceeds topotactically via interstitial diffusion of Ti ions at low temperature, around 350 °C. This important finding encouraged the production of various nanostructured reduced titanium oxides from TiO(2) precursors with morphology retention, and in the process, the synthesis of black titanium oxide nanorods using TiO(2) nanorods was demonstrated. Interestingly, as opposed to the semiconductive behavior of Ti(2)O(3) synthesized at high temperature, topotactically synthesized Ti(2)O(3) exhibits metallic electrical resistance, and the value at room temperature is quite low (topotactically synthesized Ti(2)O(3). This work shows that topotactically reduced titanium oxides can have fascinating properties as well as nanostructures.
Hao, Jingzu; Li, Ying; Li, Baoe; Wang, Xiaolin; Li, Haipeng; Liu, Shimin; Liang, Chunyong; Wang, Hongshui
2017-09-01
Hybrid micro-nanostructure implant surface was produced on titanium (Ti) surface by acid etching and anodic oxidation to improve the biological and mechanical properties. The biological properties of the micro-nanostructure were investigated by simulated body fluid (SBF) soaking test and MC3T3-E1 cell co-culture experiment. The cell proliferation, spreading, and bone sialoprotein (BSP) gene expression were examined by MTT, SEM, and reverse transcription-polymerase chain reaction (RT-PCR), respectively. In addition, the mechanical properties were evaluated by instrumented nanoindentation test and friction-wear test. Furthermore, the effect of the micro-nanostructure surface on implant osteointegration was examined by in vivo experiment. The results showed that the formation of bone-like apatite was accelerated on the micro-nanostructured Ti surface after immersion in simulated body fluid, and the proliferation, spreading, and BSP gene expression of the MC3T3-E1 cells were also upregulated on the modified surface. The micro-nanostructured Ti surface displayed decreased friction coefficient, stiffness value, and Young's modulus which were much closer to those of the cortical bone, compared to the polished Ti surface. This suggested much better mechanical match to the surrounding bone tissue of the micro-nanostructured Ti surface. Furthermore, the in vivo animal experiment showed that after implantation in the rat femora, the micro-nanostructure surface displayed higher bonding strength between bone tissues and implant; hematoxylin and eosin (H&E) staining suggested that much compact osteoid tissue was observed at the interface of Micro-nano-Ti-bone than polished Ti-bone interface after implantation. Based on these results mentioned above, it was concluded that the improved biological and mechanical properties of the micro-nanostructure endowed Ti surface with good biocompatibility and better osteointegration, implying the enlarged application of the micro-nanostructure
Koo, Sukmo; Kumar, M. Sathish; Shin, Jonghwa; Kim, Daisik; Park, Namkyoo
2009-12-01
We propose and analyze the “complementary” structure of a metallic nanogap, namely, the metallic nanowire for magnetic field enhancement. A huge enhancement of the field up to a factor of 300 was achieved. Introducing the surface impedance concept, we also develop and numerically confirm a new analytic theory which successfully predicts the field enhancement factors for metal nanostructures. Compared to the predictions of the classical Babinet principle applied to a nanogap, an order of magnitude difference in the field enhancement factor was observed for the sub-skin-depth regime nanowire.
Study of the nanostructure of Gum Metal using energy-filtered transmission electron microscopy
International Nuclear Information System (INIS)
Yano, T.; Murakami, Y.; Shindo, D.; Kuramoto, S.
2009-01-01
The nanostructure of Gum Metal, which has many anomalous mechanical properties, was investigated using transmission electron microscopy with energy filtering. A precise analysis of the weak diffuse electron scattering that was observed in the electron diffraction patterns of the Gum Metal specimen revealed that Gum Metal contains a substantial amount of the nanometer-sized ω phase. The morphology of the ω phase appeared to have a correlation with the faulting in the {2 1 1} planes, which are one of the characteristic lattice imperfections of the Gum Metal specimen. It is likely that the nanometer-sized ω phase may be a type of obstacle related to the restriction of the dislocation movement, which has been a significant problem in research on Gum Metal
Nanostructured magnesium has fewer detrimental effects on osteoblast function
Weng, Lucy; Webster, Thomas J
2013-01-01
Efforts have been made recently to implement nanoscale surface features on magnesium, a biodegradable metal, to increase bone formation. Compared with normal magnesium, nanostructured magnesium has unique characteristics, including increased grain boundary properties, surface to volume ratio, surface roughness, and surface energy, which may influence the initial adsorption of proteins known to promote the function of osteoblasts (bone-forming cells). Previous studies have shown that one way to increase nanosurface roughness on magnesium is to soak the metal in NaOH. However, it has not been determined if degradation of magnesium is altered by creating nanoscale features on its surface to influence osteoblast density. The aim of the present in vitro study was to determine the influence of degradation of nanostructured magnesium, created by soaking in NaOH, on osteoblast density. Our results showed a less detrimental effect of magnesium degradation on osteoblast density when magnesium was treated with NaOH to create nanoscale surface features. The detrimental degradation products of magnesium are of significant concern when considering use of magnesium as an orthopedic implant material, and this study identified a surface treatment, ie, soaking in NaOH to create nanoscale features for magnesium that can improve its use in numerous orthopedic applications. PMID:23674891
Nanostructured magnesium has fewer detrimental effects on osteoblast function.
Weng, Lucy; Webster, Thomas J
2013-01-01
Efforts have been made recently to implement nanoscale surface features on magnesium, a biodegradable metal, to increase bone formation. Compared with normal magnesium, nanostructured magnesium has unique characteristics, including increased grain boundary properties, surface to volume ratio, surface roughness, and surface energy, which may influence the initial adsorption of proteins known to promote the function of osteoblasts (bone-forming cells). Previous studies have shown that one way to increase nanosurface roughness on magnesium is to soak the metal in NaOH. However, it has not been determined if degradation of magnesium is altered by creating nanoscale features on its surface to influence osteoblast density. The aim of the present in vitro study was to determine the influence of degradation of nanostructured magnesium, created by soaking in NaOH, on osteoblast density. Our results showed a less detrimental effect of magnesium degradation on osteoblast density when magnesium was treated with NaOH to create nanoscale surface features. The detrimental degradation products of magnesium are of significant concern when considering use of magnesium as an orthopedic implant material, and this study identified a surface treatment, ie, soaking in NaOH to create nanoscale features for magnesium that can improve its use in numerous orthopedic applications.
Hierarchically Nanostructured Transition Metal Oxides for Lithium‐Ion Batteries
Zheng, Mingbo; Tang, Hao; Li, Lulu; Hu, Qin; Zhang, Li; Xue, Huaiguo
2018-01-01
Abstract Lithium‐ion batteries (LIBs) have been widely used in the field of portable electric devices because of their high energy density and long cycling life. To further improve the performance of LIBs, it is of great importance to develop new electrode materials. Various transition metal oxides (TMOs) have been extensively investigated as electrode materials for LIBs. According to the reaction mechanism, there are mainly two kinds of TMOs, one is based on conversion reaction and the other is based on intercalation/deintercalation reaction. Recently, hierarchically nanostructured TMOs have become a hot research area in the field of LIBs. Hierarchical architecture can provide numerous accessible electroactive sites for redox reactions, shorten the diffusion distance of Li‐ion during the reaction, and accommodate volume expansion during cycling. With rapid research progress in this field, a timely account of this advanced technology is highly necessary. Here, the research progress on the synthesis methods, morphological characteristics, and electrochemical performances of hierarchically nanostructured TMOs for LIBs is summarized and discussed. Some relevant prospects are also proposed. PMID:29593962
Directory of Open Access Journals (Sweden)
Yu Tan
2014-04-01
Full Text Available The effect of bias polarity on the electrical breakdown behavior of the single ZnSe nanowire (NW in the metal–semiconductor–metal (M–S–M nanostructure under high current density and high bias conditions has been studied in the present paper. The experimental results show that the failure of the ZnSe NW in M–S–M nanostructure was sensitive to bias polarity since the NW commonly collapsed at the negatively biased Au metal electrode due to high Joule heat produced in NW at the reversely biased Schottky barrier. Thus, the electrical breakdown behavior of the ZnSe NW was highly dominated by the cathode-controlled mode due to the high resistance of the depletion region of ZnSe NW at the reversely biased Schottky contact.
Nanostructured surfaces investigated by quantitative morphological studies
International Nuclear Information System (INIS)
Perani, Martina; Carapezzi, Stefania; Mutta, Geeta Rani; Cavalcoli, Daniela
2016-01-01
The morphology of different surfaces has been investigated by atomic force microscopy and quantitatively analyzed in this paper. Two different tools have been employed to this scope: the analysis of the height–height correlation function and the determination of the mean grain size, which have been combined to obtain a complete characterization of the surfaces. Different materials have been analyzed: SiO_xN_y, InGaN/GaN quantum wells and Si nanowires, grown with different techniques. Notwithstanding the presence of grain-like structures on all the samples analyzed, they present very diverse surface design, underlying that this procedure can be of general use. Our results show that the quantitative analysis of nanostructured surfaces allows us to obtain interesting information, such as grain clustering, from the comparison of the lateral correlation length and the grain size. (paper)
Yu, Xue; Kuai, Long; Geng, Baoyou
2012-09-21
Pt-based nanocomposites have been of great research interest. In this paper, we design an efficient MO/rGO/Pt sandwich nanostructure as an anodic electrocatalyst for DMFCs with combination of the merits of rigid structure of metallic oxides (MOs) and excellent electronic conductivity of reduced oxidized graphene (rGO) as well as overcoming their shortcomings. In this case, the CeO(2)/rGO/Pt sandwich nanostructure is successfully fabricated through a facile hydrothermal approach in the presence of graphene oxide and CeO(2) nanoparticles. This structure has a unique building architecture where rGO wraps up the CeO(2) nanoparticles and Pt nanoparticles are homogeneously dispersed on the surface of rGO. This novel structure endows this material with great electrocatalytic performance in methanol oxidation: it reduces the overpotential of methanol oxidation significantly and its electrocatalytic activity and stability are much enhanced compared with Pt/rGO, CeO(2)/Pt and Pt/C catalysts. This work supplies a unique MO/rGO/Pt sandwich nanostructure as an efficient way to improve the electrocatalytic performance, which will surely shed some light on the exploration of some novel structures of electrocatalyst for DMFCs.
Tsoufis, Theodoros; Colomer, Jean-François; Maccallini, Enrico; Jankovič, Lubos; Rudolf, Petra; Gournis, Dimitrios
2012-07-23
Rhomboidal and spherical metallic-copper nanostructures were encapsulated within well-formed graphitic shells by using a simple chemical method that involved the catalytic decomposition of acetylene over a copper catalyst that was supported on different smectite clays surfaces by ion-exchange. These metallic-copper nanostructures could be separated from the inorganic support and remained stable for months. The choice of the clay support influenced both the shape and the size of the synthesized Cu nanostructures. The synthesized materials and the supported catalysts from which they were produced were studied in detail by TEM and SEM, powder X-ray diffraction, thermal analysis, as well as by Raman and X-ray photoelectron spectroscopy. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Aumayr, Friedrich; Facsko, Stefan; El-Said, Ayman S; Trautmann, Christina; Schleberger, Marika
2011-10-05
This topical review focuses on recent advances in the understanding of the formation of surface nanostructures, an intriguing phenomenon in ion-surface interaction due to the impact of individual ions. In many solid targets, swift heavy ions produce narrow cylindrical tracks accompanied by the formation of a surface nanostructure. More recently, a similar nanometric surface effect has been revealed for the impact of individual, very slow but highly charged ions. While swift ions transfer their large kinetic energy to the target via ionization and electronic excitation processes (electronic stopping), slow highly charged ions produce surface structures due to potential energy deposited at the top surface layers. Despite the differences in primary excitation, the similarity between the nanostructures is striking and strongly points to a common mechanism related to the energy transfer from the electronic to the lattice system of the target. A comparison of surface structures induced by swift heavy ions and slow highly charged ions provides a valuable insight to better understand the formation mechanisms. © 2011 IOP Publishing Ltd
Quantum theory of plasmons in nanostructures
DEFF Research Database (Denmark)
Winther, Kirsten Trøstrup
ripples in a pond where the water represents a sea of free electrons. Plasmons on metal surfaces and in nanostructured materials, such as metal nanoparticles and atomically thin two-dimensional materials, have several technological applications due to their ability to confine light on nanoscale......, also van der Waals heterostructures (vdWh), which are stacks of different twodimensional materials, are considered. A new multi-scale approach for calculating the dielectric-function of vdWh, which extends ab initio accuracy to the description of hundreds of atomic layers, is presented. Also, one...
Transferring metallic nano-island on hydrogen passivated silicon surface for nano-electronics
International Nuclear Information System (INIS)
Deng, J; Troadec, C; Joachim, C
2009-01-01
In a planar configuration, precise positioning of ultra-flat metallic nano-islands on semiconductor surface opens a way to construct nanostructures for atomic scale interconnects. Regular triangular Au nano-islands have been grown on atomically flat MoS 2 substrates and manipulated by STM to form nanometer gap metal-pads connector for single molecule electronics study. The direct assembly of regular shaped metal nano-islands on H-Si(100) is not achievable. Here we present how to transfer Au triangle nano-islands from MoS 2 onto H-Si(100) in a clean manner. In this experiment, clean MoS 2 substrates are patterned as array of MoS 2 pillars with height of 8 μm. The Au triangle nano-islands are grown on top of the pillars. Successful printing transfer of these Au nano-islands from the MoS 2 pillars to the H-Si(100) is demonstrated.
Energy Technology Data Exchange (ETDEWEB)
Fleischer, K.; Jacob, J.; McGilp, J.F. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland); Chandola, S. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland); ISAS - Institute for Analytical Sciences, Department Berlin, Albert-Einstein-Strasse 9, 12489 Berlin (Germany); Esser, N. [ISAS - Institute for Analytical Sciences, Department Berlin, Albert-Einstein-Strasse 9, 12489 Berlin (Germany)
2008-07-01
Using the single domain Si(111)-3 x 1-Ag surface as a template, room temperature deposition of two or more monolayers of Ag leads to the formation of metallic nanostructures. Reflectance anisotropy spectroscopy (RAS) in the infrared (IR) spectral region is used to analyse the anisotropic conductivity of the structures. The anisotropy is found to be influenced by the offcut angle of the substrate, and hence the terrace width. The Ag nanostructures were capped with Si to form a near-IR transparent protecting layer. The samples are stable to exposure to ambient conditions for significant periods. The RAS spectra are compared to model calculations, which support the conclusion that the buried metallic Ag nanostructures survive the capping process. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Reaction mechanisms for on-surface synthesis of covalent nanostructures
International Nuclear Information System (INIS)
Björk, J
2016-01-01
In recent years, on-surface synthesis has become an increasingly popular strategy to form covalent nanostructures. The approach has great prospects for facilitating the manufacture of a range of fascinating materials with atomic precision. However, the on-surface reactions are enigmatic to control, currently restricting its bright perspectives and there is a great need to explore how the reactions are governed. The objective of this topical review is to summarize theoretical work that has focused on comprehending on-surface synthesis protocols through studies of reaction mechanisms. (topical review)
Banerjee, Arghya Narayan
2011-01-01
Recent advances in basic fabrication techniques of TiO2-based nanomaterials such as nanoparticles, nanowires, nanoplatelets, and both physical- and solution-based techniques have been adopted by various research groups around the world. Our research focus has been mainly on various deposition parameters used for fabricating nanostructured materials, including TiO2-organic/inorganic nanocomposite materials. Technically, TiO2 shows relatively high reactivity under ultraviolet light, the energy of which exceeds the band gap of TiO2. The development of photocatalysts exhibiting high reactivity under visible light allows the main part of the solar spectrum to be used. Visible light-activated TiO2 could be prepared by doping or sensitizing. As far as doping of TiO2 is concerned, in obtaining tailored material with improved properties, metal and nonmetal doping has been performed in the context of improved photoactivity. Nonmetal doping seems to be more promising than metal doping. TiO2 represents an effective photocatalyst for water and air purification and for self-cleaning surfaces. Additionally, it can be used as an antibacterial agent because of its strong oxidation activity and superhydrophilicity. Therefore, applications of TiO2 in terms of photocatalytic activities are discussed here. The basic mechanisms of the photoactivities of TiO2 and nanostructures are considered alongside band structure engineering and surface modification in nanostructured TiO2 in the context of doping. The article reviews the basic structural, optical, and electrical properties of TiO2, followed by detailed fabrication techniques of 0-, 1-, and quasi-2-dimensional TiO2 nanomaterials. Applications and future directions of nanostructured TiO2 are considered in the context of various photoinduced phenomena such as hydrogen production, electricity generation via dye-sensitized solar cells, photokilling and self-cleaning effect, photo-oxidation of organic pollutant, wastewater management, and
Study of Chemistry and Structure-Property Relationship on Tunable Plasmonic Nanostructures
Jing, Hao
In this dissertation, the rational design and controllable fabrication of an array of novel plasmonic nanostructures with geometrically tunable optical properties are demonstrated, including metal-semiconductor hybrid hetero-nanoparticles, bimetallic noble metal nanoparticles and hollow nanostructures (nanobox and nanocage). Firstly, I have developed a robust wet chemistry approach to the geometry control of Ag-Cu2O core-shell nanoparticles through epitaxial growth of Cu2O nanoshells on the surfaces of various Ag nanostructures, such as quasi-spherical nanoparticles, nanocubes, and nanocuboids. Precise control over the core and the shell geometries enables me to develop detailed, quantitative understanding of how the Cu2O nanoshells introduce interesting modifications to the resonance frequencies and the extinction spectral line shapes of multiple plasmon modes of the Ag cores. Secondly, I present a detailed and systematic study of the controlled overgrowth of Pd on Au nanorods. The overgrowth of Pd nanoshells with fine-controlled dimensions and architectures on single-crystalline Au nanorods through seed-mediated growth protocol in the presence of various surfactants is investigated. Thirdly, I have demonstrated that creation of high-index facets on subwavelength metallic nanoparticles provides a unique approach to the integration of desired plasmonic and catalytic properties on the same nanoparticle. Through site-selective surface etching of metallic nanocuboids whose surfaces are dominated by low-index facets, I have controllably fabricated nanorice and nanodumbbell particles, which exhibit drastically enhanced catalytic activities arising from the catalytically active high index facets abundant on the particle surfaces. And the nanorice and nanodumbbell particles also possess appealing tunable plasmonic properties that allow us to gain quantitative insights into nanoparticle-catalyzed reactions with unprecedented sensitivity and detail through time
International Nuclear Information System (INIS)
Wang, Li; Wu, Jiafeng; Guo, Yan; Gong, Coucong; Song, Yonghai
2015-01-01
Graphical abstract: - Highlights: • Nanocomposites of chitosan film were prepared by simple self-assembly from solvent media. • Chitosan molecules assembled on mica surface of nanoparticles, fibril and membrane with varied chitosan concentration. • Chitosan molecules assembled with different nanostructure under varied pH. • The optimum drying temperature for forming chitosan membrane is about 65 °C. - Abstract: In this work, the self-assembled nanostructures of chitosan on mica surface formed from various solvents were investigated by using atomic force microscopy. The effects of various factors on the self-assembled nanostructures of chitosan on mica surface, including solvents, the concentration of chitosan, the pH of solution and the drying temperature, were explored in detail. Our experimental data resulted in the conclusion that chitosan molecules could self-assemble on mica surface to form various nanostructures such as nanoparticles, fibril and film. Nanoparticles were always formed on mica surface from CCl_4, C_6H_6, CH_2Cl_2 solution, fibril preferred to form on mica surface from CH_3CH_2OH and CH_3OH solution and the optimal solvent to form film was found to be CH_3CN. Low concentration, pH and temperature were helpful for the formation of nanoparticles, medium concentration, pH and temperature resulted in fibril and high concentration, pH and temperature were often beneficial to forming chitosan films. The study of self-assembled nanostructures of chitosan on mica surface would provide new insight into the development of chitosan-based load-bearing materials.
On-chip surface modified nanostructured ZnO as functional pH sensors
International Nuclear Information System (INIS)
Zhang, Qing; Liu, Wenpeng; Sun, Chongling; Zhang, Hao; Pang, Wei; Zhang, Daihua; Duan, Xuexin
2015-01-01
Zinc oxide (ZnO) nanostructures are promising candidates as electronic components for biological and chemical applications. In this study, ZnO ultra-fine nanowire (NW) and nanoflake (NF) hybrid structures have been prepared by Au-assisted chemical vapor deposition (CVD) under ambient pressure. Their surface morphology, lattice structures, and crystal orientation were investigated by scanning electron microscopy (SEM), x-ray diffraction (XRD), and transmission electron microscopy (TEM). Two types of ZnO nanostructures were successfully integrated as gate electrodes in extended-gate field-effect transistors (EGFETs). Due to the amphoteric properties of ZnO, such devices function as pH sensors. We found that the ultra-fine NWs, which were more than 50 μm in length and less than 100 nm in diameter, performed better in the pH sensing process than NW–NF hybrid structures because of their higher surface-to-volume ratio, considering the Nernst equation and the Gouy–Chapman–Stern model. Furthermore, the surface coating of (3-Aminopropyl)triethoxysilane (APTES) protects ZnO nanostructures in both acidic and alkaline environments, thus enhancing the device stability and extending its pH sensing dynamic range. (paper)
Gradient nanostructured surface of a Cu plate processed by incremental frictional sliding
DEFF Research Database (Denmark)
Hong, Chuanshi; Huang, Xiaoxu; Hansen, Niels
2015-01-01
The flat surface of a Cu plate was processed by incremental frictional sliding at liquid nitrogen temperature. The surface treatment results in a hardened gradient surface layer as thick as 1 mm in the Cu plate, which contains a nanostructured layer on the top with a boundary spacing of the order...
Antipina, M N; Gaĭnutdinov, R V; Rakhnianskaia, A A; Sergeev-Cherenkov, A N; Tolstikhina, A L; Iurova, T V; Kislov, V V; Khomutov, G B
2003-01-01
The formation of DNA complexes with Langmuir monolayers of the cationic lipid octadecylamine (ODA) and the new amphiphilic polycation poly-4-vinylpyridine with 16% of cetylpyridinium groups (PVP-16) on the surface of an aqueous solution of native DNA of low ionic strength was studied. Topographic images of Langmuir-Blodgett films of DNA/ODA and DNA/PVP-16 complexes applied to micaceous substrates were investigated by the method of atomic force microscopy. It was found that films of the amphiphilic polycation have an ordered planar polycrystalline structure. The morphology of planar DNA complexes with the amphiphilic cation substantially depended on the incubation time and the phase state of the monolayer on the surface of the aqueous DNA solution. Complex structures and individual DNA molecules were observed on the surface of the amphiphilic monolayer. Along with quasi-linear individual bound DNA molecules, characteristic extended net-like structures and quasi-circular toroidal condensed conformations of planar DNA complexes were detected. Mono- and multilayer films of DNA/PVP-16 complexes were used as templates and nanoreactors for the synthesis of inorganic nanostructures via the binding of metal cations from the solution and subsequent generation of the inorganic phase. As a result, ultrathin polymeric composite films with integrated DNA building blocks and quasi-linear arrays of inorganic semiconductor (CdS) and iron oxide nanoparticles and nanowires were obtained. The nanostructures obtained were characterized by scanning probe microscopy and transmission electron microscopy techniques. The methods developed are promising for investigating the mechanisms of structural organization and transformation in DNA and polyelectrolyte complexes at the gas-liquid interface and for the design of new extremely thin highly ordered planar polymeric and composite materials, films, and coatings with controlled ultrastructure for applications in nanoelectronics and
Directory of Open Access Journals (Sweden)
Satoru Inoue, Song-Zhu Chu, Kenji Wada, Di Li and Hajime Haneda
2003-01-01
Full Text Available New processes for the preparation of nanostructure on glass surfaces have been developed through anodic oxidation of sputtered aluminum. Aluminum thin film sputtered on a tin doped indium oxide (ITO thin film on a glass surface was converted into alumina by anodic oxidation. The anodic alumina gave nanometer size pore array standing vertically on the glass surface. Kinds of acids used in the anodic oxidation changed the pore size drastically. The employment of phosphoric acid solution gave several tens nanometer size pores. Oxalic acid cases produced a few tens nanometer size pores and sulfuric acid solution provided a few nanometer size pores. The number of pores in a unit area could be changed with varying the applied voltage in the anodization and the pore sizes could be increased by phosphoric acid etching. The specimen consisting of a glass substrate with the alumina nanostructures on the surface could transmit UV and visible light. An etched specimen was dipped in a TiO2 sol solution, resulting in the impregnation of TiO2 sol into the pores of alumina layer. The TiO2 sol was heated at ~400 °C for 2 h, converting into anatase phase TiO2. The specimens possessing TiO2 film on the pore wall were transparent to the light in UV–Visible region. The electro deposition technique was applied to the introduction of Ni metal into pores, giving Ni nanorod array on the glass surface. The removal of the barrier layer alumina at the bottom of the pores was necessary to attain smooth electro deposition of Ni. The photo catalytic function of the specimens possessing TiO2 nanotube array was investigated in the decomposition of acetaldehyde gas under the irradiation of UV light, showing that the rate of the decomposition was quite large.
PREFACE: Self-organized nanostructures
Rousset, Sylvie; Ortega, Enrique
2006-04-01
the EUROCORES SONS Programme under the auspices of the European Science Foundation and the VI Framework Programme of the European Community. It was also funded by CNRS `formation permanente'. Major topics relevant to self-organization are covered in these papers. The first two papers deal with the physics of self-organized nucleation and growth. Both metal and semiconductor templates are investigated. The paper by Meyer zu Heringdorf focuses on the mesoscopic patterns formed by the Au-induced faceting of vicinal Si (001). Repain et al describe how uniform and long-range ordered nanostructures are built on a surface by using nucleation on a point-defect array. Electronic properties of such self-organized systems are reviewed by Mugarza and Ortega. The next three papers deal with molecules and self-organization. In the paper presented by Kröger, molecules are deposited on vicinal Au surfaces and are studied by STM. A very active field in self-organized nanostructures is the chemical route for nanoparticle synthesis. The paper by Piléni deals with self-organization of inorganic crystals produced by evaporation of a solution, also called colloids. Their physical properties are also treated. Gacoin et al illustrate chemical synthesis, including the template approach, using organized mesoporous silica films for the production of semiconductor or metal arrays of particles. An alternative method is developed in the paper by Allongue and Maroun which is the electrochemical method of building arrays of nanostructures. Ultimately, self-organization is a very interdisciplinary field. There is also an attempt in this issue to present some of the challenges using biology. The paper by Belamie et al deals with the self-assembly of biological macromolecules, such as chitin and collagen. Finally, Molodtsov and co-workers describe how a biological template can be used in order to achieve novel materials made of hybrid metallo-organic nanostructures.
Architecting boron nanostructure on the diamond particle surface
International Nuclear Information System (INIS)
Bai, H.; Dai, D.; Yu, J.H.; Nishimura, K.; Sasaoka, S.; Jiang, N.
2014-01-01
The present study provides an efficient approach for nano-functionalization of diamond powders. Boron nanostructure can be grown on diamond particle entire surface by a simple heat-treatment process. After treatment, various boron nanoforms were grown on the diamond particle surface at different processing temperature. High-density boron nanowires (BNWs) grow on the diamond particle entire surface at 1333 K, while nanopillars cover diamond powders when the heat treatment process is performed at 1393 K. The influence of the pretreatment temperature on the microstructure and thermal conductivity of Cu/diamond composites were investigated. Cu/diamond composites with high thermal conductivity of 670 W (m K) −1 was obtained, which was achieved by the formation of large number of nanowires and nanopillars on the diamond particle surface.
Energy Technology Data Exchange (ETDEWEB)
Truong, S. Lau; Levi, G.; Bozon-Verduraz, F. [ITODYS, UMR-CNRS 7086, Universite Paris 7-Denis Diderot, 2, place Jussieu, 75251 Paris cedex 05 (France); Petrovskaya, A.V.; Simakin, A.V. [Wave Research Center of A.M. Prokhorov General Physics Institute of the Russian Academy of Sciences, 38 Vavilov street, 119991 Moscow (Russian Federation); Shafeev, G.A. [Wave Research Center of A.M. Prokhorov General Physics Institute of the Russian Academy of Sciences, 38 Vavilov street, 119991 Moscow (Russian Federation)], E-mail: shafeev@kapella.gpi.ru
2007-12-15
The formation of dense arrays of nanospikes occurs under laser ablation of bulk targets (Ag, Au, Ta, Ti) immersed in liquids such as water or ethanol. The average height of spikes is 50 nm and their density on the target amounts to 10{sup 10} cm{sup -2}. The effect is observed with sufficiently short laser pulses. In particular, either a 350 ps or a 90 ps Nd:YAG lasers are used in their fundamental harmonics. The nanospikes are characterized by UV-Visible reflection spectrometry and atomic force microscopy. The oscillations of electrons within nanospikes result in a permanent coloration of the surface and a modification of the optical reflection spectra of the metal. Scanning the laser beam along the metal surface allows its nanostructuring over extended areas ({approx}1 cm{sup 2}). The nanostructured Ag surface shows enhanced Raman scattering of acridine molecules at a concentration of 10{sup -5} M/l, whereas the initial Ag targets do not show any signal within the accuracy of measurements.
Fabrication of Semiconductor ZnO Nanostructures for Versatile SERS Application
Directory of Open Access Journals (Sweden)
Lili Yang
2017-11-01
Full Text Available Since the initial discovery of surface-enhanced Raman scattering (SERS in the 1970s, it has exhibited a huge potential application in many fields due to its outstanding advantages. Since the ultra-sensitive noble metallic nanostructures have increasingly exposed themselves as having some problems during application, semiconductors have been gradually exploited as one of the critical SERS substrate materials due to their distinctive advantages when compared with noble metals. ZnO is one of the most representative metallic oxide semiconductors with an abundant reserve, various and cost-effective fabrication techniques, as well as special physical and chemical properties. Thanks to the varied morphologies, size-dependent exciton, good chemical stability, a tunable band gap, carrier concentration, and stoichiometry, ZnO nanostructures have the potential to be exploited as SERS substrates. Moreover, other distinctive properties possessed by ZnO such as biocompatibility, photocatcalysis and self-cleaning, and gas- and chemo-sensitivity can be synergistically integrated and exerted with SERS activity to realize the multifunctional potential of ZnO substrates. In this review, we discuss the inevitable development trend of exploiting the potential semiconductor ZnO as a SERS substrate. After clarifying the root cause of the great disparity between the enhancement factor (EF of noble metals and that of ZnO nanostructures, two specific methods are put forward to improve the SERS activity of ZnO, namely: elemental doping and combination of ZnO with noble metals. Then, we introduce a distinctive advantage of ZnO as SERS substrate and illustrate the necessity of reporting a meaningful average EF. We also summarize some fabrication methods for ZnO nanostructures with varied dimensions (0–3 dimensions. Finally, we present an overview of ZnO nanostructures for the versatile SERS application.
Correlation between surface modification and photoluminescence properties of β-Ga2O3 nanostructures
Directory of Open Access Journals (Sweden)
R. Jangir
2016-03-01
Full Text Available In this work three different growth methods have been used to grow β-Ga2O3 nanostructures. The nanostructures were characterized by Grazing Incident X-Ray Diffraction, Scanning Electron Microscopy, Transmission Electron Microscopy and Photoluminescence Spectroscopy. Photoluminescence spectra for all the samples of β-Ga2O3 nanostructures exhibit an UV and blue emission band. The relative intensity of UV and blue luminescence is strongly affected by the surface defects present on the nanostructures. Our study shows that Photoluminescence intensity of UV and blue luminescence can be reliably used to determine the quality of β-Ga2O3 nanostructures. Further the work opens up the possibility of using UV excitation and subsequent Photoluminescence analysis as a possible means for oxygen sensing. The Photoluminescence mechanism in β-Ga2O3 nanostructures is also discussed.
Effect of polar and non-polar surfaces of ZnO nanostructures on photocatalytic properties
International Nuclear Information System (INIS)
Yang Jinghai; Wang Jian; Li Xiuyan; Lang Jihui; Liu Fuzhu; Yang Lili; Zhai Hongju; Gao Ming; Zhao Xiaoting
2012-01-01
Highlights: ► Large-scale arrayed ZnO nanocrystals including ZnO hexagonal platforms and hamburger-like samples have been successfully fabricated by a simple hydrothermal method. ► ZnO with hexagonal platform-like morphology exhibited higher photocatalytic activity compared with that of the hamburger-like ZnO nanostructures. ► The theories of expose surfaces and oxygen vacancies were utilized to explain the photocatalytic mechanism. - Abstract: Large-scale arrayed ZnO nanocrystals with two different expose surfaces, including ZnO hexagonal nanoplatforms with the major expose plane of (0 0 0 1) and hamburger-like samples with the nonpolar planes of {101 ¯ 0} mainly exposed, were successfully fabricated by a simple hydrothermal method. Mechanisms for compare the photocatalytic activity of two typical ZnO nanostructures were systematic explained as the key point in the paper. Compared with the hamburger-like ZnO nanostructures, the ZnO with hexagonal platform-like morphology exhibited improved ability on the photocatalytic degradation of Rhodamine B (RhB) in aqueous solution under UV radiation. The relative higher photocatalytic activity of the ZnO hexagonal nanoplatforms was attributed to the exposed polar surfaces and the content of oxygen vacancy on the nanostructures surface. The Zn-terminated (0 0 0 1) polar face and the surface defects are facile to adsorb O 2− and OH − ions, resulting in a greater production rate of O 2 · − and OH· − , hence promoting the photocatalysis reaction.
Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.
2012-02-01
Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.
Magneto-optical response of Cu/NiFe/Cu nanostructure under surface plasmon resonance
Energy Technology Data Exchange (ETDEWEB)
Mahmoodi, S. [Institute of Nanoscience and Nanotechnology, University of Kashan, Kashan, 87317 (Iran, Islamic Republic of); Moradi, M., E-mail: m.moradi@kashanu.ac.ir [Institute of Nanoscience and Nanotechnology, University of Kashan, Kashan, 87317 (Iran, Islamic Republic of); Mohseni, S.M. [Department of Physics, Shahid Beheshti University, Evin, Tehran, 19839 (Iran, Islamic Republic of)
2016-12-15
In this paper, we present theoretical and experimental studies about the surface plasmon resonance effects on the magneto-optical activity of Cu/NiFe/Cu nanostructures as a function of layers thickness and light incident angle. Device fabrication was done by an oblique deposition technique with RF magnetron sputtering to carefully cover fine step thickness variation of all constituted layers. Angular dependent transverse Kerr response of samples was measured in the Kretschmann configuration at a fixed wavelength of 632 nm. At an optimum layer thickness and incident angle, significant amplification of the transverse Kerr effect was observed. Enhancement in the transverse Kerr effect can be realized by hybridization of surface plasmon excitation and cavity resonance in the plasmonic nanostructure. Experimental results were in qualitative agreement with modeling based on the 4×4 transfer matrix formalism. - Highlights: • Large magneto-optical response in Cu/NiFe/Cu multilayer nanostructure is achieved. • Layer thickness and sequence are studied to find large transverse Kerr signal. • Hybridization of surface plasmon excitation and cavity resonance were done.
Surface modification of orthodontic implants by nanocomposite coatings based on chitosan and metals
Directory of Open Access Journals (Sweden)
Suetenkov D.Ye.
2013-09-01
Full Text Available Purpose: to study the properties of nanostructured coatings in orthodontic implants. Material and methods. Low and average molecular mass chitosan, 3-amynopropil-3-methoxysylan and suspensions of 5 nm and 10 nm gold nano-spheres stabilized with natrium citrate were used for nanocomposed surfaces. 2mg/ml polyethylenamin water solution was used for making the underlayer before putting polyion coverage using «POLYION-1M». The polyion covering dynamics was studied by polyquartz weighing method. Morphology of created layers was studied with atomic microscopy, elements were studied by secondary ion mass-spectrometry. Results. The best transmission among structures of chito-san/metals was showed by low molecular mass of chitosan and 8-1 Onm nanoparticles of metals. Analysis of roughness of surface shows that nanoparticles of gold make the most solid surface on 3-amynopropil-3-methoxysylan underlayer. Conclusion. The development of biocomparative materials in maxillofacial surgery is considered to be effective method of decreasing the risk of post-operative inflammatory complications by local antibacterial effect.
Design and fabrication of Ni nanowires having periodically hollow nanostructures.
Sada, Takao; Fujigaya, Tsuyohiko; Nakashima, Naotoshi
2014-10-07
We propose a concept for the design and fabrication of metal nanowires having periodically hollow nanostructures inside the pores of an anodic aluminum oxide (AAO) membrane using a sacrificial metal. In this study, nickel (Ni) and silver (Ag) were used as the base metal and the sacrificial metal, respectively. Alternating an applied potential between -0.4 and -1.0 V provided alternatively deposited Ni and Ag segments in a Ni-Ag 'barcode' nanowire with a diameter of 18 or 35 nm. After etching away the Ag segments, we fabricated Ni nanowires with nanopores of 12 ± 5.3 nm. Such nanostructure formation is explained by the formation of a Ni shell layer over the surface of the Ag segments due to the strong affinity of Ni(2+) for the interior surfaces of AAO. The Ni shell layer allows the Ni segments to remain even after dissolution of the Ag segments. Because the electroplating conditions can be easily controlled, we could carefully adjust the size and pitch of the periodically hollow nanospaces. We also describe a method for the fabrication of Ni nanorods by forming an Ag shell instead of a Ni shell on the Ni-Ag barcode nanowire, in which the interior of the AAO surfaces was modified with a compound bearing a thiol group prior to electroplating.
Infrared spectroscopy of one-dimensional metallic nanostructures on silicon vicinal surfaces
Energy Technology Data Exchange (ETDEWEB)
Hoang, Chung Vu
2010-06-23
Vicinal silicon(111) surfaces are used as templates for the growth of lead nanowires as well as gold and indium atom chains. The morphology of the Au atom chains was studied by use of Scanning Tunneling Microscopy (STM) and Reflection High Energy Electron Diffraction (RHEED). The In chains were investigated by infrared spectroscopy with the electrical field component of the IR light polarized either parallel or perpendicular to the wires. It is shown that at room temperature, In atom-chains display a plasmonic absorption feature along the chain but not in the perpendicular direction. Furthermore, upon cooling down to liquid nitrogen temperature, a metal to insulator transition is observed. A structural distortion is also confirmed by RHEED. As for the result of Pb nanowires, by means of infrared spectroscopy, it is now possible to control the average length of parallel nanowire arrays by monitoring four experimental parameters that influence on the nucleation density; namely: Pb coverage, evaporation rate, substrate temperature and the surface itself. The system shows an enhancement of the absorption at the antenna frequency in the low temperature regime. This scenario is assigned to the reduction of electron-phonon scattering due to low temperature. (orig.)
Infrared spectroscopy of one-dimensional metallic nanostructures on silicon vicinal surfaces
International Nuclear Information System (INIS)
Hoang, Chung Vu
2010-01-01
Vicinal silicon(111) surfaces are used as templates for the growth of lead nanowires as well as gold and indium atom chains. The morphology of the Au atom chains was studied by use of Scanning Tunneling Microscopy (STM) and Reflection High Energy Electron Diffraction (RHEED). The In chains were investigated by infrared spectroscopy with the electrical field component of the IR light polarized either parallel or perpendicular to the wires. It is shown that at room temperature, In atom-chains display a plasmonic absorption feature along the chain but not in the perpendicular direction. Furthermore, upon cooling down to liquid nitrogen temperature, a metal to insulator transition is observed. A structural distortion is also confirmed by RHEED. As for the result of Pb nanowires, by means of infrared spectroscopy, it is now possible to control the average length of parallel nanowire arrays by monitoring four experimental parameters that influence on the nucleation density; namely: Pb coverage, evaporation rate, substrate temperature and the surface itself. The system shows an enhancement of the absorption at the antenna frequency in the low temperature regime. This scenario is assigned to the reduction of electron-phonon scattering due to low temperature. (orig.)
Formation of various types of nanostructures on germanium surface by nanosecond laser pulses
Mikolutskiy, S. I.; Khasaya, R. R.; Khomich, Yu V.; Yamshchikov, V. A.
2018-03-01
The paper describes the formation of micro- and nanostructures in different parts of irradiation zone on germanium surface by multiple action of nanosecond pulses of ArF-laser. It proposes a simple method using only one laser beam without any optional devices and masks for surface treatment. Hexa- and pentagonal cells with submicron dimensions along the surface were observed in peripheral zone of irradiation spot by atomic-force microscopy. Nanostructures in the form of bulbs with rounded peaks with lateral sizes of 40-120 nm were obtained in peripheral low-intensity region of the laser spot. Considering experimental data on material processing by nanosecond laser pulses, a classification of five main types of surface reliefs formed by nanosecond laser pulses with energy density near or slightly above ablation threshold was proposed.
Optimization of light out-coupling in optoelectronic devices using nanostructured surface
DEFF Research Database (Denmark)
Ou, Haiyan; Ou, Yiyu; Argyraki, Aikaterini
C and GaN, these developed methods could be applied to other semicon ductors such as Si, etc. Furthermore, all optoelectronic devices having an optical interface such as solar cells, photo - detectors, could benefit from these developed methods for opto - electronic performance improvement....... the overall efficiency of the LEDs. In this paper we have developed various methods for two important semiconductors: silicon carbide (SiC) and gallium nitride (GaN), and demonstrated enormous extraction efficiency enhancement. SiC is an important su bstrate for LED devices. It has refractive index of 2.......6, and only a few percent of light could escape from it. We have developed periodic nanocone structures by using electron - beam lithography, periodic nanodome structures by using nanosphere lithography, random nanostructures by using self - assembled metal nanoparticles, and random nanostructures by directly...
Energy Technology Data Exchange (ETDEWEB)
Ghosh, Moumita, E-mail: ghoshiisc@gmail.com [Instrumentation and Applied Physics, Indian Institute of Science, Bangalore 560012 (India); Centre for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); IV. Institute of Physics, Georg-August-Universität-Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); III. Institute of Physics – Biophysics and Complex Systems, Georg-August-Universität-Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Ghosh, Siddharth [III. Institute of Physics – Biophysics and Complex Systems, Georg-August-Universität-Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Seibt, Michael [IV. Institute of Physics, Georg-August-Universität-Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Schaap, Iwan A.T. [III. Institute of Physics – Biophysics and Complex Systems, Georg-August-Universität-Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Institute of Biological Chemistry, Biophysics and Bioengineering, Heriot-Watt University, Edinburgh EH14 4AS (United Kingdom); Schmidt, Christoph F. [III. Institute of Physics – Biophysics and Complex Systems, Georg-August-Universität-Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Mohan Rao, G. [Instrumentation and Applied Physics, Indian Institute of Science, Bangalore 560012 (India)
2016-12-30
Graphical abstract: To retain atomic structure and morphology of ZnO nanostructures (caused by deoxidation of ZnO) in water/bio-fluids, we propose and demonstrate a robust and inexpensive encapsulation technique using bio-compatible non-ionic surfactant. - Highlights: • Aqueous solutions of ZnO nanorods with and without surfactant are prepared. • With time ZnO nanorods show structural deterioration in different aqueous solutions. • Crystallinity of ZnO nanorods in absence of aqueous solution remain unaffected. • Encapsulation of bio-compatible surfactant in alchohol avoid ZnO deoxidation. • Crystallinity and structure of ZnO nanorods after encapsulation remain unaffected. - Abstract: Due to their photoluminescence, metal oxide nanostructures such as ZnO nanostructures are promising candidates in biomedical imaging, drug delivery and bio-sensing. To apply them as label for bio-imaging, it is important to study their structural stability in a bio-fluidic environment. We have explored the effect of water, the main constituent of biological solutions, on ZnO nanostructures with scanning electron microscopy (SEM) and photoluminescence (PL) studies which show ZnO nanorod degeneration in water. In addition, we propose and investigate a robust and inexpensive method to encapsulate these nanostructures (without structural degradation) using bio-compatible non-ionic surfactant in non-aqueous medium, which was not reported earlier. This new finding is an immediate interest to the broad audience of researchers working in biophysics, sensing and actuation, drug delivery, food and cosmetics technology, etc.
International Nuclear Information System (INIS)
Tomilin, S V; Berzhansky, V N; Shaposhnikov, A N; Prokopov, A R; Milyukova, E T; Karavaynikov, A V; Tomilina, O A
2016-01-01
In present work the results of investigation of optical (transmission spectra) and plasmonic (surface plasmon-polariton resonance) properties of ultrathin and nanostructured Au films are presents. Methods and techniques for the syntheses of samples of ultrathin and nanostructured metallic films, and for the experimental studies of optical and plasmonic properties are representative. Au films on SiO 2 (optic glass) substrates were investigated. (paper)
Understanding of the correlation between work function and surface morphology of metals and alloys
International Nuclear Information System (INIS)
Xue, Mingshan; Wang, Wenfeng; Wang, Fajun; Ou, Junfei; Li, Changquan; Li, Wen
2013-01-01
Highlights: •The inherent correlation between the work function and surface morphology was focused on. •The change of the work function of metals and alloys as a function of surface roughness was investigated by scanning Kelvin probe. •The lightning rod effect was used to describe the electron transport at a rough surface. -- Abstract: The relationships between material behaviors and its structures are extremely complicated, and the understanding of these relationships is of much significance for revealing the physical, chemical and mechanical properties of various materials. In this study, the change of the work function (WF) of metals and alloys as a function of surface roughness was investigated by scanning Kelvin probe, with the aim of understanding the inherent correlation between the WF and surface morphology using a simple and intuitive way. It was demonstrated that at the rough surface of Cu and Ag, the sharp micro/nanostructures induced a lower WF, just as the lightning rod effect providing a direct and fast path for electron transport. While for Al and Mg alloys, the rough surface resulted in an increase of the WF owing to the effect of surface oxide layers, just as the anti-lightning rod effect providing a protected layer to confine the electron transport
Jang, Gyoung Gug
The scientific and industrial demand for controllable thin gold (Au) film and Au nanostructures is increasing in many fields including opto-electronics, photovoltaics, MEMS devices, diagnostics, bio-molecular sensors, spectro-/microscopic surfaces and probes. In this study, a novel continuous flow electroless (CF-EL) Au plating method is developed to fabricate uniform Au thin films in ambient condition. The enhanced local mass transfer rate and continuous deposition resulting from CF-EL plating improved physical uniformity of deposited Au films and thermally transformed nanoparticles (NPs). Au films and NPs exhibited improved optical photoluminescence (PL) and surface plasmon resonance (SPR), respectively, relative to batch immersion EL (BI-EL) plating. Suggested mass transfer models of Au mole deposition are consistent with optical feature of CF-EL and BI-EL films. The prototype CF-EL plating system is upgraded an automated scalable CF-EL plating system with real-time transmission UV-vis (T-UV) spectroscopy which provides the advantage of CF-EL plating, such as more uniform surface morphology, and overcomes the disadvantages of conventional EL plating, such as no continuous process and low deposition rate, using continuous process and controllable deposition rate. Throughout this work, dynamic morphological and chemical transitions during redox-driven self-assembly of Ag and Au film on silica surfaces under kinetic and equilibrium conditions are distinguished by correlating real-time T-UV spectroscopy with X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) measurements. The characterization suggests that four previously unrecognized time-dependent physicochemical regimes occur during consecutive EL deposition of silver (Ag) and Au onto tin-sensitized silica surfaces: self-limiting Ag activation; transitory Ag NP formation; transitional Au-Ag alloy formation during galvanic replacement of Ag by Au; and uniform morphology formation under
Feng, Liqiang; Liu, Katheryn
2018-05-01
An effective method to obtain the single attosecond pulses (SAPs) by using the multi-cycle plasmon-driven double optical gating (DOG) technology in the specifically designed metal nanostructures has been proposed and investigated. It is found that with the introduction of the crossed metal nanostructures along the driven and the gating polarization directions, not only the harmonic cutoff can be extended, but also the efficient high-order harmonic generation (HHG) at the very highest orders occurs only at one side of the region inside the nanostructure. As a result, a 93 eV supercontinuum with the near stable phase can be found. Further, by properly introducing an ultraviolet (UV) pulse into the driven laser polarization direction (which is defined as the DOG), the harmonic yield can be enhanced by two orders of magnitude in comparison with the singe polarization gating (PG) technology. However, as the polarized angle or the ellipticity of the UV pulse increase, the enhancement of the harmonic yield is slightly reduced. Finally, by superposing the selected harmonics from the DOG scheme, a 30 as SAP with intensity enhancement of two orders of magnitude can be obtained.
Akhter, Perveen
light trapping in poly-Si thin films using ion implantation induced surface texturing. In addition to surface texturing produced by H and Ar ion implantations, metal nanostructures are also added to the surface to further suppress light reflection at the plasmonic resonance of metal nanostructures. Remarkable suppression has been achieved resulting in reflection from the air/Si interface to below ˜5%. In the second part, optical properties of embedded metal nanostructures in silicon matrix gettered into the ion implantation created nanocavities are studied. Embedded nanostructures can have a huge impact in future photonics applications by replacing the existing electronic and photonic components such as interconnects, waveguides, modulators and amplifiers with their plasmonic counterparts. This new method of encapsulating metal nanostructures in silicon is cost-effective and compatible with silicon fabrication technology. Spectroscopic ellipsometry is used to study the dielectric properties of silicon with embedded silver nanostructures. High absorption regions around 900 nm, corresponding to plasmonic absorption of Ag nanoparticles in Si, have been observed and compared to theoretical calculations and simulation results. The possibility of modifying the dielectric function of Si with metal nanostructures can lay the foundation for functional base structures for advanced applications in silicon photonics, photovoltaics and plasmonics.
Spin injection and transport in semiconductor and metal nanostructures
Zhu, Lei
In this thesis we investigate spin injection and transport in semiconductor and metal nanostructures. To overcome the limitation imposed by the low efficiency of spin injection and extraction and strict requirements for retention of spin polarization within the semiconductor, novel device structures with additional logic functionality and optimized device performance have been developed. Weak localization/antilocalization measurements and analysis are used to assess the influence of surface treatments on elastic, inelastic and spin-orbit scatterings during the electron transport within the two-dimensional electron layer at the InAs surface. Furthermore, we have used spin-valve and scanned probe microscopy measurements to investigate the influence of sulfur-based surface treatments and electrically insulating barrier layers on spin injection into, and spin transport within, the two-dimensional electron layer at the surface of p-type InAs. We also demonstrate and analyze a three-terminal, all-electrical spintronic switching device, combining charge current cancellation by appropriate device biasing and ballistic electron transport. The device yields a robust, electrically amplified spin-dependent current signal despite modest efficiency in electrical injection of spin-polarized electrons. Detailed analyses provide insight into the advantages of ballistic, as opposed to diffusive, transport in device operation, as well as scalability to smaller dimensions, and allow us to eliminate the possibility of phenomena unrelated to spin transport contributing to the observed device functionality. The influence of the device geometry on magnetoresistance of nanoscale spin-valve structures is also demonstrated and discussed. Shortcomings of the simplified one-dimensional spin diffusion model for spin valve are elucidated, with comparison of the thickness and the spin diffusion length in the nonmagnetic channel as the criterion for validity of the 1D model. Our work contributes
International Nuclear Information System (INIS)
Postnov, V N; Rodinkov, O V; Moskvin, L N; Novikov, A G; Bugaichenko, A S; Krokhina, O A
2016-01-01
Information on carbon nanostructures (fullerenes, nanotubes, graphene, nanodiamond and nanodispersed active carbon) used to develop high-performance sorbents of organics and heavy metal ions from aqueous solutions is collected and analyzed. The advantages in the synthesis of hybrid carbon nanostructures and the possibilities of surface modification of these systems in order to carry out fast sorption pre-concentration are considered. Prospects for application of these materials in sorption technologies and analytical chemistry are discussed. The bibliography includes 364 references
Konovalenko, Igor S.; Shilko, Evgeny V.; Ovcharenko, Vladimir E.; Psakhie, Sergey G.
2017-12-01
The paper presents the movable cellular automaton method. It is based on numerical models of surface layers of the metal-ceramic composite NiCr-TiC modified under electron beam irradiation in inert gas plasmas. The models take into account different geometric, concentration and mechanical parameters of ceramic and metallic components. The authors study the contributions of key structural factors in mechanical properties of surface layers and determine the ranges of their variations by providing the optimum balance of strength, strain hardening and fracture toughness.
Jeong, Chanyoung; Choi, Chang-Hwan
2012-02-01
Conventional electrochemical anodizing processes of metals such as aluminum typically produce planar and homogeneous nanopore structures. If hydrophobically treated, such 2D planar and interconnected pore structures typically result in lower contact angle and larger contact angle hysteresis than 3D disconnected pillar structures and, hence, exhibit inferior superhydrophobic efficiency. In this study, we demonstrate for the first time that the anodizing parameters can be engineered to design novel pillar-on-pore (POP) hybrid nanostructures directly in a simple one-step fabrication process so that superior surface superhydrophobicity can also be realized effectively from the electrochemical anodization process. On the basis of the characteristic of forming a self-ordered porous morphology in a hexagonal array, the modulation of anodizing voltage and duration enabled the formulation of the hybrid-type nanostructures having controlled pillar morphology on top of a porous layer in both mild and hard anodization modes. The hybrid nanostructures of the anodized metal oxide layer initially enhanced the surface hydrophilicity significantly (i.e., superhydrophilic). However, after a hydrophobic monolayer coating, such hybrid nanostructures then showed superior superhydrophobic nonwetting properties not attainable by the plain nanoporous surfaces produced by conventional anodization conditions. The well-regulated anodization process suggests that electrochemical anodizing can expand its usefulness and efficacy to render various metallic substrates with great superhydrophilicity or -hydrophobicity by directly realizing pillar-like structures on top of a self-ordered nanoporous array through a simple one-step fabrication procedure.
Bonnie, F.; Arnold, M. D.; Smith, G. B.; Gentle, A. R.
2013-09-01
The optical resonances that occur in nanostructured metal layers are modulated in thin film stacks if the nanostructured layer is separated from a reflecting conducting layer by various thicknesses of thin dielectric. We have measured and modeled the optical response of interacting silver layers, with alumina spacer thickness ranging from a few nm to 50 nm, for s- and p-polarized incident light, and a range of incident angles. Standard thin film models, including standard effective medium models for the nanostructured layer, will break down for spacer thickness below a critical threshold. For example, with polarisation in the film plane and some nano-islands, it may occur at around 10 nm depending on spacer refractive index. Of particular interest here are novel effects observed with the onset of percolation in the nanolayer. Hot spot effects can be modified by nearby mirrors. Other modes to consider include (a) a two-particle mode involving a particle and its mirror image (b) A Fano resonance from hybridisation of localized and de-localised plasmon modes (c) a Babinet's core-(partial) shell particle with metal core-dielectric shell in metal (d) spacing dependent phase modulation (e) the impact of field gradients induced by the mirror at the nano-layer.
Navaee, Aso; Salimi, Abdollah
2018-05-01
Copper derivatives are the most prominent CO2 reduction electrocatalyst. Herein, the metallic copper has been electrochemically treated with some of common ionic salts such as N3bar, HPO2bar, S2bar, Fbar, Clbar, Brbar and Ibar based on the dissolution of a metallic working electrode in an aqueous solution to derive the surface roughness incorporated with nanostructures. Diverse surface morphology can be obtained when the ionic radii of anions are changed. Surface study reveals various roughness shapes based on the size and polarity of the anions, where the ions with higher ionic radii have higher impact on the Cu surface. In comparison, polyatomic oxyanion such as HPO2bar even with large ionic radii do not have enough strength to create the surface roughness than that of oxygen-free anions with large ionic radii. The photoelectrochemical behavior of the modified surfaces toward CO2 reduction is studied at a wide potential window in bicarbonate aqueous solution. Based on our investigations, treated surfaces by Ibar, Clbar and S2bargive a more surface roughness, while Ibar and N3bar offer higher catalytic activity toward CO2 reduction due to possible complexing ability of these anions with Cu cations, followed by formation of the co-catalyst semiconductor and facilitate electron transfer. This methodology can be applied to investigate the effect of ions on transition metals along with obtaining different surface morphologies tailored to different applications.
International Nuclear Information System (INIS)
Mahmoud, Mahmoud Youcef; Bassou, Ghaouti; Salomon, Laurant; Chekroun, Z.; Djamai, Nesrine
2007-01-01
Scanning near-field optical microscopy (SNOM) integrates standard optical methods with scanning probe microscopy (SPM) techniques allowing to collect optical information with resolution well beyond the diffraction limit. We study the influence on image formation of several parameters in scanning near-field microscopy. The numerical calculations have been carried out using the differential method. We investigate a 2D-PSTM configuration with a dielectric rectangular object. We will focus on the collection type SNOM in a constant height scanning mode. Various oscillation patterns are observed from both sides of the nanostructure, which we interpret as interference between the diffracted waves scattered by the nanostructure (with the components of the wave vector parallel to the surface) and the evanescent incident wave above the surface. Using an optical near-field analysis and by calculating the electric field intensity distribution, we investigate the probe-sample distance effect. It is found that the distribution of the intensity related to the electric field is depending on sample-probe distance. We noticed the loss of details in the image and the presence of dramatic oscillations. Also, both of the polarization state of the illuminating light effect and the angle of incidence are investigated. We conclude that a differential method provides physical insight into the main features of the different images
Energy Technology Data Exchange (ETDEWEB)
Mahmoud, Mahmoud Youcef [Laboratoire d' elaboration et caracterisation des materiaux, Groupe de Microscopie et Microanalyse, Universite Djilali Liabes de Sidi Bel-Abbes, Faculte des sciences (Algeria)], E-mail: mahmoudhamoud@yahoo.com; Bassou, Ghaouti [Laboratoire d' elaboration et caracterisation des materiaux, Groupe de Microscopie et Microanalyse, Universite Djilali Liabes de Sidi Bel-Abbes, Faculte des sciences (Algeria); Laboratoire de Physique (LPUB), CNRS UMR 5027, Groupe d' Optique de Champ Proche, Faculte des Sciences Mirande, Universite de Bourgogne, 9 Avenue Alain Savary, BP 47 870, 21078 Dijon Cedex (France); Salomon, Laurant [Laboratoire de Physique (LPUB), CNRS UMR 5027, Groupe d' Optique de Champ Proche, Faculte des Sciences Mirande, Universite de Bourgogne, 9 Avenue Alain Savary, BP 47 870, 21078 Dijon Cedex (France); Chekroun, Z. [Laboratoire d' elaboration et caracterisation des materiaux, Groupe de Microscopie et Microanalyse, Universite Djilali Liabes de Sidi Bel-Abbes, Faculte des sciences (Algeria); Djamai, Nesrine [Laboratoire de telecommunications et de traitement numerique du signal (LTTNS), Universite Djilali Liabes de Sidi Bel-Abbes, Faculte des sciences de l' ingenieur, Departement d' electronique (Algeria)
2007-08-25
Scanning near-field optical microscopy (SNOM) integrates standard optical methods with scanning probe microscopy (SPM) techniques allowing to collect optical information with resolution well beyond the diffraction limit. We study the influence on image formation of several parameters in scanning near-field microscopy. The numerical calculations have been carried out using the differential method. We investigate a 2D-PSTM configuration with a dielectric rectangular object. We will focus on the collection type SNOM in a constant height scanning mode. Various oscillation patterns are observed from both sides of the nanostructure, which we interpret as interference between the diffracted waves scattered by the nanostructure (with the components of the wave vector parallel to the surface) and the evanescent incident wave above the surface. Using an optical near-field analysis and by calculating the electric field intensity distribution, we investigate the probe-sample distance effect. It is found that the distribution of the intensity related to the electric field is depending on sample-probe distance. We noticed the loss of details in the image and the presence of dramatic oscillations. Also, both of the polarization state of the illuminating light effect and the angle of incidence are investigated. We conclude that a differential method provides physical insight into the main features of the different images.
Nanostructuring of Solar Cell Surfaces
DEFF Research Database (Denmark)
Davidsen, Rasmus Schmidt; Schmidt, Michael Stenbæk
Solar energy is by far the most abundant renewable energy source available, but the levelized cost of solar energy is still not competitive with that of fossil fuels. Therefore there is a need to improve the power conversion effciency of solar cells without adding to the production cost. The main...... objective of this PhD thesis is to develop nanostructured silicon (Si) solar cells with higher power conversion efficiency using only scalable and cost-efficient production methods. The nanostructures, known as 'black silicon', are fabricated by single-step, maskless reactive ion etching and used as front...... texturing of different Si solar cells. Theoretically the nanostructure topology may be described as a graded refractive index in a mean-field approximation between air and Si. The optical properties of the developed black Si were simulated and experimentally measured. Total AM1.5G-weighted average...
Mapping of electromagnetic fields enhanced by gold nanostructures
DEFF Research Database (Denmark)
Fiutowski, Jacek; Maibohm, Christian; Kostiučenko, Oksana
2012-01-01
Laser ablation of an ‘imaging’ polymer layer allows near-field mapping of metal nanostructures with subdiffraction resolution......Laser ablation of an ‘imaging’ polymer layer allows near-field mapping of metal nanostructures with subdiffraction resolution...
Directory of Open Access Journals (Sweden)
Arghya Narayan Banerjee
2011-02-01
Full Text Available Arghya Narayan BanerjeeSchool of Mechanical Engineering, Yeungnam University, Gyeongsan, South KoreaAbstract: Recent advances in basic fabrication techniques of TiO2-based nanomaterials such as nanoparticles, nanowires, nanoplatelets, and both physical- and solution-based techniques have been adopted by various research groups around the world. Our research focus has been mainly on various deposition parameters used for fabricating nanostructured materials, including TiO2-organic/inorganic nanocomposite materials. Technically, TiO2 shows relatively high reactivity under ultraviolet light, the energy of which exceeds the band gap of TiO2. The development of photocatalysts exhibiting high reactivity under visible light allows the main part of the solar spectrum to be used. Visible light-activated TiO2 could be prepared by doping or sensitizing. As far as doping of TiO2 is concerned, in obtaining tailored material with improved properties, metal and nonmetal doping has been performed in the context of improved photoactivity. Nonmetal doping seems to be more promising than metal doping. TiO2 represents an effective photocatalyst for water and air purification and for self-cleaning surfaces. Additionally, it can be used as an antibacterial agent because of its strong oxidation activity and superhydrophilicity. Therefore, applications of TiO2 in terms of photocatalytic activities are discussed here. The basic mechanisms of the photoactivities of TiO2 and nanostructures are considered alongside band structure engineering and surface modification in nanostructured TiO2 in the context of doping. The article reviews the basic structural, optical, and electrical properties of TiO2, followed by detailed fabrication techniques of 0-, 1-, and quasi-2-dimensional TiO2 nanomaterials. Applications and future directions of nanostructured TiO2 are considered in the context of various photoinduced phenomena such as hydrogen production, electricity generation via
Reaction Current Phenomenon in Bifunctional Catalytic Metal-Semiconductor Nanostructures
Hashemian, Mohammad Amin
Energy transfer processes accompany every elementary step of catalytic chemical processes on material surface including molecular adsorption and dissociation on atoms, interactions between intermediates, and desorption of reaction products from the catalyst surface. Therefore, detailed understanding of these processes on the molecular level is of great fundamental and practical interest in energy-related applications of nanomaterials. Two main mechanisms of energy transfer from adsorbed particles to a surface are known: (i) adiabatic via excitation of quantized lattice vibrations (phonons) and (ii) non-adiabatic via electronic excitations (electron/hole pairs). Electronic excitations play a key role in nanocatalysis, and it was recently shown that they can be efficiently detected and studied using Schottky-type catalytic nanostructures in the form of measureable electrical currents (chemicurrents) in an external electrical circuit. These nanostructures typically contain an electrically continuous nanocathode layers made of a catalytic metal deposited on a semiconductor substrate. The goal of this research is to study the direct observations of hot electron currents (chemicurrents) in catalytic Schottky structures, using a continuous mesh-like Pt nanofilm grown onto a mesoporous TiO2 substrate. Such devices showed qualitatively different and more diverse signal properties, compared to the earlier devices using smooth substrates, which could only be explained on the basis of bifunctionality. In particular, it was necessary to suggest that different stages of the reaction are occurring on both phases of the catalytic structure. Analysis of the signal behavior also led to discovery of a formerly unknown (very slow) mode of the oxyhydrogen reaction on the Pt/TiO2(por) system occurring at room temperature. This slow mode was producing surprisingly large stationary chemicurrents in the range 10--50 microA/cm2. Results of the chemicurrent measurements for the bifunctional
El-Said, Waleed A.; Yoon, Jinho; Choi, Jeong-Woo
2018-04-01
Discovering new anticancer drugs and screening their efficacy requires a huge amount of resources and time-consuming processes. The development of fast, sensitive, and nondestructive methods for the in vitro and in vivo detection of anticancer drugs' effects and action mechanisms have been done to reduce the time and resources required to discover new anticancer drugs. For the in vitro and in vivo detection of the efficiency, distribution, and action mechanism of anticancer drugs, the applications of electrochemical techniques such as electrochemical cell chips and optical techniques such as surface-enhanced Raman spectroscopy (SERS) have been developed based on the nanostructured surface. Research focused on electrochemical cell chips and the SERS technique have been reviewed here; electrochemical cell chips based on nanostructured surfaces have been developed for the in vitro detection of cell viability and the evaluation of the effects of anticancer drugs, which showed the high capability to evaluate the cytotoxic effects of several chemicals at low concentrations. SERS technique based on the nanostructured surface have been used as label-free, simple, and nondestructive techniques for the in vitro and in vivo monitoring of the distribution, mechanism, and metabolism of different anticancer drugs at the cellular level. The use of electrochemical cell chips and the SERS technique based on the nanostructured surface should be good tools to detect the effects and action mechanisms of anticancer drugs.
Photo-induced-heat localization on nanostructured metallic glasses
Uzun, Ceren; Kahler, Niloofar; Grave de Peralta, Luis; Kumar, Golden; Bernussi, Ayrton A.
2017-09-01
Materials with large photo-thermal energy conversion efficiency are essential for renewable energy applications. Photo-excitation is an effective approach to generate controlled and localized heat at relatively low excitation optical powers. However, lateral heat diffusion to the surrounding illuminated areas accompanied by low photo-thermal energy conversion efficiency remains a challenge for metallic surfaces. Surface nanoengineering has proven to be a successful approach to further absorption and heat generation. Here, we show that pronounced spatial heat localization and high temperatures can be achieved with arrays of amorphous metallic glass nanorods under infrared optical illumination. Thermography measurements revealed marked temperature contrast between illuminated and non-illuminated areas even under low optical power excitation conditions. This attribute allowed for generating legible photo-induced thermal patterns on textured metallic glass surfaces.
Correlation Spectroscopy of Surfaces, Thin Films, and Nanostructures
Berakdar, Jamal
2004-01-01
Here, leading scientists present an overview of the most modern experimental and theoretical methods for studying electronic correlations on surfaces, in thin films and in nanostructures. In particular, they describe in detail coincidence techniques for studying many-particle correlations while. critically examining the informational content of such processes from a theoretical point viewpoint. Furthermore, the book considers the current state of incorporating many-body effects into theoretical approaches. Covered topics:. -Auger-electron photoelectron coincidence experiments and theories. -Co
Shu, Xiaoqin; Cheng, Xinlu; Zhang, Hong
2018-04-18
The energy resonance point of the prominent peak of the absorption spectrum of nitrogen-doped graphene is in the ultraviolet region. This limits its application as a co-catalyst in renewable hydrogen evolution through photocatalytic water splitting in the visible light region. It is well known that noble metal films show active absorption in the visible region due to the existence of the unique feature known as surface plasmon resonance. Here we report tunable plasmons in nitrogen-doped graphene nanostructures using noble metal (Au/Ag) films. The energy resonance point of the prominent peak of the composite nanostructure is altered by changing the separation space of two-layered nanostructures. We found the strength of the absorption spectrum of the composite nanostructure is much stronger than the isolated N-doped graphene monolayer. When the separation space is decreased, the prominent peak of the absorption spectrum is red-shifted to the visible light region. Moreover, currents of several microamperes exist above the surface of the N-doped graphene and Au film composite nanostructure. In addition, the field enhancement exceeds 1000 when an impulse excitation polarized in the armchair-edge direction (X-axis) when the separation space is decreased to 3 Å and is close to 100 when an impulse excitation polarized in the zigzag-edge direction (Y-axis). The N-doped graphene and noble metal film composite nanostructure is a good candidate material as a co-catalyst in renewable hydrogen production by photocatalytic water splitting in the visible light region.
Nanostructured materials for hydrogen storage
Williamson, Andrew J.; Reboredo, Fernando A.
2007-12-04
A system for hydrogen storage comprising a porous nano-structured material with hydrogen absorbed on the surfaces of the porous nano-structured material. The system of hydrogen storage comprises absorbing hydrogen on the surfaces of a porous nano-structured semiconductor material.
Corrosion-resistant metal surfaces
Sugama, Toshifumi [Wading River, NY
2009-03-24
The present invention relates to metal surfaces having thereon an ultrathin (e.g., less than ten nanometer thickness) corrosion-resistant film, thereby rendering the metal surfaces corrosion-resistant. The corrosion-resistant film includes an at least partially crosslinked amido-functionalized silanol component in combination with rare-earth metal oxide nanoparticles. The invention also relates to methods for producing such corrosion-resistant films.
Banerjee, Arghya Narayan; Anitha, V C; Joo, Sang W
2017-10-16
Ti substrate surface is modified into two-dimensional (2D) TiO 2 nanoplatelet or one-dimensional (1D) nanorod/nanofiber (or a mixture of both) structure in a controlled manner via a simple KOH-based hydrothermal technique. Depending on the KOH concentration, different types of TiO 2 nanostructures (2D platelets, 1D nanorods/nanofibers and a 2D+1D mixed sample) are fabricated directly onto the Ti substrate surface. The novelty of this technique is the in-situ modification of the self-source Ti surface into titania nanostructures, and its direct use as the electrochemical microelectrode without any modifications. This leads to considerable improvement in the interfacial properties between metallic Ti and semiconducting TiO 2 . Since interfacial states/defects have profound effect on charge transport properties of electronic/electrochemical devices, therefore this near-defect-free interfacial property of Ti-TiO 2 microelectrode has shown high supercapacitive performances for superior charge-storage devices. Additionally, by hydrothermally tuning the morphology of titania nanostructures, the electrochemical properties of the electrodes are also tuned. A Ti-TiO 2 electrode comprising of a mixture of 2D-platelet+1D-nanorod structure reveals very high specific capacitance values (~7.4 mF.cm -2 ) due to the unique mixed morphology which manifests higher active sites (hence, higher utilization of the active materials) in terms of greater roughness at the 2D-platelet structures and higher surface-to-volume-ratio in the 1D-nanorod structures.
Willander, Magnus; Khun, Kimleang; Ibupoto, Zafar Hussain
2014-05-16
The concept of recognition and biofunctionality has attracted increasing interest in the fields of chemistry and material sciences. Advances in the field of nanotechnology for the synthesis of desired metal oxide nanostructures have provided a solid platform for the integration of nanoelectronic devices. These nanoelectronics-based devices have the ability to recognize molecular species of living organisms, and they have created the possibility for advanced chemical sensing functionalities with low limits of detection in the nanomolar range. In this review, various metal oxides, such as ZnO-, CuO-, and NiO-based nanosensors, are described using different methods (receptors) of functionalization for molecular and ion recognition. These functionalized metal oxide surfaces with a specific receptor involve either a complex formation between the receptor and the analyte or an electrostatic interaction during the chemical sensing of analytes. Metal oxide nanostructures are considered revolutionary nanomaterials that have a specific surface for the immobilization of biomolecules with much needed orientation, good conformation and enhanced biological activity which further improve the sensing properties of nanosensors. Metal oxide nanostructures are associated with certain unique optical, electrical and molecular characteristics in addition to unique functionalities and surface charge features which shows attractive platforms for interfacing biorecognition elements with effective transducing properties for signal amplification. There is a great opportunity in the near future for metal oxide nanostructure-based miniaturization and the development of engineering sensor devices.
Directory of Open Access Journals (Sweden)
Shaymaa Riyadh Tahhan
2017-01-01
Full Text Available To increase the sensitivity of biosensor a new approach using an optical fiber Bragg grating (FBG coated with a suitable nanostructured metal oxide (NMO is proposed which is costly effective compared to other biosensors. Bragg grating was written on a D-shaped optical fiber by phase mask method using a 248 nm KrF excimer laser for a 5 min exposure time producing a grating with a period of 528 nm. Titanium dioxide (TiO2 nanostructured metal oxide was coated over the fiber for the purpose of increasing its sensing area. The etched D-shaped FBG was then coated with 312 nm thick TiO2 nanostructured layer to ensure propagating the radiation modes within the core. The final structure was used to sense deionized water and saline. The etched D-shaped FBG original sensitivity before coating to air-deionized water and to air-saline was 0.314 nm/riu and 0.142 nm/riu, respectively. After coating the sensitivity became 1.257 nm/riu for air-deionized water and 0.857 nm/riu for air-saline.
Ordered arrays of polymeric nanopores by using inverse nanostructured PTFE surfaces
International Nuclear Information System (INIS)
Martín, Jaime; Martín-González, Marisol; Del Campo, Adolfo; Reinosa, Julián J; Fernández, José Francisco
2012-01-01
We present a simple, efficient, and high-throughput methodology for the fabrication of ordered nanoporous polymeric surfaces with areas in the range of cm 2 . The procedure is based on a two-stage replication of a master nanostructured pattern. The process starts with the preparation of an ordered array of poly(tetrafluoroethylene) (PTFE) free-standing nanopillars by wetting self-ordered porous anodic aluminum oxide templates with molten PTFE. The nanopillars are 120 nm in diameter and approximately 350 nm long, while the array extends over cm 2 . The PTFE nanostructuring process induces surface hydrocarbonation of the nanopillars, as revealed by confocal Raman microscopy/spectroscopy, which enhances the wettability of the originally hydrophobic material and facilitates its subsequent use as an inverse pattern. Thus, the PTFE nanostructure is then used as a negative master for the fabrication of macroscopic hexagonal arrays of nanopores composed of biocompatible poly(vinylalcohol). In this particular case, the nanopores are 130–140 nm in diameter and the interpore distance is around 430 nm. Features of such characteristic dimensions are known to be easily recognized by living cells. Moreover, the inverse mold is not destroyed in the pore array demolding process and can be reused for further pore array fabrication. Therefore, the developed method allows the high-throughput production of cm 2 -scale biocompatible nanoporous surfaces that could be interesting as two-dimensional scaffolds for tissue repair or wound healing. Moreover, our approach can be extrapolated to the fabrication of almost any polymer and biopolymer ordered pore array. (paper)
Plasmon hybridization in complex metallic nanostructures
Hao, Feng
With Plasmon Hybridization (PH) and Finite-Difference Time-Domain (FDTD) method, we theoretically investigated the optical properties of some complex metallic nanostructures (coupled nanoparticle/wire, nanostars, nanorings and combined ring/disk nanocavity systems). We applied the analytical formulism of PH studying the plasmonic coupling of a spherical metallic nanoparticle and an infinite long cylindrical nanowire. The plasmon resonance of the coupled system is shown shifted in frequency, which highly depends on the polarization of incident light relative to the geometry of the structure. We also showed the nanoparticle serves as an efficient antenna coupling the electromagnetic radiation into the low-energy propagating wire plasmons. We performed an experimental and theoretical analysis of the optical properties of gold nanorings with different sizes and cross sections. For light polarized parallel to the ring, the optical spectrum sensitively depends on the incident angle. When light incidence is normal to the ring, two dipolar resonance is observed. As the incident light is titled, some previously dark mulipolar plasmon resonances will be excited as a consequence of the retardation. The concept of plasmon hybridization is combined with the power of brute-force numerical methods to understand the plasmonic properties of some very complicated nanostructures. We showed the plasmons of a gold nanostar are a result of hybridization of the plasmons of the core and the tips of the particle. The core serves as a nanoantenna, dramatically enhanced the optical spectrum and the field enhancement of the nanostar. We also applied this method analyzing the plasmonic modes of a nanocavity structure composed of a nanodisk with a surrounding minoring. For the concentric combination, we showed the nature of the plasmon modes can be understood as the plasmon hybrization of an individual ring and disk. The interation results in a blueshifted and broadened superradiant antibonding
Energy Technology Data Exchange (ETDEWEB)
Lenzmann, F.O.; Salpakari, J.; Weeber, A.W.; Olson, C.L. [ECN Solar Energy, Petten (Netherlands)
2013-07-15
Light-trapping in solar cells by photonic nanostructures, e.g., nano-textured surfaces or metallic and nonmetallic nanoparticles is a research area of great promise. A large multitude of configurations is being explored and there is a rising need for (a set of) assessment elements that help to narrow in on the most viable ones. This paper discusses two examples: benchmark devices and the assessment of fabrication aspects for the nanostructures.
Hemispherical Shell Nanostructures from Metal-Stripped Embossed Alumina on Aluminum Templates
DEFF Research Database (Denmark)
Nielsen, Peter; Albrektsen, Ole; Simonsen, Adam Cohen
2011-01-01
aluminum/ alumina (Al/Al2O3) templates as a novel and versatile nanofabrication procedure, and we demonstrate explicitly how to exploit the technique for developing large-area hexagonally close-packed hemispherical shell nanostructures by stripping noble metal layers from embossed templates fabricated from...... anodized Al. Utilizing for this process the linear relationship between anodization voltage and the resulting interpore distance in the formed oxide, it is possible to tune the radius of curvature of the resulting hemispherical shells continuously, which in turn results in tunable optical properties...
Metal oxide core shell nanostructures as building blocks for efficient light emission (SISGR)
Energy Technology Data Exchange (ETDEWEB)
Chang, Jane P [Univ. of California, Los Angeles, CA (United States); Dorman, James [Univ. of California, Los Angeles, CA (United States); Cheung, Cyrus [Univ. of California, Los Angeles, CA (United States)
2016-01-12
The objective of this research is to synthesize core-shell nano-structured metal oxide materials and investigate their structural, electronic and optical properties to understand the microscopic pathways governing the energy conversion process, thereby controlling and improving their efficiency. Specifically, the goal is to use a single metal oxide core-shell nanostructure and a single excitation source to generate photons with long emission lifetime over the entire visible spectrum and when controlled at the right ratio, generating white light. In order to achieve this goal, we need to control the energy transfer between light emitting elements, which dictates the control of their interatomic spacing and spatial distribution. We developed an economical wet chemical process to form the nanostructured core and to control the thickness and composition of the shell layers. With the help from using DOE funded synchrotron radiation facility, we delineated the growth mechanism of the nano-structured core and the shell layers, thereby enhancing our understanding of structure-property relation in these materials. Using the upconversion luminescence and the lifetime measurements as effective feedback to materials sysnthes is and integration, we demonstrated improved luminescence lifetimes of the core-shell nano-structures and quantified the optimal core-multi-shell structure with optimum shell thickness and composition. We developed a rare-earths co-doped LaPO4 core-multishell structure in order to produce a single white light source. It was decided that the mutli-shell method would produce the largest increase in luminescence efficiency while limiting any energy transfer that may occur between the dopant ions. All samples resulted in emission spectra within the accepted range of white light generation based on the converted CIE color coordinates. The white light obtained varied between warm and cool white depending on the layering architecture, allowing for the
Energy Technology Data Exchange (ETDEWEB)
Ropers, C.
2007-07-11
This thesis contributes to the understanding of optical excitations in metallic nanostructures. In experiments on selected model structures, the dynamics of these excitations and their electromagnetic spatial modes are investigated with femtosecond temporal and nanometer spatial resolution, respectively. Angle- and time-resolved transmission experiments on metallic thin film gratings demonstrate the dominant role resonant surface plasmon polaritons (SPPs) play in the optical properties of such structures. The lifetimes of these excitations are determined, and it is shown that coherent couplings among SPP-resonances result in drastic lifetime modifications. Near the visible part of the spectrum, subradiant SPP lifetimes of up to 200 femtoseconds are observed, which is considerably longer than previously expected for these structures. The spatial SPP mode profiles are imaged using a custom-built near-field optical microscope. The experiments reveal a direct correlation between the spatial mode structure and the dynamics of different SPP resonances. Coupling-induced SPP band gaps are identified as splittings into symmetric and antisymmetric surface modes. These findings allow for an interpretation of the near-field optical image contrast in terms of the contributions of different vectorial components of the electromagnetic near-field. A selective imaging of different electric and magnetic field components is demonstrated for various types of near-field probes. Furthermore, the excitation of SPPs in periodic structures is employed in a novel type of near-field tip. The resonant excitation of SPPs in a nanofabricated grating on the shaft of a sharp metallic tip results in their concentration at the tip apex. The final part of the thesis highlights the importance of optical field enhancements for the local generation of nonlinear optical signals at the apex of sharp metallic tips. Specifically, the observation of intense multiphoton electron emission after femtosecond
Reactor and method for production of nanostructures
Sunkara, Mahendra Kumar; Kim, Jeong H.; Kumar, Vivekanand
2017-04-25
A reactor and method for production of nanostructures, including metal oxide nanowires or nanoparticles, are provided. The reactor includes a regulated metal powder delivery system in communication with a dielectric tube; a plasma-forming gas inlet, whereby a plasma-forming gas is delivered substantially longitudinally into the dielectric tube; a sheath gas inlet, whereby a sheath gas is delivered into the dielectric tube; and a microwave energy generator coupled to the dielectric tube, whereby microwave energy is delivered into a plasma-forming gas. The method for producing nanostructures includes providing a reactor to form nanostructures and collecting the formed nanostructures, optionally from a filter located downstream of the dielectric tube.
Electrified Liquid Jets from Nanostructured Surfaces for Phase Change Heat Transfer Enhancement
National Aeronautics and Space Administration — The need exists to dissipate up to 1kW/cm2 with minimum cooling power overhead and a minimum weight. Using micro and nanostructures on hot surfaces recently emerged...
Ghosh, Sujit Kumar; Pal, Anjali; Nath, Sudip; Kundu, Subrata; Panigrahi, Sudipa; Pal, Tarasankar
2005-08-01
Gold nanoparticles of variable sizes have been exploited to study their influence on the absorption and emission spectral characteristics of eosin, a fluorescent dye. It has been found that smaller particles of gold stimulate J-aggregation of eosin on the surface of metal particles whereas larger particles cannot induce any kind of aggregation amongst the dye molecules. The size regime dependence of the gold nanoparticles has been attributed to the intercluster interactions induced by the dye molecules for smaller gold nanoparticles and consequently, close packing of the dye molecules around the gold surface engenders intermolecular interactions amongst the dye molecules leading to dimerization.
Directory of Open Access Journals (Sweden)
Bartosz Bartosewicz
2017-10-01
Full Text Available Core–shell nanostructures have found applications in many fields, including surface enhanced spectroscopy, catalysis and solar cells. Titania-coated noble metal nanoparticles, which combine the surface plasmon resonance properties of the core and the photoactivity of the shell, have great potential for these applications. However, the controllable synthesis of such nanostructures remains a challenge due to the high reactivity of titania precursors. Hence, a simple titania coating method that would allow better control over the shell formation is desired. A sol–gel based titania coating method, which allows control over the shell thickness, was developed and applied to the synthesis of Ag@TiO2 and Au@TiO2 with various shell thicknesses. The morphology of the synthesized structures was investigated using scanning electron microscopy (SEM. Their sizes and shell thicknesses were determined using tunable resistive pulse sensing (TRPS technique. The optical properties of the synthesized structures were characterized using UV–vis spectroscopy. Ag@TiO2 and Au@TiO2 structures with shell thickness in the range of ≈40–70 nm and 90 nm, for the Ag and Au nanostructures respectively, were prepared using a method we developed and adapted, consisting of a change in the titania precursor concentration. The synthesized nanostructures exhibited significant absorption in the UV–vis range. The TRPS technique was shown to be a very useful tool for the characterization of metal–metal oxide core–shell nanostructures.
Nanostructured Surfaces to Target and Kill Circulating Tumor Cells While Repelling Leukocytes
Directory of Open Access Journals (Sweden)
Michael J. Mitchell
2012-01-01
Full Text Available Hematogenous metastasis, the process of cancer cell migration from a primary to distal location via the bloodstream, typically leads to a poor patient prognosis. Selectin proteins hold promise in delivering drug-containing nanocarriers to circulating tumor cells (CTCs in the bloodstream, due to their rapid, force-dependent binding kinetics. However, it is challenging to deliver such nanocarriers while avoiding toxic effects on healthy blood cells, as many possess ligands that adhesively interact with selectins. Herein, we describe a nanostructured surface to capture flowing cancer cells, while preventing human neutrophil adhesion. Microtube surfaces with immobilized halloysite nanotubes (HNTs and E-selectin functionalized liposomal doxorubicin (ES-PEG L-DXR significantly increased the number of breast adenocarcinoma MCF7 cells captured from flow, yet also significantly reduced the number of captured neutrophils. Neutrophils firmly adhered and projected pseudopods on surfaces coated only with liposomes, while neutrophils adherent to HNT-liposome surfaces maintained a round morphology. Perfusion of both MCF7 cells and neutrophils resulted in primarily cancer cell adhesion to the HNT-liposome surface, and induced significant cancer cell death. This work demonstrates that nanostructured surfaces consisting of HNTs and ES-PEG L-DXR can increase CTC recruitment for chemotherapeutic delivery, while also preventing healthy cell adhesion and uptake of therapeutic intended for CTCs.
Tsoufis, Theodoros; Colomer, Jean-Francois; Maccallini, Enrico; Jankovic, Lubos; Rudolf, Petra; Gournis, Dimitrios; Jankovič, Lubos
Rhomboidal and spherical metallic-copper nanostructures were encapsulated within well-formed graphitic shells by using a simple chemical method that involved the catalytic decomposition of acetylene over a copper catalyst that was supported on different smectite clays surfaces by ion-exchange. These
Lowering coefficient of friction in Cu alloys with stable gradient nanostructures.
Chen, Xiang; Han, Zhong; Li, Xiuyan; Lu, K
2016-12-01
The coefficient of friction (COF) of metals is usually high, primarily because frictional contacts induce plastic deformation underneath the wear surface, resulting in surface roughening and formation of delaminating tribolayers. Lowering the COF of metals is crucial for improving the reliability and efficiency of metal contacts in engineering applications but is technically challenging. Refining the metals' grains to nanoscale cannot reduce dry-sliding COFs, although their hardness may be elevated many times. We report that a submillimeter-thick stable gradient nanograined surface layer enables a significant reduction in the COF of a Cu alloy under high-load dry sliding, from 0.64 (coarse-grained samples) to 0.29, which is smaller than the COFs of many ceramics. The unprecedented stable low COF stems from effective suppression of sliding-induced surface roughening and formation of delaminating tribolayer, owing to the stable gradient nanostructures that can accommodate large plastic strains under repeated sliding for more than 30,000 cycles.
Bigdeli, Fahime; Ghasempour, Hosein; Azhdari Tehrani, Alireza; Morsali, Ali; Hosseini-Monfared, Hassan
2017-07-01
A 3D, porous Zn(II)-based metal-organic framework {[Zn 2 (oba) 2 (4-bpmn)]·(DMF) 1.5 } n (TMU-21), (4-bpmn=N,N'-Bis-pyridin-4-ylmethylene-naphtalene-1,5-diamine, H 2 oba=4,4'-oxybis(benzoic acid)) with nano-rods morphology under ultrasonic irradiation at ambient temperature and atmospheric pressure was prepared and characterized by scanning electron microscopy. Sonication time and concentration of initial reagents effects on the size and morphology of nano-structured MOFs were studied. Also {[Zn 2 (oba) 2 (4-bpmn)] (TMU-21) and {[Zn 2 (oba) 2 (4-bpmb)] (TMU-6), 4-bpmb=N,N'-(1,4-phenylene)bis(1-(pyridin-4-yl)methanimine) were easily prepared by mechanochemical synthesis. Nanostructures of Zinc(II) oxide were obtained by calcination of these compounds and their de-solvated analogue as activated MOFs, at 550°C under air atmosphere. As a result of that, different Nanostructures of Zinc(II) oxide were obtained. The ZnO nanoparticles were characterized by powder X-ray diffraction (XRD), scanning electron microscopy (SEM) and FT-IR spectroscopy. Copyright © 2016 Elsevier B.V. All rights reserved.
A hybrid approach to the surface biofunctionalization of nanostructured porous alumina
Energy Technology Data Exchange (ETDEWEB)
Silvan, Miguel Manso; Ruiz, Josefa Predestinacion Garcia [Departamento de Fisica Aplicada y Departamento de Biologia Molecular, Facultad de Ciencias, Universidad Autonoma de Madrid, Unidad Asociada GMNF (ICMM-CSIC), 28049 Madrid (Spain); Centro de Investigaciones Biomedicas en Red, Bioingenieria Biomateriales y Nanomedicina (CIBERbbn) (Spain); Gonzalez, Ruy Sanz [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Cientificas, 28049 Madrid (Spain); Velez, Manuel Hernandez [Departamento de Fisica Aplicada y Departamento de Biologia Molecular, Facultad de Ciencias, Universidad Autonoma de Madrid, Unidad Asociada GMNF (ICMM-CSIC), 28049 Madrid (Spain)
2010-02-15
The application of nanostructured porous alumina templates as a solid support in biomedical assays requires a surface biofunctionalization process that has been addressed in this work by an hybrid aminopropyl-triethoxysilane/tetraisopropyl-orthotitanate (APTS/ TIPT) self assembled film. The nanostructured porous alumina templates are activated in a peroxide solution before immersion in the biofunctionalizing APTS/TIPT solution. The biofunctionalization process was followed up by UV-vis spectroscopy, which confirmed the modification of the dielectric structure of the alumina surface. The influence of the biofunctionalization step in an immunological assay was carried out by fluorescence microscopy. Results confirm the gain in activity after the immobilization of an FITC labelled mouse Igg. Specific biological recognition in a bovine serum albumin (BSA)-antiBSA assay is proved afterwards by shifts observed in the reflectance interferograms thus providing a fast biosensing transducer platform. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Modulating macrophage polarization with divalent cations in nanostructured titanium implant surfaces
International Nuclear Information System (INIS)
Lee, Chung-Ho; Kim, Youn-Jeong; Jang, Je-Hee; Park, Jin-Woo
2016-01-01
Nanoscale topographical modification and surface chemistry alteration using bioactive ions are centrally important processes in the current design of the surface of titanium (Ti) bone implants with enhanced bone healing capacity. Macrophages play a central role in the early tissue healing stage and their activity in response to the implant surface is known to affect the subsequent healing outcome. Thus, the positive modulation of macrophage phenotype polarization (i.e. towards the regenerative M2 rather than the inflammatory M1 phenotype) with a modified surface is essential for the osteogenesis funtion of Ti bone implants. However, relatively few advances have been made in terms of modulating the macrophage-centered early healing capacity in the surface design of Ti bone implants for the two important surface properties of nanotopography and and bioactive ion chemistry. We investigated whether surface bioactive ion modification exerts a definite beneficial effect on inducing regenerative M2 macrophage polarization when combined with the surface nanotopography of Ti. Our results indicate that nanoscale topographical modification and surface bioactive ion chemistry can positively modulate the macrophage phenotype in a Ti implant surface. To the best of our knowledge, this is the first demonstration that chemical surface modification using divalent cations (Ca and Sr) dramatically induces the regenerative M2 macrophage phenotype of J774.A1 cells in nanostructured Ti surfaces. In this study, divalent cation chemistry regulated the cell shape of adherent macrophages and markedly up-regulated M2 macrophage phenotype expression when combined with the nanostructured Ti surface. These results provide insight into the surface engineering of future Ti bone implants that are harmonized between the macrophage-governed early wound healing process and subsequent mesenchymal stem cell-centered osteogenesis function. (paper)
Modulating macrophage polarization with divalent cations in nanostructured titanium implant surfaces
Lee, Chung-Ho; Kim, Youn-Jeong; Jang, Je-Hee; Park, Jin-Woo
2016-02-01
Nanoscale topographical modification and surface chemistry alteration using bioactive ions are centrally important processes in the current design of the surface of titanium (Ti) bone implants with enhanced bone healing capacity. Macrophages play a central role in the early tissue healing stage and their activity in response to the implant surface is known to affect the subsequent healing outcome. Thus, the positive modulation of macrophage phenotype polarization (i.e. towards the regenerative M2 rather than the inflammatory M1 phenotype) with a modified surface is essential for the osteogenesis funtion of Ti bone implants. However, relatively few advances have been made in terms of modulating the macrophage-centered early healing capacity in the surface design of Ti bone implants for the two important surface properties of nanotopography and and bioactive ion chemistry. We investigated whether surface bioactive ion modification exerts a definite beneficial effect on inducing regenerative M2 macrophage polarization when combined with the surface nanotopography of Ti. Our results indicate that nanoscale topographical modification and surface bioactive ion chemistry can positively modulate the macrophage phenotype in a Ti implant surface. To the best of our knowledge, this is the first demonstration that chemical surface modification using divalent cations (Ca and Sr) dramatically induces the regenerative M2 macrophage phenotype of J774.A1 cells in nanostructured Ti surfaces. In this study, divalent cation chemistry regulated the cell shape of adherent macrophages and markedly up-regulated M2 macrophage phenotype expression when combined with the nanostructured Ti surface. These results provide insight into the surface engineering of future Ti bone implants that are harmonized between the macrophage-governed early wound healing process and subsequent mesenchymal stem cell-centered osteogenesis function.
Mwankemwa, Benard S.; Akinkuade, Shadrach; Maabong, Kelebogile; Nel, Jackie M.; Diale, Mmantsae
2018-04-01
We report on effect of surface morphology on the optical and electrical properties of chemical bath deposited Zinc oxide (ZnO) nanostructures. ZnO nanostructures were deposited on the seeded conducting indium doped tin oxide substrate positioned in three different directions in the growth solution. Field emission scanning electron microscopy was used to evaluate the morphological properties of the synthesized nanostructures and revealed that the positioning of the substrate in the growth solution affects the surface morphology of the nanostructures. The optical absorbance, photoluminescence and Raman spectroscopy of the resulting nanostructures are discussed. The electrical characterization of the Schottky diode such as barrier height, ideality factor, rectification ratios, reverse saturation current and series resistance were found to depend on the nanostructures morphology. In addition, current transport mechanism in the higher forward bias of the Schottky diode was studied and space charge limited current was found to be the dominant transport mechanism in all samples.
Lee, Jung Ah; Rok Lim, Young; Jung, Chan Su; Choi, Jun Hee; Im, Hyung Soon; Park, Kidong; Park, Jeunghee; Kim, Gyu Tae
2016-10-01
To develop the advanced electronic devices, the surface/interface of each component must be carefully considered. Here, we investigate the electrical properties of metal-semiconductor nanoscale junction using conductive atomic force microscopy (C-AFM). Single-crystalline CdS, CdSe, and ZnO one-dimensional nanostructures are synthesized via chemical vapor transport, and individual nanobelts (or nanowires) are used to fabricate nanojunction electrodes. The current-voltage (I -V) curves are obtained by placing a C-AFM metal (PtIr) tip as a movable contact on the nanobelt (or nanowire), and often exhibit a resistive switching behavior that is rationalized by the Schottky (high resistance state) and ohmic (low resistance state) contacts between the metal and semiconductor. We obtain the Schottky barrier height and the ideality factor through fitting analysis of the I-V curves. The present nanojunction devices exhibit a lower Schottky barrier height and a higher ideality factor than those of the bulk materials, which is consistent with the findings of previous works on nanostructures. It is shown that C-AFM is a powerful tool for characterization of the Schottky contact of conducting channels between semiconductor nanostructures and metal electrodes.
Nanostructure analysis of polymer assembly on water surface by X-ray reflectometry
International Nuclear Information System (INIS)
Yamaoka, H.; Matsuoka, H.; Kago, K.; Yoshitome, R.; Mouri, E.
2000-01-01
X-ray reflectivity (XR) is an extremely powerful technique to study the fine structure of surface and interface in the order of angstrom. In this study, we have performed systematic XR measurements for monolayers on water surface. The nanostructures of various monolayers were precisely determined, and their changes by surface pressure and photoisomerization were clearly detected. The structure of lipid monolayer and DNA complex at air-water interface was also evaluated. (author)
Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires
Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua
2018-03-01
Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.
Su, Junwei
Dropwise condensation (DWC) on hydrophobic surfaces is attracting attention for its great potential in many industrial applications, such as steam power plants, water desalination, and de-icing of aerodynamic surfaces, to list a few. The direct dynamic characterization of liquid/solid interaction can significantly accelerate the progress toward a full understanding of the thermal and mass transport mechanisms during DWC processes. The research focuses on the development of a novel acoustic-based technique for analyzing the liquid/solid interactions of different condensations on micro- and nanostructured surfaces including DWC. hi addition. the newly developed technology was demonstrated for quantitatively sensing different wetting states of liquid on rough surfaces. First, different micro/nanostructures were fabricated on the quartz crystal microbalance (QCM), which serves as acoustic sensor. Polymethyl methacrylate (PMMA) micropillars, with varying heights from 6.03 to 25.02 microm, were fabricated on a quartz crystal microbalance (QCM) substrate by thermal nanoimprinting lithography to form pillar-based QCM (QCM-P). For nanostructured QCM. a copper layer was deposited on the QCM surface and then nanostructures of copper oxide (CuO) films were formed via chemical oxidation in an alkaline solution. Then, these surfaces were treated to make them superhydrophilic or superhydrophobic using oxygen plasma treatment or with coating of 1H,1 H,2H,2H-perfluorooctyl-trichlorosilane (PFOTS). Based on the geometry of these micro/nanostructures, the relationship between the frequency responses of QCM and the wetting states of these surfaces was theoretically investigated. Different theoretical models were established to describing the frequency shift of the micro- and nanostructured QCM in different wetting states. For the microstructured surface, the cantilever based model and a two-degree-of-freedom dynamic model were applied to predict the frequency shift of the QCM-P in
Kokaislová, A; Matějka, P
2012-05-01
Surface-enhanced Raman scattering (SERS) spectroscopy and surface-enhanced infrared absorption (SEIRA) spectroscopy are analytical tools suitable for the detection of small amounts of various analytes adsorbed on metal surfaces. During recent years, these two spectroscopic methods have become increasingly important in the investigation of adsorption of biomolecules and pharmaceuticals on nanostructured metal surfaces. In this work, the adsorption of B-group vitamins pyridoxine, nicotinic acid, folic acid and riboflavin at electrochemically prepared gold and silver substrates was investigated using Fourier transform SERS spectroscopy at an excitation wavelength of 1,064 nm. Gold and silver substrates were prepared by cathodic reduction on massive platinum targets. In the case of gold substrates, oxidation-reduction cycles were applied to increase the enhancement factor of the gold surface. The SERS spectra of riboflavin, nicotinic acid, folic acid and pyridoxine adsorbed on silver substrates differ significantly from SERS spectra of these B-group vitamins adsorbed on gold substrates. The analysis of near-infrared-excited SERS spectra reveals that each of B-group vitamin investigated interacts with the gold surface via a different mechanism of adsorption to that with the silver surface. In the case of riboflavin adsorbed on silver substrate, the interpretation of surface-enhanced infrared absorption (SEIRA) spectra was also helpful in investigation of the adsorption mechanism.
Structured nanoporous surfaces from hybrid block copolymer micelle films with metal ions
International Nuclear Information System (INIS)
Kim, Minsoo P; Yi, Gi-Ra; Kim, Hyeong Jun; Kim, Bumjoon J
2015-01-01
We present a novel method for producing structured nanoporous thin films using block copolymer (BCP) micelles loaded with metallic ions. The BCP micellar thin films containing gold (Au) ions were prepared by spin-coating poly(styrene-block-4-vinylpyridine) (PS-b-P4VP) micelle solutions in which Au precursors (AuCl 4 − ) were selectively loaded onto the P4VP core. When the micellar films were exposed to cetyltrimethylammonium bromide (CTAB) solutions, the Au precursors were selectively extracted from the P4VP domains due to their strong electrostatic interaction with CTAB, leading to the formation of pores in the micelles. Consequently, regularly patterned nanoporous surfaces were formed. By controlling the molecular weight (M n ) of PS-b-P4VP and the amount of Au precursors (λ) that were loaded in the P4VP domains, the pore size and depth could be tuned precisely. In particular, when a sufficient amount of Au precursors was loaded (λ ≥ 0.3), the porous surface nanostructure was well developed. In addition, the pore size and depth of the nanostructure increased as the λ value increased. For instance, when the λ value increased from 0.3 to 1.0, the pore size increased from 22.8 nm to 28.8 nm, and the pore depth increased from 2.1 nm to 3.2 nm. Interestingly, the transition from the nonporous structures to the porous structures in the micellar film could be reversibly controlled by adding and removing the Au precursors in the film. Moreover, our method for the preparation of nanoporous films can be extended to micellar film by incorporating other metal ions such as silver (Ag) and iron (Fe). (paper)
Hossen, Md Mir; Bendickson, Lee; Palo, Pierre; Yao, Zhiqi; Nilsen-Hamilton, Marit; Hillier, Andrew C
2018-06-07
DNA origami can be used to create a variety of complex and geometrically unique nanostructures that can be further modified to produce building blocks for applications such as in optical metamaterials. We describe a method for creating metal-coated nanostructures using DNA origami templates and a photochemical metallization technique. Triangular DNA origami were fabricated and coated with a thin metal layer by photochemical silver reduction while either in solution or supported on a surface. The DNA origami template serves as a localized photosensitizer to facilitate reduction of silver ions directly from solution onto the DNA surface. The metallizing process is shown to result in a conformal metal coating, which grows in height to a self-limiting value with increasing photoreduction steps. Although this coating process results in a slight decrease in the triangle dimensions, the overall template shape is retained. Notably, this coating method exhibits characteristics of self-limiting and defect-filling growth, which results in a metal nanostructure that maps the shape of the original origami template with a continuous and uniform metal layer and stops growing once all available DNA sites are exhausted. © 2018 IOP Publishing Ltd.
Energy Technology Data Exchange (ETDEWEB)
Mendonca, Gustavo, E-mail: gustavo_mendonca@dentistry.unc.edu [Universidade Catolica de Brasilia, Pos-Graduacao em Ciencias Genomicas e Biotecnologia, SGAN Quadra 916, Modulo B, Av. W5 Norte 70.790-160-Asa Norte Brasilia/DF (Brazil); Bone Biology and Implant Therapy Laboratory, Department of Prosthodontics, University of North Carolina at Chapel Hill, 404 Brauer Hall, CB 7450, Chapel Hill, NC 27511 (United States); Universidade Catolica de Brasilia, Curso de Odontologia, Taguatinga/DF (Brazil); Baccelli Silveira Mendonca, Daniela [Universidade Catolica de Brasilia, Pos-Graduacao em Ciencias Genomicas e Biotecnologia, SGAN Quadra 916, Modulo B, Av. W5 Norte 70.790-160-Asa Norte Brasilia/DF (Brazil) and Bone Biology and Implant Therapy Laboratory, Department of Prosthodontics, University of North Carolina at Chapel Hill, 404 Brauer Hall, CB 7450, Chapel Hill, NC 27511 (United States); Pagotto Simoes, Luis Gustavo; Araujo, Andre Luis; Leite, Edson Roberto [Departmento de Quimica, Universidade Federal de Sao Carlos-UFSCAR, Rod. Washington Luiz, 13565-905 Sao Carlos, SP (Brazil); Golin, Alexsander Luiz [Departmento de Engenharia Mecanica, Faculdade de Engenharia Mecanica, Pontificia Universidade Catolica de Curitiba, Curitiba, PR (Brazil); Aragao, Francisco J.L. [Universidade Catolica de Brasilia, Pos-Graduacao em Ciencias Genomicas e Biotecnologia, SGAN Quadra 916, Modulo B, Av. W5 Norte 70.790-160-Asa Norte Brasilia/DF (Brazil); Embrapa Recursos Geneticos e Biotecnologia, Laboratorio de Introducao e Expressao de Genes, PqEB W5 Norte, 70770-900, Brasilia, DF (Brazil); Cooper, Lyndon F., E-mail: lyndon_cooper@dentistry.unc.edu [Bone Biology and Implant Therapy Laboratory, Department of Prosthodontics, University of North Carolina at Chapel Hill, 404 Brauer Hall, CB 7450, Chapel Hill, NC 27511 (United States)
2011-12-01
The aim of this study was to investigate the response of nanostructured implant surfaces at the level of osteoblast differentiation and its effects in bone-to-implant contact (BIC) and removal-torque values (RTV). CpTi grade IV implants (1.6 x 4.0 mm) were machined or machined and subsequently coated with an oxide solution. The surfaces were divided into: machined (M), titania-anatase (An), titania-rutile (Ru), and zirconia (Zr). Surfaces were examined by scanning electron microscopy, atomic force microscopy, and by X-ray microanalysis. Implants were inserted in rat tibia and harvested from 0 to 21 days for measurement of Alkaline Phosphatase, Bone Sialoprotein, Osteocalcin, Osteopontin, and RUNX-2 mRNA levels by real time PCR; from 0 to 56 days for RTV; and from 0 to 56 days for BIC. The roughness parameter (Sa) was compared by one-way ANOVA followed by Tukey Test. Comparison of Torque removal values and histomorphometric measurements on implants in vivo was performed by Kruskal-Wallis test and the significance level for all statistical analyses was set at p {<=} 0.05. mRNA levels on all nanostructured surfaces were increased compared to M. At 56 days, the mean RTV in Ncm was 11.6 {+-} 2.5, 11.3 {+-} 2.4, 11.1 {+-} 3.5, 9.7 {+-} 1.4 for An, Ru, Zr, and M, respectively. Higher BIC (%) was measured for all the nanostructured surfaces versus M at 21 and 56 days (p < 0.05). Nanostructured topographic features composed of TiO{sub 2} or ZrO{sub 2} applied to machined cpTi implant promoted greater mesenchymal stem cell commitment to the osteoblast phenotype and associated increased BIC and physical association with bone. Highlights: {yields} Nanostructured surfaces using a sol-gel technique coated cpTi with TiO{sub 2} or ZrO{sub 2}. {yields} Evaluated molecular and mechanical effect of nanofeatures in vivo in rat tibiae. {yields} Nanofeatures improved the differentiation of rat MSCs into osteoblasts. {yields} Nanofeatures improved increased bone-to-implant contact and
Joshi, Khashti Ballabh; Singh, Ramesh; Mishra, Narendra Kumar; Kumar, Vikas; Vinayak, Vandana
2018-05-17
We report the design and synthesis of biocompatible small peptide based molecule for the controlled and targeted delivery of the encapsulated bioactive metal ions via transforming their internal nanostructures. Tyrosine based short peptide amphiphile (sPA) was synthesized which self-assembled into β-sheet like secondary structures. The self assembly of the designed sPA was modulated by using different bioactive transition metal ions which is confirmed by spectroscopic and microscopic techniques. These bioactive metal ions conjugated sPA hybrid structures are further used to develop antibacterial materials. It is due to the excellent antibacterial activity of zinc ions that the growth of clinically relevant bacteria such as E. Coli was inhibited in the presence of zinc-sPA conjugate. The bacterial test demonstrated that owing to high biocompatibility with bacterial cell, the designed sPA worked as metal ions delivery agent and therefore it can show great potential in locally addressing bacterial infections. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Pfaff, W.; Vos, A.; Hanson, R.
2013-01-01
Metal nanostructures can be used to harvest and guide the emission of single photon emitters on-chip via surface plasmon polaritons. In order to develop and characterize photonic devices based on emitter-plasmon hybrid structures, a deterministic and scalable fabrication method for such structures
PLD prepared nanostructured Pt-CeO{sub 2} thin films containing ionic platinum
Energy Technology Data Exchange (ETDEWEB)
Vorokhta, M., E-mail: vorohtam@gmail.com [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Khalakhan, I.; Matolínová, I.; Nováková, J.; Haviar, S. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Lančok, J.; Novotný, M. [Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague, Czhech Republic (Czech Republic); Yoshikawa, H. [National Institute for Materials Science, Sengen 1-2-1, Tsukuba, Ibaraki 305-0047 (Japan); Matolín, V. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic)
2017-02-28
Highlights: • Nanostructured Pt-CeO{sub 2} thin catalyst films were grown on plasma etched and non-etched carbon substrates by pulsed laser deposition. • The surface composition of the nanostructured Pt-CeO{sub 2} films was investigated by surface analysis techniques. • The effect of film roughening was separated from the effect of platinum-ceria atomic interactions. - Abstract: The composition of nanostructured Pt-CeO{sub 2} films on graphite substrates prepared by pulsed laser deposition has been investigated by means of hard X-ray photoelectron spectroscopy, scanning electron microscopy, high resolution transmission electron microscopy, and atomic force microscopy. The influence of morphology of the graphite substrates was investigated with respect to the relative concentrations of ionic and metallic Pt species in the films. It was found that the degree of Pt{sup 2+} enrichment is directly related to the surface morphology of graphite substrates. In particular, the deposition of Pt-CeO{sub 2} films on rough graphite substrate etched in oxygen plasma yielded nanostructured Pt-CeO{sub 2} catalyst films with high surface area and high Pt{sup 2+}/Pt{sup 0} ratio. The presented results demonstrate that PLD is a suitable method for the preparation of thin Pt-CeO{sub 2} catalyst films for fuel cell applications.
Ethanol gas sensing performance of high-dimensional fuzz metal oxide nanostructure
Ibano, Kenzo; Kimura, Yoshihiro; Sugahara, Tohru; Lee, Heun Tae; Ueda, Yoshio
2018-04-01
Gas sensing ability of the He plasma induced fiber-like nanostructure, so-called fuzz structure, was firstly examined. A thin Mo layer deposited on a quartz surface was irradiated by He plasma to form the fuzz structure and oxidized by annealing in a quartz furnace. Electric conductivity of the fuzz Mo oxide layer was then measured through the Au electrodes deposited on the layer. Changes in electric conductivity by C2H5OH gas flow were examined as a function of temperature from 200 to 400 °C. Improved sensitivities were observed for the specimens after a fuzz nanostructure formation. However, the sensor developed in this study showed lower sensitivities than previously reported MoO3 nano-rod sensor, further optimization of oxidation is needed to improve the sensitivity.
NANOSCALE STRUCTURES GENERATION WITHIN THE SURFACE LAYER OF METALS WITH SHORT UV LASER PULSES
Directory of Open Access Journals (Sweden)
Dmitry S. Ivanov
2017-01-01
Full Text Available We have completed modeling of a laser pulse influence on a gold target. We have applied a hybrid atomistic-continuum model to analyze the physical mechanisms responsible for the process of nanostructuring. The model combines the advantages of Molecular Dynamics and Two Temperature Model. We have carried out a direct comparison of the modeling results and experimental data on nano-modification due to a single ps laser pulse at the energy densities significantly exceeding the melting threshold. The experimental data is obtained due to a laser pulse irradiation at the wavelength of 248 nm and duration of 1.6 ps. The mask projection (diffraction grating creates the sinusoidal intensity distribution on a gold surface with periods of 270 nm, 350 nm, and 500 nm. The experimental data and modeling results have demonstrated a good match subject to complex interrelations between a fast material response to the laser excitation, generation of crystal defects, phase transitions and hydrodynamic motion of matter under condition of strong laser-induced non-equilibrium. The performed work confirms the proposed approach as a powerful tool for revealing the physical mechanisms underlying the process of nanostructuring of metal surfaces. Detailed understanding of the dynamics of these processes gives the possibility for designing the topology of functional surfaces on nano- and micro-scales.
Characterization of ion beam induced nanostructures
International Nuclear Information System (INIS)
Ghatak, J.; Satpati, B.; Umananda, M.; Kabiraj, D.; Som, T.; Dev, B.N.; Akimoto, K.; Ito, K.; Emoto, T.; Satyam, P.V.
2006-01-01
Tailoring of nanostructures with energetic ion beams has become an active area of research leading to the fundamental understanding of ion-solid interactions at nanoscale regime and with possible applications in the near future. Rutherford backscattering spectrometry (RBS), high resolution transmission electron microscopy (HRTEM) and asymmetric X-ray Bragg-rocking curve experimental methods have been used to characterize ion-induced effects in nanostructures. The possibility of surface and sub-surface/interface alloying at nano-scale regime, ion-beam induced embedding, crater formation, sputtering yield variations for systems with isolated nanoislands, semi-continuous and continuous films of noble metals (Au, Ag) deposited on single crystalline silicon will be reviewed. MeV-ion induced changes in specified Au-nanoislands on silicon substrate are tracked as a function of ion fluence using ex situ TEM. Strain induced in the bulk silicon substrate surface due to 1.5 MeV Au 2+ and C 2+ ion beam irradiation is determined by using HRTEM and asymmetric Bragg X-ray rocking curve methods. Preliminary results on 1.5 MeV Au 2+ ion-induced effects in nanoislands of Co deposited on silicon substrate will be discussed
The Physics and Applications of a 3D Plasmonic Nanostructure
Terranova, Brandon B.
In this work, the dynamics of electromagnetic field interactions with free electrons in a 3D metallic nanostructure is evaluated theoretically. This dissertation starts by reviewing the relevant fundamentals of plasmonics and modern applications of plasmonic systems. Then, motivated by the need to have a simpler way of understanding the surface charge dynamics on complex plasmonic nanostructures, a new plasmon hybridization tree method is introduced. This method provides the plasmonicist with an intuitive way to determine the response of free electrons to incident light in complex nanostructures within the electrostatic regime. Next, a novel 3D plasmonic nanostructure utilizing reflective plasmonic coupling is designed to perform biosensing and plasmonic tweezing applications. By applying analytical and numerical methods, the effectiveness of this nanostructure at performing these applications is determined from the plasmonic response of the nanostructure to an excitation beam of coherent light. During this analysis, it was discovered that under certain conditions, this 3D nanostructure exhibits a plasmonic Fano resonance resulting from the interference of an in-plane dark mode and an out-of-plane bright mode. In evaluating this nanostructure for sensing changes in the local dielectric environment, a figure of merit of 68 is calculated, which is competitive with current localized surface plasmon resonance refractometric sensors. By evaluating the Maxwell stress tensor on a test particle in the vicinity of the nanostructure, it was found that under the right conditions, this plasmonic nanostructure design is capable of imparting forces greater than 10.5 nN on dielectric objects of nanoscale dimensions. The results obtained in these studies provides new routes to the design and engineering of 3D plasmonic nanostructures and Fano resonances in these systems. In addition, the nanostructure presented in this work and the design principles it utilizes have shown
Chemically Tuning the Localized Surface Plasmon Resonances of Gold Nanostructure Arrays
Zheng, Yue Bing
2009-04-30
We report on chemical etching of ordered Au nanostructure arrays to continuously tune their localized surface plasmon resonances (LSPR). Real-time extinction spectra were recorded from both Au nanodisks and nanospheres immobilized on glass substrates when immersed in Au etchant. The time-dependent LSPR frequencies, intensities, and bandwidths were studied theoretically with discrete dipole approximations and the Mie solution, and they were correlated with the evolution of the etched Au nanostructures\\' morphology (as examined by atomic force microscopy). Since this chemical etching method can conveniently and accurately tune LSPR, it offers precise control of plasmonic properties and can be useful in applications such as surfaceenhanced Raman spectroscopy and molecular resonance spectroscopy. © 2009 American Chemical Society.
Silina, Yuliya E; Koch, Marcus; Volmer, Dietrich A
2015-03-01
In this study, the influence of surface morphology, reagent ions and surface restructuring effects on atmospheric pressure laser desorption/ionization (LDI) for small molecules after laser irradiation of palladium self-assembled nanoparticular (Pd-NP) structures has been systematically studied. The dominant role of surface morphology during the LDI process, which was previously shown for silicon-based substrates, has not been investigated for metal-based substrates before. In our experiments, we demonstrated that both the presence of reagent ions and surface reorganization effects--in particular, melting--during laser irradiation was required for LDI activity of the substrate. The synthesized Pd nanostructures with diameters ranging from 60 to 180 nm started to melt at similar temperatures, viz. 890-898 K. These materials exhibited different LDI efficiencies, however, with Pd-NP materials being the most effective surface in our experiments. Pd nanostructures of diameters >400-800 nm started to melt at higher temperatures, >1000 K, making such targets more resistant to laser irradiation, with subsequent loss of LDI activity. Our data demonstrated that both melting of the surface structures and the presence of reagent ions were essential for efficient LDI of the investigated low molecular weight compounds. This dependence of LDI on melting points was exploited further to improve the performance of Pd-NP-based sampling targets. For example, adding sodium hypophosphite as reducing agent to Pd electrolyte solutions during synthesis lowered the melting points of the Pd-NP materials and subsequently gave reduced laser fluence requirements for LDI. Copyright © 2015 John Wiley & Sons, Ltd.
Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference
Energy Technology Data Exchange (ETDEWEB)
Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn
2016-01-01
Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.
International Nuclear Information System (INIS)
Choi, Y.C.; Kim, J.; Bu, S.D.
2006-01-01
We report the template-based formation of functional complex metal-oxide nanostructures by a combination of sol-gel processing and spin coating. This method employs the spin-coating of a sol-gel solution into an anodic aluminum oxide membrane (SSAM). Various metal-oxide nanowires and nanotubes with a high aspect-ratio were prepared. The aspect-ratios of the PbO 2 nanowires and Pb(Zr 0.52 Ti 0.48 )O 3 nanowires were about 300 and 400, respectively, and their diameters were about 50 nm. The fabricated PbTiO 3 nanotubes have a relatively constant wall thickness of about 20 nm with an outer diameter of about 60 nm. The deposition time for all of the fabricated metal-oxide nanowires and nanotubes is less than 120 s, which is far shorter than those required in both the sol-gel dipping and sol-gel electrophoretic methods. These results indicate that the SSAM method can be a versatile pathway to prepare functional complex metal-oxide nanowires and nanotubes with a high aspect-ratio. The possible formation process for the one-dimensional nanostructures by SSAM is discussed
Are Vicinal Metal Surfaces Stable?
DEFF Research Database (Denmark)
Frenken, J. W. M.; Stoltze, Per
1999-01-01
We use effective medium theory to demonstrate that the energies of many metal surfaces are lowered when these surfaces are replaced by facets with lower-index orientations. This implies that the low-temperature equilibrium shapes of many metal crystals should be heavily faceted. The predicted...... instability of vicinal metal surfaces is at variance with the almost generally observed stability of these surfaces. We argue that the unstable orientations undergo a defaceting transition at relatively low temperatures, driven by the high vibrational entropy of steps....
Gardner, Todd H.
2015-09-15
Nano-structured noble metal catalysts based on hexametallate lattices, of a spinel block type, and which are resistant to carbon deposition and metal sulfide formation are provided. The catalysts are designed for the reforming of hydrocarbon fuels to synthesis gas. The hexametallate lattices are doped with noble metals (Au, Pt, Rh, Ru) which are atomically dispersed as isolated sites throughout the lattice and take the place of hexametallate metal ions such as Cr, Ga, In, and/or Nb. Mirror cations in the crystal lattice are selected from alkali metals, alkaline earth metals, and the lanthanide metals, so as to reduce the acidity of the catalyst crystal lattice and enhance the desorption of carbon deposit forming moieties such as aromatics. The catalysts can be used at temperatures as high as 1000.degree. C. and pressures up to 30 atmospheres. A method for producing these catalysts and applications of their use also is provided.
Directory of Open Access Journals (Sweden)
Maximiliano Figueroa
2018-05-01
Full Text Available Microbes are suitable candidates to recover and decontaminate different environments from soluble metal ions, either via reduction or precipitation to generate insoluble, non-toxic derivatives. In general, microorganisms reduce toxic metal ions generating nanostructures (NS, which display great applicability in biotechnological processes. Since the molecular bases of bacterial reduction are still unknown, the search for new -environmentally safe and less expensive- methods to synthesize NS have made biological systems attractive candidates. Here, 47 microorganisms isolated from a number of environmental samples were analyzed for their tolerance or sensitivity to 19 metal(loids. Ten of them were highly tolerant to some of them and were assessed for their ability to reduce these toxicants in vitro. All isolates were analyzed by 16S rRNA gene sequencing, fatty acids composition, biochemical tests and electron microscopy. Results showed that they belong to the Enterobacter, Staphylococcus, Acinetobacter, and Exiguobacterium genera. Most strains displayed metal(loid-reducing activity using either NADH or NADPH as cofactor. While Acinetobacter schindleri showed the highest tellurite (TeO32- and tetrachloro aurate (AuCl4- reducing activity, Staphylococcus sciuri and Exiguobacterium acetylicum exhibited selenite (SeO32- and silver (Ag+ reducing activity, respectively. Based on these results, we used these bacteria to synthetize, in vivo and in vitro Te, Se, Au, and Ag-containing nanostructures. On the other hand, we also used purified E. cloacae glutathione reductase to synthesize in vitro Te-, Ag-, and Se-containing NS, whose morphology, size, composition, and chemical composition were evaluated. Finally, we assessed the putative anti-bacterial activity exhibited by the in vitro synthesized NS: Te-containing NS were more effective than Au-NS in inhibiting Escherichia coli and Listeria monocytogenes growth. Aerobically synthesized TeNS using MF09 crude
Directory of Open Access Journals (Sweden)
E. B. Chabina
2014-01-01
Full Text Available Researches by methods of analytical microscopy and the x-ray analysis have allowed to develop a set of standard samples of composition and structure of the strengthening nanostructured and nanolayer coatings for control of the strengthening nanostructured and nanolayer coatings based on nitrides of the metals used to protect critical parts of the compressor of the gas turbine engine from dust erosion, corrosion and oxidation.
Directory of Open Access Journals (Sweden)
Magnus Willander
2014-05-01
Full Text Available The concept of recognition and biofunctionality has attracted increasing interest in the fields of chemistry and material sciences. Advances in the field of nanotechnology for the synthesis of desired metal oxide nanostructures have provided a solid platform for the integration of nanoelectronic devices. These nanoelectronics-based devices have the ability to recognize molecular species of living organisms, and they have created the possibility for advanced chemical sensing functionalities with low limits of detection in the nanomolar range. In this review, various metal oxides, such as ZnO-, CuO-, and NiO-based nanosensors, are described using different methods (receptors of functionalization for molecular and ion recognition. These functionalized metal oxide surfaces with a specific receptor involve either a complex formation between the receptor and the analyte or an electrostatic interaction during the chemical sensing of analytes. Metal oxide nanostructures are considered revolutionary nanomaterials that have a specific surface for the immobilization of biomolecules with much needed orientation, good conformation and enhanced biological activity which further improve the sensing properties of nanosensors. Metal oxide nanostructures are associated with certain unique optical, electrical and molecular characteristics in addition to unique functionalities and surface charge features which shows attractive platforms for interfacing biorecognition elements with effective transducing properties for signal amplification. There is a great opportunity in the near future for metal oxide nanostructure-based miniaturization and the development of engineering sensor devices.
Energy Technology Data Exchange (ETDEWEB)
Wang, Dan [School of Material Science and Engineering, University of Jinan, 250022 Jinan (China); Yang, Ping, E-mail: mse_yangp@ujn.edu.cn [School of Material Science and Engineering, University of Jinan, 250022 Jinan (China); Huang, Baibiao [State Key Laboratory of Crystal Materials, Shandong University, 250100 Jinan (China)
2016-01-15
Graphical abstract: The iron alkoxide precursors are calcined into α-Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4} microstructures with different morphologies by changing calcination atmosphere, reaction time of precursors and calcination temperature simply. The Fe{sub 2}O{sub 3}/Ag hybrid composites prepared through aqueous synthesis and light irradiation. - Highlights: • α-Fe{sub 2}O{sub 3} and Fe{sub 3}O{sub 4} microstructures with different morphologies were created. • Solvents play an important role for the solvothermal treatment of precursors. • The α-Fe{sub 2}O{sub 3} microstructures show excellent adsorption properties. • Fe{sub 2}O{sub 3}/Ag hybrid composites were prepared to improve their properties. - Abstract: The flower-like precursors of Fe alkoxide constructed by the self-assembly of nanoflakes were prepared. Time-dependent experiments confirmed the formation mechanism of flower-like precursors. After calcination, α-Fe{sub 2}O{sub 3} and Fe{sub 3}O{sub 4} nanostructures with different morphologies were created. Fe{sub 3}O{sub 4} nanostructures containing blocks with a truncated octahedron structure were obtained under N{sub 2} protection. α-Fe{sub 2}O{sub 3} nanostructures were prepared in an air atmosphere. The values of maximum adsorption capacity of α-Fe{sub 2}O{sub 3} nanostructures for Cr{sup 6+} ions were much higher than that of commercial bulk α-Fe{sub 2}O{sub 3}. Ag NPs were deposited on α-Fe{sub 2}O{sub 3} nanostructures through an aqueous synthesis and light irradiation using L-cysteine as a linker. Such procedure is utilizable for the preparation of the composites of noble metals and magnetic materials.
Tunable plasmon resonances in anisotropic metal nanostructures
Penninkhof, J. J.
2006-09-01
Coherent oscillations of free electrons in a metal, localized in a small volume or at an interface between a metal and a dielectric medium, have attracted a lot of attention in the past decades. These so-called surface plasmons have special optical properties that can be used in many applications ranging from optoelectronics to sensing of small quantities of molecules. One of the key issues is that electromagnetic energy can be confined to a relatively small volume close to the metal surface. This field enhancement and the resonance frequency strongly depend on the shape and size of the metal structures. In this thesis, several fabrication methods to create these metal structures on the nanometer to micrometer scale are presented. The optical properties are studied with a special emphasis on the effect of shape anisotropy. Self-assembled 2D colloidal crystals are used as mask to fabricate arrays of metal triangles on a substrate. One of the limitations of this nanosphere lithography technique is that the size of the holes in the colloidal mask (through which the metal is evaporated) is determined by the size of the colloids in the mask. The masks, however, can be modified by use of MeV ion beams and/or wet-chemical growth of a thin layer of silica, resulting in a reduced hole size. Arbitrary symmetry and spacing can be obtained by use of optical tweezers and angle-resolved metal deposition. In contrast to pure metals, amorphous materials like silica are known to show anisotropic plastic deformation at constant volume when subject to MeV ion irradiation. Gold cores embedded in a silica matrix, however, show an elongation along the direction of the ion beam, whereas silver cores rather disintegrate. Silver nanocrystals in an ion-exchanged soda-lime glass redistribute themselves in arrays along the ion beam direction. The optical extinction becomes polarization-dependent, with red- and blue-shifts of the plasmon resonances for polarizations longitudinal and transverse
Directory of Open Access Journals (Sweden)
Wojciech J. Stepniowski
2018-05-01
Full Text Available Typically, anodic oxidation of metals results in the formation of hexagonally arranged nanoporous or nanotubular oxide, with a specific oxidation state of the transition metal. Recently, the majority of transition metals have been anodized; however, the formation of copper oxides by electrochemical oxidation is yet unexplored and offers numerous, unique properties and applications. Nanowires formed by copper electrochemical oxidation are crystalline and composed of cuprous (CuO or cupric oxide (Cu2O, bringing varied physical and chemical properties to the nanostructured morphology and different band gaps: 1.44 and 2.22 eV, respectively. According to its Pourbaix (potential-pH diagram, the passivity of copper occurs at ambient and alkaline pH. In order to grow oxide nanostructures on copper, alkaline electrolytes like NaOH and KOH are used. To date, no systemic study has yet been reported on the influence of the operating conditions, such as the type of electrolyte, its temperature, and applied potential, on the morphology of the grown nanostructures. However, the numerous reports gathered in this paper will provide a certain view on the matter. After passivation, the formed nanostructures can be also post-treated. Post-treatments employ calcinations or chemical reactions, including the chemical reduction of the grown oxides. Nanostructures made of CuO or Cu2O have a broad range of potential applications. On one hand, with the use of surface morphology, the wetting contact angle is tuned. On the other hand, the chemical composition (pure Cu2O and high surface area make such materials attractive for renewable energy harvesting, including water splitting. While compared to other fabrication techniques, self-organized anodization is a facile, easy to scale-up, time-efficient approach, providing high-aspect ratio one-dimensional (1D nanostructures. Despite these advantages, there are still numerous challenges that have to be faced, including the
International Nuclear Information System (INIS)
Singh, Ajay Vikram; Vyas, Varun; Salve, Tushar S; Dellasega, David; Cortelli, Daniele; Podestà, Alessandro; Milani, Paolo; Gade, W N
2012-01-01
The contamination of implant devices as a result of biofilm formation through bacterial infection has instigated major research in this area, particularly to understand the mechanism of bacterial cell/implant surface interactions and their preventions. In this paper, we demonstrate a controlled method of nanostructured titanium oxide surface synthesis using supersonic cluster beam depositions. The nanoscale surface characterization using atomic force microscopy and a profilometer display a regulated evolution in nanomorphology and physical properties. X-ray photoelectron spectroscopy analyses display a stoichiometric nanostructured TiO 2 film. Measurement of the water contact angle shows a nominal increase in the hydrophilic nature of ns-TiO 2 films, whereas the surface energy increases with decreasing contact angle. Bacterial species Staphylococcus aureus and Escherichia coli interaction with nanostructured surfaces shows an increase in adhesion and biofilm formation with increasing nanoscale morphological properties. Conversely, limiting ns-TiO 2 film distribution to micro/nanopatterned designed substrates integrated with bovine serum albumin functionalization leads to a reduction in biofilm formations due to a globally decreased bacterial cell–surface interaction area. The results have potential implications in inhibiting bacterial colonization and promoting mammalian cell–implant interactions. (paper)
Trends in Metal Oxide Stability for Nanorods, Nanotubes, and Surfaces
DEFF Research Database (Denmark)
Mowbray, Duncan; Martinez, Jose Ignacio; Vallejo, Federico Calle
2011-01-01
The formation energies of nanostructures play an important role in determining their properties, including their catalytic activity. For the case of 15 different rutile and 8 different perovskite metal oxides, we used density functional theory (DFT) to calculate the formation energies of (2,2) na...
Micro- and Nanostructured Metal Oxide Chemical Sensors for Volatile Organic Compounds
Alim, M. A.; Penn, B. G.; Currie, J. R., Jr.; Batra, A. K.; Aggarwal, M. D.
2008-01-01
Aeronautic and space applications warrant the development of chemical sensors which operate in a variety of environments. This technical memorandum incorporates various kinds of chemical sensors and ways to improve their performance. The results of exploratory investigation of the binary composite polycrystalline thick-films such as SnO2-WO3, SnO2-In2O3, SnO2-ZnO for the detection of volatile organic compound (isopropanol) are reported. A short review of the present status of the new types of nanostructured sensors such as nanobelts, nanorods, nanotube, etc. based on metal oxides is presented.
Nanostructured electrocatalyst for fuel cells : silica templated synthesis of Pt/C composites.
Energy Technology Data Exchange (ETDEWEB)
Stechel, Ellen Beth; Switzer, Elise E.; Fujimoto, Cy H.; Atanassov, Plamen Borissov; Cornelius, Christopher James; Hibbs, Michael R.
2007-09-01
Platinum-based electrocatalysts are currently required for state-of-the-art fuel cells and represent a significant portion of the overall fuel cell cost. If fuel cell technology is to become competitive with other energy conversion technologies, improve the utilization of precious metal catalysts is essential. A primary focus of this work is on creating enhanced nanostructured materials which improve precious-metal utilization. The goal is to engineer superior electrocatalytic materials through the synthesis, development and investigation of novel templated open frame structures synthesized in an aerosol-based approach. Bulk templating methods for both Pt/C and Pt-Ru composites are evaluated in this study and are found to be limited due to the fact that the nanostructure is not maintained throughout the entire sample. Therefore, an accurate examination of structural effects was previously impossible. An aerosol-based templating method of synthesizing nanostructured Pt-Ru electrocatalysts has been developed wherein the effects of structure can be related to electrocatalytic performance. The aerosol-based templating method developed in this work is extremely versatile as it can be conveniently modified to synthesize alternative materials for other systems. The synthesis method was able to be extended to nanostructured Pt-Sn for ethanol oxidation in alkaline media. Nanostructured Pt-Sn electrocatalysts were evaluated in a unique approach tailored to electrocatalytic studies in alkaline media. At low temperatures, nanostructured Pt-Sn electrocatalysts were found to have significantly higher ethanol oxidation activity than a comparable nanostructured Pt catalyst. At higher temperatures, the oxygen-containing species contribution likely provided by Sn is insignificant due to a more oxidized Pt surface. The importance of the surface coverage of oxygen-containing species in the reaction mechanism is established in these studies. The investigations in this work present
On-Surface Synthesis by Click Chemistry Investigated by STM and XPS
DEFF Research Database (Denmark)
Vadapoo, Sundar Raja
2014-01-01
Molecular synthesis is essential in the bottom-up approach of achieving highly stable nanostructures. On-surface synthesis is highly interesting from the basic science of view to improve the understanding of molecular behavior adsorbed on metal surfaces, and has potential applications such as mol......Molecular synthesis is essential in the bottom-up approach of achieving highly stable nanostructures. On-surface synthesis is highly interesting from the basic science of view to improve the understanding of molecular behavior adsorbed on metal surfaces, and has potential applications...... such as molecular electronics and surface functionalization. In this thesis, a well-defined click chemistry approach is followed, with the study of azide-alkyne cycloaddition on Cu(111) surface in UHV environment. A successful achievement of the click reaction product via on-surface synthesis has been shown, which...
Frictional forces between hydrophilic and hydrophobic particle coated nanostructured surfaces
DEFF Research Database (Denmark)
Hansson, Petra M; Claesson, Per M.; Swerin, Agne
2013-01-01
Friction forces have long been associated with the famous Amontons' rule that states that the friction force is linearly dependent on the applied normal load, with the proportionality constant being known as the friction coefficient. Amontons' rule is however purely phenomenological and does...... not in itself provide any information on why the friction coefficient is different for different material combinations. In this study, friction forces between a colloidal probe and nanostructured particle coated surfaces in an aqueous environment exhibiting different roughness length scales were measured...... by utilizing the atomic force microscope (AFM). The chemistry of the surfaces and the probe was varied between hydrophilic silica and hydrophobized silica. For hydrophilic silica surfaces, the friction coefficient was significantly higher for the particle coated surfaces than on the flat reference surface. All...
Directory of Open Access Journals (Sweden)
Mohammad Islam
2018-04-01
Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.
Theoretical studies of growth processes and electronic properties of nanostructures on surfaces
Mo, Yina
Low dimensional nanostructures have been of particular interest because of their potential applications in both theoretical studies and industrial use. Although great efforts have been put into obtaining better understanding of the formation and properties of these materials, many questions still remain unanswered. This thesis work has focused on theoretical studies of (1) the growth processes of magnetic nanowires on transition-metal surfaces, (2) the dynamics of pentacene thin-film growth and island structures on inert surfaces, and (3) our proposal of a new type of semiconducting nanotube. In the first study, we elucidated a novel and intriguing kinetic pathway for the formation of Fe nanowires on the upper edge of a monatomic-layer-high step on Cu(111) using first-principles calculations. The identification of a hidden fundamental Fe basal line within the Cu steps prior to the formation of the apparent upper step edge Fe wire produces a totally different view of step-decorating wire structures and offers new possibilities for the study of the properties of these wires. Subsequent experiments with scanning tunneling microscopy unambiguously established the essential role of embedded Fe atoms as precursors to monatomic wire growth. A more general study of adatom behavior near transition-metal step edges illustrated a systematic trend in the adatom energetics and kinetics, resulted from the electronic interactions between the adatom and the surfaces. This work opens the possibility of controlled manufacturing of one-dimensional nanowires. In the second study, we investigated pentacene thin-films on H-diamond, H-silica and OH-silica surfaces via force field molecular dynamics simulations. Pentacene island structures on these surfaces were identified and found to have a 90-degree rotation relative to the structure proposed by some experimental groups. Our work may facilitate the design and control of experimental pentacene thin-film growth, and thus the development
International Nuclear Information System (INIS)
Lee, Takhee; Liu Jia; Chen, N.-P.; Andres, R.P.; Janes, D.B.; Reifenberger, R.
2000-01-01
We review current research on the electronic properties of nanoscale metallic islands and clusters deposited on semiconductor substrates. Reported results for a number of nanoscale metal-semiconductor systems are summarized in terms of their fabrication and characterization. In addition to the issues faced in large-area metal-semiconductor systems, nano-systems present unique challenges in both the realization of well-controlled interfaces at the nanoscale and the ability to adequately characterize their electrical properties. Imaging by scanning tunneling microscopy as well as electrical characterization by current-voltage spectroscopy enable the study of the electrical properties of nanoclusters/semiconductor systems at the nanoscale. As an example of the low-resistance interfaces that can be realized, low-resistance nanocontacts consisting of metal nanoclusters deposited on specially designed ohmic contact structures are described. To illustrate a possible path to employing metal/semiconductor nanostructures in nanoelectronic applications, we also describe the fabrication and performance of uniform 2-D arrays of such metallic clusters on semiconductor substrates. Using self-assembly techniques involving conjugated organic tether molecules, arrays of nanoclusters have been formed in both unpatterned and patterned regions on semiconductor surfaces. Imaging and electrical characterization via scanning tunneling microscopy/spectroscopy indicate that high quality local ordering has been achieved within the arrays and that the clusters are electronically coupled to the semiconductor substrate via the low-resistance metal/semiconductor interface
The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD
Dul, K.; Jonas, S.; Handke, B.
2017-12-01
Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.
Bioinspired surface functionalization of metallic biomaterials.
Su, Yingchao; Luo, Cheng; Zhang, Zhihui; Hermawan, Hendra; Zhu, Donghui; Huang, Jubin; Liang, Yunhong; Li, Guangyu; Ren, Luquan
2018-01-01
Metallic biomaterials are widely used for clinical applications because of their excellent mechanical properties and good durability. In order to provide essential biofunctionalities, surface functionalization is of particular interest and requirement in the development of high-performance metallic implants. Inspired by the functional surface of natural biological systems, many new designs and conceptions have recently emerged to create multifunctional surfaces with great potential for biomedical applications. This review firstly introduces the metallic biomaterials, important surface properties, and then elaborates some strategies on achieving the bioinspired surface functionalization for metallic biomaterials. Copyright © 2017 Elsevier Ltd. All rights reserved.
Au-Graphene Hybrid Plasmonic Nanostructure Sensor Based on Intensity Shift
Alharbi, Raed; Irannejad, Mehrdad; Yavuz, Mustafa
2017-01-01
Integrating plasmonic materials, like gold with a two-dimensional material (e.g., graphene) enhances the light-material interaction and, hence, plasmonic properties of the metallic nanostructure. A localized surface plasmon resonance sensor is an effective platform for biomarker detection. They offer a better bulk surface (local) sensitivity than a regular surface plasmon resonance (SPR) sensor; however, they suffer from a lower figure of merit compared to that one in a propagating surface plasmon resonance sensors. In this work, a decorated multilayer graphene film with an Au nanostructures was proposed as a liquid sensor. The results showed a significant improvement in the figure of merit compared with other reported localized surface plasmon resonance sensors. The maximum figure of merit and intensity sensitivity of 240 and 55 RIU−1 (refractive index unit) at refractive index change of 0.001 were achieved which indicate the capability of the proposed sensor to detect a small change in concentration of liquids in the ng/mL level which is essential in early-stage cancer disease detection. PMID:28106850
Au-Graphene Hybrid Plasmonic Nanostructure Sensor Based on Intensity Shift
Directory of Open Access Journals (Sweden)
Raed Alharbi
2017-01-01
Full Text Available Integrating plasmonic materials, like gold with a two-dimensional material (e.g., graphene enhances the light-material interaction and, hence, plasmonic properties of the metallic nanostructure. A localized surface plasmon resonance sensor is an effective platform for biomarker detection. They offer a better bulk surface (local sensitivity than a regular surface plasmon resonance (SPR sensor; however, they suffer from a lower figure of merit compared to that one in a propagating surface plasmon resonance sensors. In this work, a decorated multilayer graphene film with an Au nanostructures was proposed as a liquid sensor. The results showed a significant improvement in the figure of merit compared with other reported localized surface plasmon resonance sensors. The maximum figure of merit and intensity sensitivity of 240 and 55 RIU−1 (refractive index unit at refractive index change of 0.001 were achieved which indicate the capability of the proposed sensor to detect a small change in concentration of liquids in the ng/mL level which is essential in early-stage cancer disease detection.
Mahmood, Asif; Zou, Ruqiang; Wang, Qingfei; Xia, Wei; Tabassum, Hassina; Qiu, Bin; Zhao, Ruo
2016-01-27
This work successfully demonstrates metal-organic framework (MOF) derived strategy to prepare nanoporous carbon (NPC) with or without Fe3O4/Fe nanoparticles by the optimization of calcination temperature as highly active electrode materials for asymmetric supercapacitors (ASC). The nanostructured Fe3O4/Fe/C hybrid shows high specific capacitance of 600 F/g at a current density of 1 A/g and excellent capacitance retention up to 500 F/g at 8 A/g. Furthermore, hierarchically NPC with high surface area also obtained from MOF gels displays excellent electrochemical performance of 272 F/g at 2 mV/s. Considering practical applications, aqueous ASC (aASC) was also assembled, which shows high energy density of 17.496 Wh/kg at the power density of 388.8 W/kg. The high energy density and excellent capacity retention of the developed materials show great promise for the practical utilization of these energy storage devices.
Guan, Bu Yuan; Yu, Xin Yao; Wu, Hao Bin; Lou, Xiong Wen David
2017-12-01
Metal-organic frameworks (MOFs) have drawn tremendous attention because of their abundant diversity in structure and composition. Recently, there has been growing research interest in deriving advanced nanomaterials with complex architectures and tailored chemical compositions from MOF-based precursors for electrochemical energy storage and conversion. Here, a comprehensive overview of the synthesis and energy-related applications of complex nanostructures derived from MOF-based precursors is provided. After a brief summary of synthetic methods of MOF-based templates and their conversion to desirable nanostructures, delicate designs and preparation of complex architectures from MOFs or their composites are described in detail, including porous structures, single-shelled hollow structures, and multishelled hollow structures, as well as other unusual complex structures. Afterward, their applications are discussed as electrode materials or catalysts for lithium-ion batteries, hybrid supercapacitors, water-splitting devices, and fuel cells. Lastly, the research challenges and possible development directions of complex nanostructures derived from MOF-based-templates for electrochemical energy storage and conversion applications are outlined. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Surface Finish after Laser Metal Deposition
Rombouts, M.; Maes, G.; Hendrix, W.; Delarbre, E.; Motmans, F.
Laser metal deposition (LMD) is an additive manufacturing technology for the fabrication of metal parts through layerwise deposition and laser induced melting of metal powder. The poor surface finish presents a major limitation in LMD. This study focuses on the effects of surface inclination angle and strategies to improve the surface finish of LMD components. A substantial improvement in surface quality of both the side and top surfaces has been obtained by laser remelting after powder deposition.
Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.
2015-01-01
Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457
Nanostructured core-shell electrode materials for electrochemical capacitors
Jiang, Long-bo; Yuan, Xing-zhong; Liang, Jie; Zhang, Jin; Wang, Hou; Zeng, Guang-ming
2016-11-01
Core-shell nanostructure represents a unique system for applications in electrochemical energy storage devices. Owing to the unique characteristics featuring high power delivery and long-term cycling stability, electrochemical capacitors (ECs) have emerged as one of the most attractive electrochemical storage systems since they can complement or even replace batteries in the energy storage field, especially when high power delivery or uptake is needed. This review aims to summarize recent progress on core-shell nanostructures for advanced supercapacitor applications in view of their hierarchical architecture which not only create the desired hierarchical porous channels, but also possess higher electrical conductivity and better structural mechanical stability. The core-shell nanostructures include carbon/carbon, carbon/metal oxide, carbon/conducting polymer, metal oxide/metal oxide, metal oxide/conducting polymer, conducting polymer/conducting polymer, and even more complex ternary core-shell nanoparticles. The preparation strategies, electrochemical performances, and structural stabilities of core-shell materials for ECs are summarized. The relationship between core-shell nanostructure and electrochemical performance is discussed in detail. In addition, the challenges and new trends in core-shell nanomaterials development have also been proposed.
Nanomaterials derived from metal-organic frameworks
Dang, Song; Zhu, Qi-Long; Xu, Qiang
2018-01-01
The thermal transformation of metal-organic frameworks (MOFs) generates a variety of nanostructured materials, including carbon-based materials, metal oxides, metal chalcogenides, metal phosphides and metal carbides. These derivatives of MOFs have characteristics such as high surface areas, permanent porosities and controllable functionalities that enable their good performance in sensing, gas storage, catalysis and energy-related applications. Although progress has been made to tune the morphologies of MOF-derived structures at the nanometre scale, it remains crucial to further our knowledge of the relationship between morphology and performance. In this Review, we summarize the synthetic strategies and optimized methods that enable control over the size, morphology, composition and structure of the derived nanomaterials. In addition, we compare the performance of materials prepared by the MOF-templated strategy and other synthetic methods. Our aim is to reveal the relationship between the morphology and the physico-chemical properties of MOF-derived nanostructures to optimize their performance for applications such as sensing, catalysis, and energy storage and conversion.
Nanostructures from nanoparticles
International Nuclear Information System (INIS)
Mendes, Paula M; Chen Yu; Palmer, Richard E; Nikitin, Kirill; Fitzmaurice, Donald; Preece, Jon A
2003-01-01
This paper reviews recent experimental approaches to the development of surface nanostructures from nanoparticles. The formation of nanowires by electron beam writing in films of gold nanoparticles passivated with a specially designed class of ligand molecules (dialkyl sulfides) is presented, together with illustrations of practical nanostructures. Potential applications of this methodology are discussed. Another alternative to the controlled fabrication of arrays of nanoparticles, based on nanocrystals which contain molecular recognition elements in the ligand shell, is also surveyed. These particles aggregate in the presence of specifically designed molecular dications which act as a molecular binder. Finally, recent work on the formation of nanoscale surface architectures using x-ray patterning of self-assembled monolayers is introduced. Current and potential future applications of these surface nanostructures are discussed
Spadavecchia, J; Boujday, S; Landoulsi, J; Pradier, C-M
2011-07-01
We report the synthesis of diacid-terminated PEG-functionalized cubic TiO(2) nanocrystals by a simple one-step solvothermal method, and their further use to form nanostructured surfaces for protein immobilization. The relevance and major interest of the so-obtained nanocrystals are the presence of terminal carboxylic acid groups at their surface, as confirmed by infrared analyses, in addition to the surrounding PEG chains, essential to avoid non specific interactions. These functional chemical groups were used to (i) immobilize the synthesized nanocubes on a cysteamine-modified Au surface, and to (ii) attach proteins via a presumable covalent link. AFM images show that the shapes and the narrow size distribution of the nanocubes, observed by TEM, were preserved after their immobilization on the modified Au surface. Moreover, the efficiency and specificity of antigen recognition were demonstrated using spectroscopic analyses. Our successful approach provides a versatile and facile way to elaborate specific and sensitive nanostructured surfaces for biosensors.
International Nuclear Information System (INIS)
Amarnath, Chellachamy A.; Chang, Jin Ho; Kim, Doyoung; Mane, Rajaram S.; Han, Sung-Hwan; Sohn, Daewon
2009-01-01
Electrochemical supercapacitive behaviour of polyaniline nanostructures, i.e., nanorods and nanospheres fabricated on aniline-primed conducting indium-tin oxide substrate via electroless surface polymerization using ammonium persulfate as initiator and selenious acid as efficient dopant is investigated. The self-assembled monolayer of urea derivative in presence of 3-(triethoxysilyl)-propyl isocyanate and aniline plays role of aniline-primed substrate. Polyaniline electrode composed of nanorods of excess surface area responsible for large redox reactions has shown 592 F g -1 specific capacitance which is significantly greater than closely compact polyaniline nanospheres, i.e., 214 F g -1
Mamaev, A. I.; Mamaeva, V. A.; Kolenchin, N. F.; Chubenko, A. K.; Kovalskaya, Ya. B.; Konstantinova, T. A.; Dolgova, Yu. N.; Beletskaya, E. Yu.
2016-04-01
This paper presents the theoretical models describing the growth of filamentary channels of nanostructured non-metallic coatings formed by anodizing and microplasma oxidation. The authors identified dependences of the number of pores on the coating thickness. The paper presents graphic dependences of the number of filamentary channels on the process time and the coating thickness. These dependences allow calculating through and surface porosity, and in cases, when the pores are filled with functional material, they allow calculating the concentration distribution of this functional material throughout the coating thickness. The theoretical models enhance our understanding of the nature of anode processes and can be used to describe and forecast the growth and filling of porous coatings, so they can also be used to create functional and bioactive materials.
Analytical model of the optical response of periodically structured metallic films.
Benabbas, A; Halté, V; Bigot, J-Y
2005-10-31
In this paper we investigate the optical response of periodically structured metallic films constituted of sub-wavelength apertures. Our approach consists in studying the diffraction of transverse magnetic polarized electromagnetic waves by a one-dimensional grating. The method that we use is the Rigorous Coupled Waves Analysis allowing us to obtain an analytical model to calculate the diffraction efficiencies. The zero and first order terms allow determining the transmission, reflectivity and absorption of symmetric or asymmetric nanostructures surrounded either by identical or different dielectric media. For both type of nanostructures the spectral shape of the enhanced resonant transmission associated to surface plasmons displays a Fano profile. In the case of symmetric nanostructures, we study the conditions of formation of coupled surface plasmon-polaritons as well as their effect on the optical response of the modulated structure. For asymmetric nanostructures, we discuss the non-reciprocity of the reflectivity and we investigate the spectral dependency of the enhanced resonant transmission on the refractive index of the dielectric surrounding the metal film.
Torabifard, Mina; Arjmandi, Reza; Rashidi, Alimorad; Nouri, Jafar; Mohammadfam, Iraj
2018-01-10
The health and environmental effects of chemical processes can be assessed during the initial stage of their production. In this paper, the Chemical Screening Tool for Exposure and Environmental Release (ChemSTEER) software was used to compare the health and environmental risks of spray pyrolysis and wet chemical techniques for the fabrication of nanostructured metal oxide on a semi-industrial scale with a capacity of 300 kg/day in Iran. The pollution sources identified in each production process were pairwise compared in Expert Choice software using indicators including respiratory damage, skin damage, and environmental damages including air, water, and soil pollution. The synthesis of nanostructured zinc oxide using the wet chemical technique (with 0.523 wt%) leads to lower health and environmental risks compared to when spray pyrolysis is used (with 0.477 wt%). The health and environmental risk assessment of nanomaterial production processes can help select safer processes, modify the operation conditions, and select or modify raw materials that can help eliminate the risks.
Core-shell composite metal catalysts incased into natural ceramic nanotubes
International Nuclear Information System (INIS)
Vinokurov, V; Berberov, A; Afonin, D; Borzaev, H; Ivanov, E; Gushchin, P; Lvov, Y
2014-01-01
The bimetallic halloysite nanotubes were prepared by the injection of halloysite- containing aerosols into the microwave plasma reactor. Nanotubes contain metal nanoparticles formed from the metal salt solution in the lumen of nanotubes and the iron oxide nanoparticles at the outer surface of nanotubes. Such halloysite composites may be sputtered onto the surface of the porous carrier forming the nanostructured catalyst, as was shown by the pure halloysite sputtering onto the model porous ceramic surface
Recent Developments of Nanostructured Electrodes for Bioelectrocatalysis of Dioxygen Reduction
Directory of Open Access Journals (Sweden)
Marcin Opallo
2011-01-01
Full Text Available The recent development of nanostructured electrodes for bioelectrocatalytic dioxygen reduction catalysed by two copper oxidoreductases, laccase and bilirubin oxidase, is reviewed. Carbon-based nanomaterials as carbon nanotubes or carbon nanoparticles are frequently used for electrode modification, whereas there are only few examples of biocathodes modified with metal or metal oxide nanoparticles. These nanomaterials are adsorbed on the electrode surface or embedded in multicomponent film. The nano-objects deposited act as electron shuttles between the enzyme and the electrode substrate providing favourable conditions for mediatorless bioelectrocatalysis.
Directory of Open Access Journals (Sweden)
Rodica Elena Ionescu
2017-01-01
Full Text Available Metallic nanoparticles are considered as active supports in the development of specific chemical or biological biosensors. Well-organized nanoparticles can be prepared either through expensive (e.g., electron beam lithography or inexpensive (e.g., thermal synthesis approaches where different shapes of nanoparticles are easily obtained over large solid surfaces. Herein, the authors propose a low-cost thermal synthesis of active plasmonic nanostructures on thin gold layers modified glass supports after 1 h holding on a hot plate (~350 °C. The resulted annealed nanoparticles proved a good reproducibility of localized surface plasmon resonance (LSPR and surface enhanced Raman spectroscopy (SERS optical responses and where used for the detection of low concentrations of two model (biochemical molecules, namely the human cytochrome b5 (Cyt-b5 and trans-1,2-bis(4-pyridylethylene (BPE.
Review on recent progress of nanostructured anode materials for Li-ion batteries
Goriparti, Subrahmanyam
2014-07-01
This review highlights the recent research advances in active nanostructured anode materials for the next generation of Li-ion batteries (LIBs). In fact, in order to address both energy and power demands of secondary LIBs for future energy storage applications, it is required the development of innovative kinds of electrodes. Nanostructured materials based on carbon, metal/semiconductor, metal oxides and metal phosphides/nitrides/sulfides show a variety of admirable properties for LIBs applications such as high surface area, low diffusion distance, high electrical and ionic conductivity. Therefore, nanosized active materials are extremely promising for bridging the gap towards the realization of the next generation of LIBs with high reversible capacities, increased power capability, long cycling stability and free from safety concerns. In this review, anode materials are classified, depending on their electrochemical reaction with lithium, into three groups: intercalation/de-intercalation, alloy/de-alloy and conversion materials. Furthermore, the effect of nanoscale size and morphology on the electrochemical performance is presented. Synthesis of the nanostructures, lithium battery performance and electrode reaction mechanisms are also discussed. To conclude, the main aim of this review is to provide an organic outline of the wide range of recent research progresses and perspectives on nanosized active anode materials for future LIBs.
Review on recent progress of nanostructured anode materials for Li-ion batteries
Goriparti, Subrahmanyam; Miele, Ermanno; De Angelis, Francesco; Di Fabrizio, Enzo M.; Proietti Zaccaria, Remo; Capiglia, Claudio
2014-01-01
This review highlights the recent research advances in active nanostructured anode materials for the next generation of Li-ion batteries (LIBs). In fact, in order to address both energy and power demands of secondary LIBs for future energy storage applications, it is required the development of innovative kinds of electrodes. Nanostructured materials based on carbon, metal/semiconductor, metal oxides and metal phosphides/nitrides/sulfides show a variety of admirable properties for LIBs applications such as high surface area, low diffusion distance, high electrical and ionic conductivity. Therefore, nanosized active materials are extremely promising for bridging the gap towards the realization of the next generation of LIBs with high reversible capacities, increased power capability, long cycling stability and free from safety concerns. In this review, anode materials are classified, depending on their electrochemical reaction with lithium, into three groups: intercalation/de-intercalation, alloy/de-alloy and conversion materials. Furthermore, the effect of nanoscale size and morphology on the electrochemical performance is presented. Synthesis of the nanostructures, lithium battery performance and electrode reaction mechanisms are also discussed. To conclude, the main aim of this review is to provide an organic outline of the wide range of recent research progresses and perspectives on nanosized active anode materials for future LIBs.
Directory of Open Access Journals (Sweden)
Ajay Vikram Singh
Full Text Available Bacterial infection of implants and prosthetic devices is one of the most common causes of implant failure. The nanostructured surface of biocompatible materials strongly influences the adhesion and proliferation of mammalian cells on solid substrates. The observation of this phenomenon has led to an increased effort to develop new strategies to prevent bacterial adhesion and biofilm formation, primarily through nanoengineering the topology of the materials used in implantable devices. While several studies have demonstrated the influence of nanoscale surface morphology on prokaryotic cell attachment, none have provided a quantitative understanding of this phenomenon. Using supersonic cluster beam deposition, we produced nanostructured titania thin films with controlled and reproducible nanoscale morphology respectively. We characterized the surface morphology; composition and wettability by means of atomic force microscopy, X-ray photoemission spectroscopy and contact angle measurements. We studied how protein adsorption is influenced by the physico-chemical surface parameters. Lastly, we characterized Escherichia coli and Staphylococcus aureus adhesion on nanostructured titania surfaces. Our results show that the increase in surface pore aspect ratio and volume, related to the increase of surface roughness, improves protein adsorption, which in turn downplays bacterial adhesion and biofilm formation. As roughness increases up to about 20 nm, bacterial adhesion and biofilm formation are enhanced; the further increase of roughness causes a significant decrease of bacterial adhesion and inhibits biofilm formation. We interpret the observed trend in bacterial adhesion as the combined effect of passivation and flattening effects induced by morphology-dependent protein adsorption. Our findings demonstrate that bacterial adhesion and biofilm formation on nanostructured titanium oxide surfaces are significantly influenced by nanoscale morphological
Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.
2016-10-01
There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting
Ceramic/metal nanocomposites by lyophilization: Processing and HRTEM study
International Nuclear Information System (INIS)
Gutierrez-Gonzalez, C.F.; Agouram, S.; Torrecillas, R.; Moya, J.S.; Lopez-Esteban, S.
2012-01-01
Highlights: ► A cryogenic route has been used to obtain ceramic/metal nanostructured powders. ► The powders present good homogeneity and dispersion of metal. ► The metal nanoparticle size distributions are centred in 17–35 nm. ► Both phases, ceramic and metal, present a high degree of crystallinity. ► Good metal/ceramic interfaces due to epitaxial growth, studied by HRTEM. -- Abstract: This work describes a wet-processing route based on spray-freezing and subsequent lyophilization designed to obtain nanostructured ceramic/metal powders. Starting from the ceramic powder and the corresponding metal salt, a water-based suspension is sprayed on liquid nitrogen. The frozen powders are subsequently freeze-dried, calcined and reduced. The material was analyzed using X-ray diffraction analysis at all stages. High resolution transmission electron microscopy studies showed a uniform distribution of metal nanoparticles on the ceramic grain surfaces, good interfaces and high crystallinity, with an average metal particle size in the nanometric range.
Recent advances in ZnO nanostructures and thin films for biosensor applications: Review
International Nuclear Information System (INIS)
Arya, Sunil K.; Saha, Shibu; Ramirez-Vick, Jaime E.; Gupta, Vinay; Bhansali, Shekhar; Singh, Surinder P.
2012-01-01
Graphical abstract: ZnO nanostructures have shown binding of biomolecules in desired orientation with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, their compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes them suitable candidate for future small integrated biosensor devices. This review highlights various approaches to synthesize ZnO nanostructures and thin films, and their applications in biosensor technology. Highlights: ► This review highlights various approaches to synthesize ZnO nanostructures and thin films. ► Article highlights the importance of ZnO nanostructures as biosensor matrix. ► Article highlights the advances in various biosensors based on ZnO nanostructures. ► Article describes the potential of ZnO based biosensor for new generation healthcare devices. - Abstract: Biosensors have shown great potential for health care and environmental monitoring. The performance of biosensors depends on their components, among which the matrix material, i.e., the layer between the recognition layer of biomolecule and transducer, plays a crucial role in defining the stability, sensitivity and shelf-life of a biosensor. Recently, zinc oxide (ZnO) nanostructures and thin films have attracted much interest as materials for biosensors due to their biocompatibility, chemical stability, high isoelectric point, electrochemical activity, high electron mobility, ease of synthesis by diverse methods and high surface-to-volume ratio. ZnO nanostructures have shown the binding of biomolecules in desired orientations with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes ZnO nanostructures suitable candidate for future small integrated biosensor devices. This review
Recent advances in ZnO nanostructures and thin films for biosensor applications: Review
Energy Technology Data Exchange (ETDEWEB)
Arya, Sunil K., E-mail: sunilarya333@gmail.com [Bioelectronics Program, Institute of Microelectronics, A-Star 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Saha, Shibu [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Ramirez-Vick, Jaime E. [Engineering Science and Materials Department, University of Puerto Rico, Mayaguez, PR 00681 (United States); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhansali, Shekhar [Department of Electrical and Computer Engineering, Florida International University, Miami, FL (United States); Singh, Surinder P., E-mail: singh.uprm@gmail.com [National Physical Laboratory, Dr K.S. Krishnan Marg, New Delhi 110012 (India)
2012-08-06
Graphical abstract: ZnO nanostructures have shown binding of biomolecules in desired orientation with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, their compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes them suitable candidate for future small integrated biosensor devices. This review highlights various approaches to synthesize ZnO nanostructures and thin films, and their applications in biosensor technology. Highlights: Black-Right-Pointing-Pointer This review highlights various approaches to synthesize ZnO nanostructures and thin films. Black-Right-Pointing-Pointer Article highlights the importance of ZnO nanostructures as biosensor matrix. Black-Right-Pointing-Pointer Article highlights the advances in various biosensors based on ZnO nanostructures. Black-Right-Pointing-Pointer Article describes the potential of ZnO based biosensor for new generation healthcare devices. - Abstract: Biosensors have shown great potential for health care and environmental monitoring. The performance of biosensors depends on their components, among which the matrix material, i.e., the layer between the recognition layer of biomolecule and transducer, plays a crucial role in defining the stability, sensitivity and shelf-life of a biosensor. Recently, zinc oxide (ZnO) nanostructures and thin films have attracted much interest as materials for biosensors due to their biocompatibility, chemical stability, high isoelectric point, electrochemical activity, high electron mobility, ease of synthesis by diverse methods and high surface-to-volume ratio. ZnO nanostructures have shown the binding of biomolecules in desired orientations with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes Zn
Effects of different hierarchical hybrid micro/nanostructure surfaces on implant osseointegration.
Cheng, Bingkun; Niu, Qiang; Cui, Yajun; Jiang, Wei; Zhao, Yunzhuan; Kong, Liang
2017-06-01
Hierarchical hybrid micro/nanostructure implant surfaces are considered to better mimic the hierarchical structure of bone and the nanostructures substantively influence osseointegration through managing cell behaviors. To enhance implant osseointegration for further clinical application, we evaluated the material properties and osseointegration effects of hierarchical surfaces with different nano-morphologies, using a rat model. Two representative surface fabrication methods, hydrofluoric (HF) acid etching combined with anodization (HF + AN) or magnetron sputtering (HF + MS), were selected. Sample material properties were evaluated by scanning electron microscopy, atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and epoxy resin docking tensile test. Implants with different surfaces were inserted into the distal femurs of rats. After 12 weeks, osseointegration was examined by microcomputed tomography (micro-CT), histological, and biomechanical tests. Tensile testing demonstrated high bonding strength at coating/implant in the HF + MS group. Micro-CT revealed increased bone volume/total volume and significantly reduced trabecular separation in HF + MS versus other groups. Histological analysis showed significantly higher HF + MS bone-to-implant contact (74.78 ± 4.40%) versus HF + AN (65.11 ± 5.10%) and machined samples (56.03 ± 3.23%). The maximal HF + MS pull-out force increased by 33.7% versus HF + AN. These results indicated that HF + MS surfaces exhibited superior material property in terms of bonding strength and favorable implant osseointegration compared to other groups. © 2017 Wiley Periodicals, Inc.
Energy Technology Data Exchange (ETDEWEB)
Guan, Wei [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physics and Astronomy, University of Edinburgh, Mayfield Road, Edinburgh EH9 3JZ (United Kingdom); Peng, Nianhua, E-mail: n.peng@surrey.ac.uk [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Jeynes, Christopher [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Ghatak, Jay [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Peng, Yong [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physical Science and Technology, Lanzhou University, 222 Tianshui Road, Lanzhou 730000 (China); Ross, Ian M. [Department of Electronic and Electric Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Bhatta, Umananda M.; Inkson, Beverley J.; Möbus, Günter [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom)
2013-07-15
Lateral ordered Co, Pt and Co/Pt nanostructures were fabricated in SiO{sub 2} and Si{sub 3}N{sub 4} substrates by high fluence metal ion implantation through periodic nanochannel membrane masks based on anodic aluminium oxides (AAO). The quality of nanopatterning transfer defined by various AAO masks in different substrates was examined by transmission electron microscopy (TEM) in both imaging and spectroscopy modes.
Fu, Shaofang; Zhu, Chengzhou; Song, Junhua; Engelhard, Mark H; Xia, Haibing; Du, Dan; Lin, Yuehe
2016-12-28
Rational design and construction of Pt-based porous nanostructures with large mesopores have triggered significant considerations because of their high surface area and more efficient mass transport. Hydrochloric acid-induced kinetically controlled reduction of metal precursors in the presence of soft template F-127 and hard template tellurium nanowires has been successfully demonstrated to construct one-dimensional hierarchical porous PtCu alloy nanostructures with large mesopores. Moreover, the electrochemical experiments demonstrated that the PtCu hierarchically porous nanostructures synthesized under optimized conditions exhibit enhanced electrocatalytic performance for oxygen reduction reaction in acid media.
Energy Technology Data Exchange (ETDEWEB)
Fu, Shaofang; Zhu, Chengzhou; Song, Junhua; Engelhard, Mark H.; Xia, Haibing; Du, Dan; Lin, Yuehe
2016-12-28
Rational design and construction of Pt-based porous nanostructures with large mesopores have triggered significant considerations because of their high surface area and more efficient mass transport. Hydrochloric acid-induced kinetic reduction of metal precursors in the presence of soft template F-127 and hard template tellurium nanowires has been successfully demonstrated to construct one-dimensional hierarchical porous PtCu alloy nanostructures with large mesopores. Moreover, the electrochemical experiments demonstrated that the resultant PtCu hierarchically porous nanostructures with optimized composition exhibit enhanced electrocatalytic performance for oxygen reduction reaction.
Energy Technology Data Exchange (ETDEWEB)
Yi Zao [College of Physics and Electronics, Central South University, Changsha 410083 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China); Tan Xiulan; Niu Gao [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China); Xu Xibin [College of Physics and Electronics, Central South University, Changsha 410083 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China); Li Xibo; Ye Xin; Luo Jiangshan; Luo Binchi; Wu Weidong; Tang Yongjian [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China); Yi Yougen, E-mail: yougenyi@mail.csu.edu.cn [College of Physics and Electronics, Central South University, Changsha 410083 (China)
2012-05-01
Dendritic Ag-Pd bimetallic nanostructures have been synthesized on the surface of Cu foil via a multi-stage galvanic replacement reaction (MGRR) of Ag dendrites in a Na{sub 2}PdCl{sub 4} solution. After five stages of replacement reaction, one obtained structures with protruding Ag-Pd flakes; these will mature into many porous structures with a few Ag atoms that are left over dendrites. The dendritic Ag-Pd bimetallic nanostructures were characterized by transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), field emission scanning electron microscopy (FESEM), energy-dispersive X-ray (EDX), selected area electron diffraction (SAED) and X-ray photoelectron spectroscopy (XPS). The morphology of the products strongly depended on the stage of galvanic replacement reaction and reaction temperature. The morphology and composition-dependent surface-enhanced Raman scattering (SERS) of the as-synthesized Ag-Pd bimetallic nanostructures were investigated. The effectiveness of these dendritic Ag-Pd bimetallic nanostructures on the surface of Cu foil as substrates toward SERS detection was evaluated by using rhodamine 6G (R6G) as a probe molecule. The results indicate that as-synthesized dendritic Ag-Pd bimetallic nanostructures are good candidates for SERS spectroscopy.
International Nuclear Information System (INIS)
Akhter, Perveen; Huang, Mengbing; Spratt, William; Kadakia, Nirag; Amir, Faisal
2015-01-01
Plasmonic effects associated with metal nanostructures are expected to hold the key to tailoring light emission/propagation and harvesting solar energy in materials including single crystal silicon which remains the backbone in the microelectronics and photovoltaics industries but unfortunately, lacks many functionalities needed for construction of advanced photonic and optoelectronics devices. Currently, silicon plasmonic structures are practically possible only in the configuration with metal nanoparticles or thin film arrays on a silicon surface. This does not enable one to exploit the full potential of plasmonics for optical engineering in silicon, because the plasmonic effects are dominant over a length of ∼50 nm, and the active device region typically lies below the surface much beyond this range. Here, we report on a novel method for the formation of silver nanoparticles embedded within a silicon crystal through metal gettering from a silver thin film deposited at the surface to nanocavities within the Si created by hydrogen ion implantation. The refractive index of the Ag-nanostructured layer is found to be 3–10% lower or higher than that of silicon for wavelengths below or beyond ∼815–900 nm, respectively. Around this wavelength range, the optical extinction values increase by a factor of 10–100 as opposed to the pure silicon case. Increasing the amount of gettered silver leads to an increased extinction as well as a redshift in wavelength position for the resonance. This resonance is attributed to the surface plasmon excitation of the resultant silver nanoparticles in silicon. Additionally, we show that the profiles for optical constants in silicon can be tailored by varying the position and number of nanocavity layers. Such silicon crystals with embedded metal nanostructures would offer novel functional base structures for applications in silicon photonics, optoelectronics, photovoltaics, and plasmonics
Directory of Open Access Journals (Sweden)
Kuang-Li Lee
2017-07-01
Full Text Available We propose two approaches—hot-embossing and dielectric-heating nanoimprinting methods—for low-cost and rapid fabrication of periodic nanostructures. Each nanofabrication process for the imprinted plastic nanostructures is completed within several seconds without the use of release agents and epoxy. Low-cost, large-area, and highly sensitive aluminum nanostructures on A4 size plastic films are fabricated by evaporating aluminum film on hot-embossing nanostructures. The narrowest bandwidth of the Fano resonance is only 2.7 nm in the visible light region. The periodic aluminum nanostructure achieves a figure of merit of 150, and an intensity sensitivity of 29,345%/RIU (refractive index unit. The rapid fabrication is also achieved by using radio-frequency (RF sensitive plastic films and a commercial RF welding machine. The dielectric-heating, using RF power, takes advantage of the rapid heating/cooling process and lower electric power consumption. The fabricated capped aluminum nanoslit array has a 5 nm Fano linewidth and 490.46 nm/RIU wavelength sensitivity. The biosensing capabilities of the metallic nanostructures are further verified by measuring antigen–antibody interactions using bovine serum albumin (BSA and anti-BSA. These rapid and high-throughput fabrication methods can benefit low-cost, highly sensitive biosensors and other sensing applications.
Synthesis of porous carbon/silica nanostructured microfiber with ultrahigh surface area
Zhou, Dan; Dong, Yan; Cui, Liru; Lin, Huiming; Qu, Fengyu
2014-12-01
Carbon/silica-nanostructured microfibers were synthesized via electrospinning method using phenol-formaldehyde resin and tetraethyl orthosilicate as carbon and silica precursor with triblock copolymer Pluronic P123 as soft template. The prepared samples show uniform microfiber structure with 1 μm in diameter and dozens of microns in length. Additionally, the mesopores in the material is about 2-6 nm. When the silica component was removed by HF, the porous carbon microfibers (PCMFs) were obtained. In addition, after the carbon/silica composites were calcined in air, the porous silica microfibers (PSiMFs) were obtained, revealing the converse porous nanostructure as PCMFs. It is a simple way to prepare PCMFs and PSiMFs with silica and carbon as the template to each other. Additionally, PCMFs possess an ultrahigh specific surface area (2,092 m2 g-1) and large pore volume. The electrochemical performance of the prepared PCMF material was investigated in 6.0 M KOH electrolyte. The PCMF electrode exhibits a high specific capacitance (252 F g-1 at 0.5 A g-1). Then, superior cycling stability (97 % retention after 4,000 cycles) mainly is due to its unique nanostructure.
Zheng, Buxiang; Jiang, Gedong; Wang, Wenjun; Mei, Xuesong
2016-05-01
Bioinspired superhydrophilic/phobic self-cleaning surfaces have recently drawn a lot of interest in both fundamental and applied research. A hybrid method to produce the self-cleaning property of micro/nanostructured surface using ultra-fast laser pulses followed by chemical fluorination is proposed. The typical micro/nanocomposite structures that form from microporous arrays and microgroove groups have been processed by picosecond laser on titanium alloy surface. The surface hydrophilic/phobic and self-cleaning properties of micro/nanostructures before and after fluorination with fluoroalkyl-silane were investigated using surface contact angle measurements. The results indicate that surface properties change from hydrophilic to hydrophobic after fluorination, and the micro/nanostructured surface with increased roughness contributes to the improvement of surface hydrophobicity. The micro/nanomodification can make the original hydrophilic titanium alloy surface more hydrophilic or superhydrophilic. It also can make an originally hydrophobic fluorinated titanium alloy surface more hydrophobic or superhydrophobic. The produced micro/nanostructured titanium alloy surfaces show excellent self-cleaning properties regardless of the fluorination treatment, although the fluorinated surfaces have slightly better self-cleaning properties. It is found that surface treatment using ultra-fast laser pulses and subsequent chemical fluorination is an effective way to manipulate surface wettability and obtain self-cleaning properties.
Jiang, Hao; Kaminska, Bozena
2018-04-24
To enable customized manufacturing of structural colors for commercial applications, up-scalable, low-cost, rapid, and versatile printing techniques are highly demanded. In this paper, we introduce a viable strategy for scaling up production of custom-input images by patterning individual structural colors on separate layers, which are then vertically stacked and recombined into full-color images. By applying this strategy on molded-ink-on-nanostructured-surface printing, we present an industry-applicable inkjet structural color printing technique termed multilayer molded-ink-on-nanostructured-surface (M-MIONS) printing, in which structural color pixels are molded on multiple layers of nanostructured surfaces. Transparent colorless titanium dioxide nanoparticles were inkjet-printed onto three separate transparent polymer substrates, and each substrate surface has one specific subwavelength grating pattern for molding the deposited nanoparticles into structural color pixels of red, green, or blue primary color. After index-matching lamination, the three layers were vertically stacked and bonded to display a color image. Each primary color can be printed into a range of different shades controlled through a half-tone process, and full colors were achieved by mixing primary colors from three layers. In our experiments, an image size as big as 10 cm by 10 cm was effortlessly achieved, and even larger images can potentially be printed on recombined grating surfaces. In one application example, the M-MIONS technique was used for printing customizable transparent color optical variable devices for protecting personalized security documents. In another example, a transparent diffractive color image printed with the M-MIONS technique was pasted onto a transparent panel for overlaying colorful information onto one's view of reality.
International Nuclear Information System (INIS)
Linganiso, Ella Cebisa; Mwakikunga, Bonex Wakufwa; Mhlanga, Sabelo Dalton; Coville, Neil John
2014-01-01
Nickel sulphide (NiS) nanostructures decorated with gold (Au) nanoparticles (NPs) were synthesized via a microwave-assisted hydrothermal technique. Binary phase NiS (α and β) crystalline nanostructures, bare, and decorated with Au NPs were obtained and confirmed by X-ray diffraction (XRD) studies. TEM analysis revealed that the NiS nanostructures were of various shapes. A quantum confinement effect was confirmed by the blue shift PL emissions and high optical energy band gap observed for the as-synthesized sample. A threefold light emission enhancement due to Au NP coatings was obtained when Au metal NP decoration concentrations was varied from 1% to 10%. These enhancements were attributed to the surface plasmon resonance (SPR) excitation of the surface decorated metal NPs which results in an increased rate of spontaneous emission. The PL enhancement factor was observed to vary at different NiS emissions as well as with the size of the Au NPs. The effect of metal NP decoration on the PL emission of NiS is to the best of our knowledge, presented for the first time. - Highlights: • Binary phase NiS decorated with gold nanoparticles. • Quantum confinement effect confirmed by PL analysis. • PL enhancement depending more on particle size distribution. • Effect of gold on NiS PL is to the best of our knowledge reported for the first time
Terahertz pulse generation from metal nanoparticle ink
Kato, Kosaku; Takano, Keisuke; Tadokoro, Yuzuru; Phan, Thanh Nhat Khoa; Nakajima, Makoto
2016-11-01
Terahertz pulse generation from metallic nanostructures irradiated by femtosecond laser pulses is of interest because the conversion efficiency from laser pulses to terahertz waves is increased by the local field enhancement resulting from the plasmon oscillation. In this talk we present our recent study on terahertz generation from metal nanoparticle ink. We baked a silver nanoparticle ink spin-coated onto a glass coverslip in various temperatures. On the surface of the baked ink, bumpy nanostructures are spontaneously formed, and the average size of bumps depends on the baking temperature. These structures are expected to lead to local field enhancement and then large nonlinear polarizations on the surface. The baked ink was irradiated by the output of regeneratively amplified Ti:sapphire femtosecond laser at an incidence angle of 45°. Waveforms of generated terahertz pulses are detected by electro-optical sampling. The generation efficiency was high when the average diameter of bumps was around 100 nm, which is realized when the ink is baked in 205 to 235°C in our setup. One of our next research targets is terahertz wave generation from micro-patterned metallic nanoparticle ink. It is an advantage of the metal nanoparticle ink that by using inkjet printers one can fabricate various patterns with micrometer scales, in which terahertz waves have a resonance. Combination of microstructures made by a printer and nanostructure spontaneously formed in the baking process will provide us terahertz emitters with unique frequency characteristics.
Formation of novel assembled silver nanostructures from polyglycol solution
International Nuclear Information System (INIS)
Zhang Jie; Liu Ke; Dai Zhihui; Feng Yuying; Bao Jianchun; Mo Xiangyin
2006-01-01
This paper described a simple and mild chemical reduction approach to prepare novel silver nanostructures with different morphologies. Dendritic silver nanostructure was obtained by a fast reduction reaction using hydrazine as a reducing agent in aqueous solution of polyglycol, while both the zigzag and linear Ag nanostructures were slowly assembled using polyglycol as a reducing agent. Powder X-ray diffraction (XRD), transmission electron microscopy (TEM), high-resolution TEM (HRTEM) and field emission scanning electron microscopy (FE-SEM) were used to characterize the obtained silver nanostructures. Fourier transform infrared absorption (FT-IR) spectra were recorded to show that there exists a certain coordination of the oxygen atoms in the polyglycol with Ag + ions in aqueous solution of the AgNO 3 /polyglycol. Furthermore, the examination of the morphologies of the products obtained at different stages of the reaction of Ag + ions with polyglycol revealed that such a coordination is of utmost importance for the formation of the silver nanostructures, namely polyglycol provided lots of active sites for the coordination, nucleation, growth and serves as backbones for directing the assembly of the metal particles formed. The formation mechanism of the dendritic silver nanostructure was called a coordination-reduction-nucleation-growth-fractal growth process. The strong surface plasmon absorption bands at 470 nm for the zigzag silver and at 405 nm for the dendritic silver were found
Nanostructured layers of thermoelectric materials
Energy Technology Data Exchange (ETDEWEB)
Urban, Jeffrey J.; Lynch, Jared; Coates, Nelson; Forster, Jason; Sahu, Ayaskanta; Chabinyc, Michael; Russ, Boris
2018-01-30
This disclosure provides systems, methods, and apparatus related to thermoelectric materials. In one aspect, a method includes providing a plurality of nanostructures. The plurality of nanostructures comprise a thermoelectric material, with each nanostructure of the plurality of nanostructures having first ligands disposed on a surface of the nanostructure. The plurality of nanostructures is mixed with a solution containing second ligands and a ligand exchange process occurs in which the first ligands disposed on the plurality of nanostructures are replaced with the second ligands. The plurality of nanostructures is deposited on a substrate to form a layer. The layer is thermally annealed.
International Nuclear Information System (INIS)
Miyaoka, Hiroki; Ichikawa, Takayuki; Fujii, Hironobu
2007-01-01
Hydrogen desorption properties of mechanically milled materials composed of nano-structural hydrogenated-graphite (C nano H x ) and alkali(-earth) metal hydride (MH; M = Na, Mg and Ca) were investigated from the thermodynamic and structural points of view. The hydrogen desorption temperature for all the C nano H x and MH composites was obviously lower than that of the corresponding each hydride. In addition, the desorption of hydrocarbons from C nano H x was significantly suppressed by making composite of C nano H x with MH, even though C nano H x itself thermally desorbs a considerably large amount of hydrocarbons. These results indicate that an interaction exists between C nano H x and MH, and hydrogen in both the phases is destabilized by a close contact between polar C-H groups in C nano H x and the MH solid phase. Moreover, a new type of chemical bonding between the nano-structural carbon (C nano ) and the Li, Ca, or Mg metal atoms may be formed after hydrogen desorption. Thus, the above metal-C-H system would be recognized as a new family of H-storage materials
Ab-initio modeling of oxygen on the surface passivation of 3C-SiC nanostructures
International Nuclear Information System (INIS)
Cuevas, J.L.; Trejo, A.; Calvino, M.; Carvajal, E.; Cruz-Irisson, M.
2012-01-01
In this work the effect of OH on the electronic states of H-passivated 3C-SiC nanostructures, was studied by means of Density Functional Theory. We compare the electronic band structure for a [1 1 1]-oriented nanowire with total H, OH passivation and a combination of both. Also the electronic states of a porous silicon carbide case (PSiC) a C-rich pore surface in which the dangling bonds on the surface are saturated with H and OH was studied. The calculations show that the surface replacement of H with OH radicals is always energetically favorable and more stable. In all cases the OH passivation produced a similar effect than the H passivation, with electronic band gap of lower energy value than the H-terminated phase. When the OH groups are attached to C atoms, the band gap feature is changed from direct to indirect. The results indicate the possibility of band gap engineering on SiC nanostructures through the surface passivation species.
Characterisation of 3D-GaN/InGaN core-shell nanostructures by transmission electron microscopy
Energy Technology Data Exchange (ETDEWEB)
Griffiths, Ian; Cherns, David [School of Physics, H. H. Wills Physics Laboratory, University of Bristol, Tyndall Avenue, Bristol, BS8 1TL (United Kingdom); Wang, Xue; Wehman, Hergo-Heinrich; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Mandl, Martin; Strassburg, Martin [Osram Opto Semiconductors GmbH, Leibnizstrasse 4, 93055 Regensburg (Germany)
2014-04-15
Transmission and scanning electron microscopy have been used to characterise GaN/InGaN 3D nanostructures grown on patterned GaN/sapphire substrates by metal organic vapour phase epitaxy (MOVPE). It has been found that the growth of well ordered arrays of such nanostructures, containing multiple quantum wells on non-polar side-facets, can be achieved with a low density of defects. Growth changes and surface morphology play a major role in the nucleation of any defects present. The nanostructure morphology has been investigated and differing growth rates on adjacent facets studied. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Metal nanostructures for the enhancement of the Raman response of molecular adsorbates
Giorgetti, Emilia; Giammanco, Francesco; Margheri, Giancarlo; Trigari, Silvana; Muniz-Miranda, Maurizio
2011-08-01
Spectroscopic investigation of metallic nanostructures of different size and morphology is presented, with particular focus on the capability of enhancing the Raman response of molecular adsorbates, namely on their SERS properties. In this framework, we describe recent results obtained with Au/Ag nanocages and Au nanostars, which can be used conveniently to shift the extinction spectra and the SERS activity up to the near infrared. In the case of nanostars, we present a synthesis procedure which permits fine tuning of their morphology and extinction, thus allowing preparation of structures with controlled SERS activity from 500 up to 1500 nm.
Metal nanogrids, nanowires, and nanofibers for transparent electrodes
Hu, Liangbing; Wu, Hui; Cui, Yi
2011-01-01
Metals possess the highest conductivity among all room-temperature materials; however, ultrathin metal films demonstrate decent optical transparency but poor sheet conductance due to electron scattering from the surface and grain boundaries. This article discusses engineered metal nanostructures in the form of nanogrids, nanowires, or continuous nanofibers as efficient transparent and conductive electrodes. Metal nanogrids are discussed, as they represent an excellent platform for understanding the fundamental science. Progress toward low-cost, nano-ink-based printed silver nanowire electrodes, including silver nanowire synthesis, film fabrication, wire-wire junction resistance, optoelectronic properties, and stability, are also discussed. Another important factor for low-cost application is to use earth-abundant materials. Copper-based nanowires and nanofibers are discussed in this context. Examples of device integrations of these materials are also given. Such metal nanostructure-based transparent electrodes are particularly attractive for solar cell applications. © 2011 Materials Research Society.
Metal nanogrids, nanowires, and nanofibers for transparent electrodes
Hu, Liangbing
2011-10-01
Metals possess the highest conductivity among all room-temperature materials; however, ultrathin metal films demonstrate decent optical transparency but poor sheet conductance due to electron scattering from the surface and grain boundaries. This article discusses engineered metal nanostructures in the form of nanogrids, nanowires, or continuous nanofibers as efficient transparent and conductive electrodes. Metal nanogrids are discussed, as they represent an excellent platform for understanding the fundamental science. Progress toward low-cost, nano-ink-based printed silver nanowire electrodes, including silver nanowire synthesis, film fabrication, wire-wire junction resistance, optoelectronic properties, and stability, are also discussed. Another important factor for low-cost application is to use earth-abundant materials. Copper-based nanowires and nanofibers are discussed in this context. Examples of device integrations of these materials are also given. Such metal nanostructure-based transparent electrodes are particularly attractive for solar cell applications. © 2011 Materials Research Society.
Reflectance analysis of porosity gradient in nanostructured silicon layers
Jurečka, Stanislav; Imamura, Kentaro; Matsumoto, Taketoshi; Kobayashi, Hikaru
2017-12-01
In this work we study optical properties of nanostructured layers formed on silicon surface. Nanostructured layers on Si are formed in order to reach high suppression of the light reflectance. Low spectral reflectance is important for improvement of the conversion efficiency of solar cells and for other optoelectronic applications. Effective method of forming nanostructured layers with ultralow reflectance in a broad interval of wavelengths is in our approach based on metal assisted etching of Si. Si surface immersed in HF and H2O2 solution is etched in contact with the Pt mesh roller and the structure of the mesh is transferred on the etched surface. During this etching procedure the layer density evolves gradually and the spectral reflectance decreases exponentially with the depth in porous layer. We analyzed properties of the layer porosity by incorporating the porosity gradient into construction of the layer spectral reflectance theoretical model. Analyzed layer is splitted into 20 sublayers in our approach. Complex dielectric function in each sublayer is computed by using Bruggeman effective media theory and the theoretical spectral reflectance of modelled multilayer system is computed by using Abeles matrix formalism. Porosity gradient is extracted from the theoretical reflectance model optimized in comparison to the experimental values. Resulting values of the structure porosity development provide important information for optimization of the technological treatment operations.
Energy Technology Data Exchange (ETDEWEB)
Lancaster, Cady A., E-mail: lancaster@chem.utah.edu; Shumaker-Parry, Jennifer S., E-mail: shumaker-parry@chem.utah.edu
2016-08-01
Thin film deposition to create robust plasmonic nanomaterials is a growing area of research. Plasmonic nanomaterials have tunable optical properties and can be used as substrates for surface-enhanced spectroscopies. Due to the surface sensitivity and the dependence of the near-field behavior on structural details, degradation from cleaning or spectroscopic interrogation causes plasmonic nanostructures to lose distinctive localized surface plasmon resonances or exhibit diminished optical near-field enhancements over time. To decrease degradation, conformal thin films of alumina are deposited on nanostructured substrates using atomic layer deposition. While film growth on homogenous surfaces has been studied extensively, atomic layer deposition-based film growth on heterogeneous nanostructured surfaces is not well characterized. In this report, we have evaluated the impact of oxygen plasma and ultraviolet ozone pre-treatments on Au nanoparticle substrates for thin film growth by monitoring changes in plasmonic response and nanostructure morphology. We have found that ultraviolet ozone is more effective than oxygen plasma for cleaning gold nanostructured surfaces, which is in contrast to bulk films of the same material. Our results show that oxygen plasma treatment negatively impacts the nanostructure and alumina coating based on both scanning electron microscopy analysis of morphology and changes in the plasmonic response. - Highlights: • Plasmonic response indicates oxygen plasma damages Au structures and Al{sub 2}O{sub 3} films. • Ultraviolet ozone (UVO) re-activates aged Al{sub 2}O{sub 3}-coated Au nanostructures. • UVO treatments do not damage Au or Al{sub 2}O{sub 3}-coated nanostructures.
Novel metal ion surface modification technique
International Nuclear Information System (INIS)
Brown, I.G.; Godechot, X.; Yu, K.M.
1990-10-01
We describe a method for applying metal ions to the near-surface region of solid materials. The added species can be energetically implanted below the surface or built up as a surface film with an atomically mixed interface with the substrate; the metal ion species can be the same as the substrate species or different from it, and more than one kind of metal species can be applied, either simultaneously or sequentially. Surface structures can be fabricated, including coatings and thin films of single metals, tailored alloys, or metallic multilayers, and they can be implanted or added onto the surface and ion beam mixed. We report two simple demonstrations of the method: implantation of yttrium into a silicon substrate at a mean energy of 70 keV and a dose of 1 x 10 16 atoms/cm 2 , and the formation of a titanium-yttrium multilayer structure with ion beam mixing to the substrate. 17 refs., 3 figs
Arc tracks on nanostructured surfaces after microbreakdowns
International Nuclear Information System (INIS)
Sinelnikov, D; Bulgadaryan, D; Kolodko, D; Kurnaev, V; Hwangbo, D; Ohno, N; Kajita, S
2016-01-01
Studying of initial steps of unipolar arc ignition process is important for reduction of probability of arcing between the plasma and the wall in thermonuclear devices. Tungsten nano-fuzz surface formed by helium plasma irradiation at high fluences and temperatures is a perfect material for arc ignition. Snowflake-like craters were detected on the fuzzy surfaces after short micro-breakdowns. Such sort of craters have not been observed before on any other metallic surfaces. These specific traces are formed due to unique properties of the fuzz structure. The nano-fuzz could be easily melted and vaporized by micro-breakdown current, due to its porosity and bad thermal conductivity, and formation of low conducting metallic vapour under the cathode spot causes discharge movement to the nearest place. Thus, even low current arc can easily move and leave traces, which could be easily observed by a secondary electron microscope. (paper)
Formation of different gold nanostructures by silk nanofibrils
International Nuclear Information System (INIS)
Fang, Guangqiang; Yang, Yuhong; Yao, Jinrong; Shao, Zhengzhong; Chen, Xin
2016-01-01
Metal nanostructures that have unique size- and shape-dependent electronic, optical and chemical properties gain more and more attention in modern science and technology. In this article, we show the possibility that we are able to obtain different gold nanostructures simply with the help of silk nanofibrils. We demonstrate that only by varying the pH of the reaction solution, we get gold nanoparticles, nano-icosahedrons, nanocubes, and even microplates. Particularly, we develop a practical method for the preparation of gold microplates in acid condition in the presence of silk nanofibrils, which is impossible by using other forms of silk protein. We attribute the role of silk nanofibrils in the formation of gold nanostructure to their reduction ability from several specific amino acid residues, and the suitable structural anisotropic features to sustain the crystal growth after the reduction process. Although the main purpose of this article is to demonstrate that silk nanofibrils are able to mediate the formation of different gold nanostructure, we show the potential applications of these resulting gold nanostructures, such as surface-enhanced Raman scattering (SERS) and photothermal transformation effect, as same as those produced by other methods. In conclusion, we present in this communication a facile and green synthesis route to prepare various gold nanostructures with silk nanofibrils by simply varying pH in the reaction system, which has remarkable advantages in future biomedical applications. - Highlights: • Different Au nanostructures can be obtained by a facile and green protein reduction method. • Silk nanofibrils serve as both reductant and template in the formation of Au nanostructures. • Different Au nanostructures can be obtained simply by regulating the pH in the medium. • Large Au microplates can be obtained with a cheap, abundant, sustainable silk protein. • Silk/Au hybrid nanocomposites show potential application in SERS and
Formation of different gold nanostructures by silk nanofibrils
Energy Technology Data Exchange (ETDEWEB)
Fang, Guangqiang [State Key Laboratory of Molecular Engineering of Polymers, Collaborative Innovation Center of Polymers and Polymer Composite Materials, Department of Macromolecular Science, Laboratory of Advanced Materials, Fudan University, Shanghai, 200433 (China); Yang, Yuhong [Research Centre for Analysis and Measurement, Fudan University, Shanghai 200433 (China); Yao, Jinrong; Shao, Zhengzhong [State Key Laboratory of Molecular Engineering of Polymers, Collaborative Innovation Center of Polymers and Polymer Composite Materials, Department of Macromolecular Science, Laboratory of Advanced Materials, Fudan University, Shanghai, 200433 (China); Chen, Xin, E-mail: chenx@fudan.edu.cn [State Key Laboratory of Molecular Engineering of Polymers, Collaborative Innovation Center of Polymers and Polymer Composite Materials, Department of Macromolecular Science, Laboratory of Advanced Materials, Fudan University, Shanghai, 200433 (China)
2016-07-01
Metal nanostructures that have unique size- and shape-dependent electronic, optical and chemical properties gain more and more attention in modern science and technology. In this article, we show the possibility that we are able to obtain different gold nanostructures simply with the help of silk nanofibrils. We demonstrate that only by varying the pH of the reaction solution, we get gold nanoparticles, nano-icosahedrons, nanocubes, and even microplates. Particularly, we develop a practical method for the preparation of gold microplates in acid condition in the presence of silk nanofibrils, which is impossible by using other forms of silk protein. We attribute the role of silk nanofibrils in the formation of gold nanostructure to their reduction ability from several specific amino acid residues, and the suitable structural anisotropic features to sustain the crystal growth after the reduction process. Although the main purpose of this article is to demonstrate that silk nanofibrils are able to mediate the formation of different gold nanostructure, we show the potential applications of these resulting gold nanostructures, such as surface-enhanced Raman scattering (SERS) and photothermal transformation effect, as same as those produced by other methods. In conclusion, we present in this communication a facile and green synthesis route to prepare various gold nanostructures with silk nanofibrils by simply varying pH in the reaction system, which has remarkable advantages in future biomedical applications. - Highlights: • Different Au nanostructures can be obtained by a facile and green protein reduction method. • Silk nanofibrils serve as both reductant and template in the formation of Au nanostructures. • Different Au nanostructures can be obtained simply by regulating the pH in the medium. • Large Au microplates can be obtained with a cheap, abundant, sustainable silk protein. • Silk/Au hybrid nanocomposites show potential application in SERS and
Spontaneous light emission in complex nanostructures
Blanco, L. A.; García de Abajo, F. J.
2004-05-01
The spontaneous emission of an excited atom surrounded by different materials is studied in the framework of a semiclassical approach, where the transition dipole moment acts as the source of the emission field. The emission in the presence of semiinfinite media, metallic nanorings, spheres, gratings, and other complex geometries is investigated. Strong emission enhancement effects are obtained in some of these geometries associated to the excitation of plasmons (e.g., in nanorings or spheres). Furthermore, the emission is shown to take place only along narrow angular distributions when the atom is located inside a low-index dielectric and near its planar surface, or when metallic nanogratings are employed at certain resonant wave lengths. In particular, axially symmetric gratings made of real silver metal are considered, and both emission rate enhancement and focused far-field emission are achieved simultaneously when the grating is decorated with further nanostructures.
SISGR - Design and Characterization of Novel Photocatalysts With Core-Shell Nanostructures
Energy Technology Data Exchange (ETDEWEB)
Zaera, Francisco [Univ. of California, Riverside, CA (United States). Dept. of Chemistry; Bardeen, Christopher J. [Univ. of California, Riverside, CA (United States). Dept. of Chemistry; Yin, Yadong [Univ. of California, Riverside, CA (United States). Dept. of Chemistry
2017-03-15
The overall goal of this project has been to develop new a new and novel class of well-characterized nanostructured Metal@TiO2 core-shell and yolk-shell photocatalysts to address two fundamental issues presently limiting this field: (1) the fast recombination of electron-hole pairs once generated by light absorption, and (2) the recombination of H2 and O2 on the metal surface once produced. These model samples are also used to study the fundamentals of the photocatalytic processes.