WorldWideScience

Sample records for metal modulated epitaxy

  1. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  2. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  3. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  4. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  5. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  6. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  7. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  8. Closed-loop MBE growth of droplet-free GaN with very metal rich conditions using Metal Modulated Epitaxy with Mg and In

    Energy Technology Data Exchange (ETDEWEB)

    Burnham, S.D.; Henderson, W.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2008-07-01

    Improvements to the Metal Modulated Epitaxy (MME) technique are achieved through computer controlled shutter transitions based on feedback from RHEED transients (S. D. Burnham and W. A. Doolittle, J. Vac. Sci. Technol. B 24, 2100 (2006)), thus creating a closed-loop control system for nitride MBE, the first of its kind. A high-sensitivity 22 bit camera is used to determine when RHEED transients have subsided, upon which a shutter transition is initiated allowing the efficient buildup and depletion of the metal bilayer, which improves surface morphology and growth rate compared to the standard MME technique. RMS surface roughness was reduced by 41% by using this 'Smart Shuttering' improvement to MME. A substantially higher peak concentration of Mg, approaching 2% atomic concentration, was achieved using the MME technique. As expected, a negligible amount of In was incorporated into the very Ga-rich films. Using the new closed loop control system developed for MBE, the surface morphology was improved for GaN films while highly repeatable holes concentrations as high as 4.7 x 10{sup 18} cm{sup -3} with 1.07 cm{sup 2}/V-sec mobility was obtained. This approach offers great promise for improved reproducibility and improved material quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  10. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  11. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  12. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  13. Full Electroresistance Modulation in a Mixed-Phase Metallic Alloy

    Science.gov (United States)

    Liu, Z. Q.; Li, L.; Gai, Z.; Clarkson, J. D.; Hsu, S. L.; Wong, A. T.; Fan, L. S.; Lin, M.-W.; Rouleau, C. M.; Ward, T. Z.; Lee, H. N.; Sefat, A. S.; Christen, H. M.; Ramesh, R.

    2016-03-01

    We report a giant, ˜22 %, electroresistance modulation for a metallic alloy above room temperature. It is achieved by a small electric field of 2 kV /cm via piezoelectric strain-mediated magnetoelectric coupling and the resulting magnetic phase transition in epitaxial FeRh /BaTiO3 heterostructures. This work presents detailed experimental evidence for an isothermal magnetic phase transition driven by tetragonality modulation in FeRh thin films, which is in contrast to the large volume expansion in the conventional temperature-driven magnetic phase transition in FeRh. Moreover, all the experimental results in this work illustrate FeRh as a mixed-phase model system well similar to phase-separated colossal magnetoresistance systems with phase instability therein.

  14. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  15. Influence of metallic surface states on electron affinity of epitaxial AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2017-06-15

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6–1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2–3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  16. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  17. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  18. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  19. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  20. Magnetic-property changes in epitaxial metal-film sandwiches

    International Nuclear Information System (INIS)

    Brodsky, M.B.

    1982-08-01

    Epitaxial metal-film sandwiches (EMFS) containing Pd or Cr, have been prepared between single-crystal Ag or Au. The modified Pd/Cr show major changes in physical properties. Pd has a stretched lattice parameter in Au-Pd-Au, which combines with a tetragonal distortion to cause exchange enhancements up to 28,000 and spin-fluctuation temperatures of 1 to 10 K. In Au-Cr-Au, Cr takes up the fcc structure, leading to superconductivity due to a high N(E/sub F/). These results are contrasted to data for Ag-Pd-Ag and Ag-Cr-Ag EMFS

  1. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  2. Adsorbate-induced one-dimensional long-range modulation of an epitaxial insulator film

    International Nuclear Information System (INIS)

    Ernst, W.; Eichmann, M.; Pfnuer, H.; Jonas, K.-L.; Oeynhausen, V. von; Meiwes-Broer, K.H.

    2002-01-01

    Using low-energy electron diffraction and scanning tunneling microscopy, we found that epitaxial NaCl films grown on Ge(100) with thicknesses up to (at least) 15 monolayers can be modulated with a period of six lattice constants and an amplitude directed mainly normal to their surface. The (6x1) periodicity on the NaCl films is induced by a preadsorbed Na layer at very low coverages (Θ≅0.06), that form chain structures with a sixfold periodicity in one dimension. At 10 monolayers thickness of NaCl a modulation amplitude of 0.28 Aa was obtained

  3. The competing oxide and sub-oxide formation in metal-oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vogt, Patrick; Bierwagen, Oliver

    2015-01-01

    The hetero-epitaxial growth of the n-type semiconducting oxides β-Ga 2 O 3 , In 2 O 3 , and SnO 2 on c- and r-plane sapphire was performed by plasma-assisted molecular beam epitaxy. The growth-rate and desorbing flux from the substrate were measured in-situ under various oxygen to metal ratios by laser reflectometry and quadrupole mass spectrometry, respectively. These measurements clarified the role of volatile sub-oxide formation (Ga 2 O, In 2 O, and SnO) during growth, the sub-oxide stoichiometry, and the efficiency of oxide formation for the three oxides. As a result, the formation of the sub-oxides decreased the growth-rate under metal-rich growth conditions and resulted in etching of the oxide film by supplying only metal flux. The flux ratio for the exclusive formation of the sub-oxide (e.g., the p-type semiconductor SnO) was determined, and the efficiency of oxide formation was found to be the highest for SnO 2 , somewhat lower for In 2 O 3 , and the lowest for Ga 2 O 3 . Our findings can be generalized to further oxides that possess related sub-oxides

  4. Shaping metal nanocrystals through epitaxial seeded growth

    Energy Technology Data Exchange (ETDEWEB)

    Habas, Susan E.; Lee, Hyunjoo; Radmilovic, Velimir; Somorjai,Gabor A.; Yang, Peidong

    2008-02-17

    Morphological control of nanocrystals has becomeincreasingly important, as many of their physical and chemical propertiesare highly shape-dependent. Nanocrystal shape control for both single andmultiple material systems, however, remains fairly empirical andchallenging. New methods need to be explored for the rational syntheticdesign of heterostructures with controlled morphology. Overgrowth of adifferent material on well-faceted seeds, for example, allows for the useof the defined seed morphology to control nucleation and growth of thesecondary structure. Here, we have used highly faceted cubic Pt seeds todirect the epitaxial overgrowth of a secondary metal. We demonstrate thisconcept with lattice matched Pd to produce conformal shape-controlledcore-shell particles, and then extend it to lattice mismatched Au to giveanisotropic growth. Seeding with faceted nanocrystals may havesignificant potential towards the development of shape-controlledheterostructures with defined interfaces.

  5. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  6. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  7. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  8. Geometric factors in f.c.c. and b.c.c. metal-on-metal epitaxy

    International Nuclear Information System (INIS)

    Bruce, L.A.; Jaeger, H.

    1978-01-01

    Deposits of Ni, Au and Ag formed by condensing metal vapour in U.H.V. onto (001)W, held at a temperature Tsub(s) in the range 300K< Tsub(s)<1200 K, always form epitaxial layers. However, while Au and Ag form (001) epitaxial layers of f.c.c. single crystals, (001)d parallel to (001)s with, say, [110]d parallel to [010]s, Ni and Cu occur in two orthogonal domains, each characterized by an exclusive set of fault (or twin) planes. Within a fault plane, atoms are hexagonally close-packed and, within a domain, fault planes are normal to either [1-1-0]s or [1-10]s and a close-packed direction in the planes is normal to the substrate. The lateral stacking of the fault planes may range from random at low values of Tsub(s) to that of, say, (11-1-) planes in heavily faulted and/or twinned (110) epitaxed f.c.c. material, or of basal planes in (110) epitaxed h.c.p. material at high values of Tsub(s). The results are readily explained on the basis of a growth model developed for deposits of Ni and Cu on (001) Ag. (author)

  9. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  10. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  11. Investigating the influence of epitaxial modulation on the evolution of superhardness of the VN/TiB{sub 2} multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Pan, Yupeng [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Dong, Lei, E-mail: dlei0008@126.com [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Liu, Na; Yu, Jiangang; Li, Chun [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Li, Dejun, E-mail: dejunli@mail.tjnu.edu.cn [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China)

    2016-12-30

    Graphical abstract: The novel VN/TiB{sub 2} multilayers were produced by a magnetron sputtering system. Reasonable modulation structure affected properties of the multilayers. The double epitaxial growth as shown in HRTEM images was newly found to be a main reason for coherent growth of the VN/TiB{sub 2} multilayers within a certain thickness. The coherent growth model of the multilayer was also used to explain the growth mechanism of the VN/TiB{sub 2} multilayers in this work, which provided a useful inspiration to understand the strategies to enhance the multilayers’ engineering applications. - Highlights: • The VN/TiB{sub 2} multilayers are produced by magnetron sputtering. • A kind of second epitaxial growth is found in multilayer. • The coherent growth model is designed to explain the growth mechanism. • Second epitaxial growth promotes to form superhardness. • Coherent growth appears twice with modulation ratios decreasing. - Abstract: A series of the VN/TiB{sub 2} nanomultilayers with different modulation ratios (t{sub VN}:t{sub TiB2}) and different modulation periods were synthesized via a magnetron sputtering system. The cross-sectional transmission electron microscopy (TEM) and x-ray diffraction (XRD) examinations indicated that in the alternately deposited monolayers of the VN and TiB{sub 2}, due to the influence of the crystal (111){sub VN} texture, TiB{sub 2} layer presented epitaxial growth on the surface of the VN layer when its t{sub VN}:t{sub TiB2} was 5:1. Moreover, the formation of the TiB{sub 2} crystal promoted the growth of (200){sub VN} and significantly improved the preferential growth of nanomultilayers. With decreasing t{sub VN}:t{sub TiB2} to 1:7, the thin VN layer was crystallized under the introduction of crystalline TiB{sub 2} layers. A type of double epitaxial growth was observed to be a main reason for the coherent growth of the VN/TiB{sub 2} nanomultilayers within a certain thickness. Consequently, the multilayers

  12. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  13. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  14. Mechanical properties of metal-organic frameworks: An indentation study on epitaxial thin films

    Science.gov (United States)

    Bundschuh, S.; Kraft, O.; Arslan, H. K.; Gliemann, H.; Weidler, P. G.; Wöll, C.

    2012-09-01

    We have determined the hardness and Young's modulus of a highly porous metal-organic framework (MOF) using a standard nanoindentation technique. Despite the very low density of these films, 1.22 g cm-3, Young's modulus reaches values of almost 10 GPa for HKUST-1, demonstrating that this porous coordination polymer is substantially stiffer than normal polymers. This progress in characterizing mechanical properties of MOFs has been made possible by the use of high quality, oriented thin films grown using liquid phase epitaxy on modified Au substrates.

  15. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  16. Persistent semi-metal-like nature of epitaxial perovskite CaIrO3 thin films

    International Nuclear Information System (INIS)

    Biswas, Abhijit; Jeong, Yoon Hee

    2015-01-01

    Strong spin-orbit coupled 5d transition metal based ABO 3 oxides, especially iridates, allow tuning parameters in the phase diagram and may demonstrate important functionalities, for example, by means of strain effects and symmetry-breaking, because of the interplay between the Coulomb interactions and strong spin-orbit coupling. Here, we have epitaxially stabilized high quality thin films of perovskite (Pv) CaIrO 3 . Film on the best lattice-matched substrate shows semi-metal-like characteristics. Intriguingly, imposing tensile or compressive strain on the film by altering the underlying lattice-mismatched substrates still maintains semi-metallicity with minute modification of the effective correlation as tensile (compressive) strain results in tiny increases (decreases) of the electronic bandwidth. In addition, magnetoresistance remains positive with a quadratic field dependence. This persistent semi-metal-like nature of Pv-CaIrO 3 thin films with minute changes in the effective correlation by strain may provide new wisdom into strong spin-orbit coupled 5d based oxide physics

  17. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  18. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  19. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  20. Recovering valuable metals from recycled photovoltaic modules.

    Science.gov (United States)

    Yi, Youn Kyu; Kim, Hyun Soo; Tran, Tam; Hong, Sung Kil; Kim, Myong Jun

    2014-07-01

    Recovering valuable metals such as Si, Ag, Cu, and Al has become a pressing issue as end-of-life photovoltaic modules need to be recycled in the near future to meet legislative requirements in most countries. Of major interest is the recovery and recycling of high-purity silicon (> 99.9%) for the production of wafers and semiconductors. The value of Si in crystalline-type photovoltaic modules is estimated to be -$95/kW at the 2012 metal price. At the current installed capacity of 30 GW/yr, the metal value in the PV modules represents valuable resources that should be recovered in the future. The recycling of end-of-life photovoltaic modules would supply > 88,000 and 207,000 tpa Si by 2040 and 2050, respectively. This represents more than 50% of the required Si for module fabrication. Experimental testwork on crystalline Si modules could recover a > 99.98%-grade Si product by HNO3/NaOH leaching to remove Al, Ag, and Ti and other metal ions from the doped Si. A further pyrometallurgical smelting at 1520 degrees C using CaO-CaF2-SiO2 slag mixture to scavenge the residual metals after acid leaching could finally produce > 99.998%-grade Si. A process based on HNO3/NaOH leaching and subsequent smelting is proposed for recycling Si from rejected or recycled photovoltaic modules. Implications: The photovoltaic industry is considering options of recycling PV modules to recover metals such as Si, Ag, Cu, Al, and others used in the manufacturing of the PV cells. This is to retain its "green" image and to comply with current legislations in several countries. An evaluation of potential resources made available from PV wastes and the technologies used for processing these materials is therefore of significant importance to the industry. Of interest are the costs of processing and the potential revenues gained from recycling, which should determine the viability of economic recycling of PV modules in the future.

  1. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn4N epitaxial thin film

    International Nuclear Information System (INIS)

    Shen, Xi; Shigematsu, Kei; Chikamatsu, Akira; Fukumura, Tomoteru; Hirose, Yasushi; Hasegawa, Tetsuya

    2014-01-01

    We report the electrical transport properties of ferrimagnetic Mn 4 N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn 4 N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m 3 , which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  2. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  3. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  4. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  5. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  6. Persistent semi-metal-like nature of epitaxial perovskite CaIrO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Biswas, Abhijit; Jeong, Yoon Hee, E-mail: yhj@postech.ac.kr [Department of Physics, POSTECH, Pohang 790-784 (Korea, Republic of)

    2015-05-21

    Strong spin-orbit coupled 5d transition metal based ABO{sub 3} oxides, especially iridates, allow tuning parameters in the phase diagram and may demonstrate important functionalities, for example, by means of strain effects and symmetry-breaking, because of the interplay between the Coulomb interactions and strong spin-orbit coupling. Here, we have epitaxially stabilized high quality thin films of perovskite (Pv) CaIrO{sub 3}. Film on the best lattice-matched substrate shows semi-metal-like characteristics. Intriguingly, imposing tensile or compressive strain on the film by altering the underlying lattice-mismatched substrates still maintains semi-metallicity with minute modification of the effective correlation as tensile (compressive) strain results in tiny increases (decreases) of the electronic bandwidth. In addition, magnetoresistance remains positive with a quadratic field dependence. This persistent semi-metal-like nature of Pv-CaIrO{sub 3} thin films with minute changes in the effective correlation by strain may provide new wisdom into strong spin-orbit coupled 5d based oxide physics.

  7. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Brä se, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Mü llen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wö ll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  8. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan

    2012-12-04

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  9. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    Science.gov (United States)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  10. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  11. Epitaxially influenced boundary layer model for size effect in thin metallic films

    International Nuclear Information System (INIS)

    Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei

    2005-01-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films

  12. Epitaxially influenced boundary layer model for size effect in thin metallic films

    Science.gov (United States)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  13. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn{sub 4}N epitaxial thin film

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Xi; Shigematsu, Kei [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Fukumura, Tomoteru [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)

    2014-08-18

    We report the electrical transport properties of ferrimagnetic Mn{sub 4}N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn{sub 4}N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m{sup 3}, which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  14. The effect of metal-rich growth conditions on the microstructure of Sc{sub x}Ga{sub 1-x}N films grown using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, H.C.L.; Moram, M.A. [Department of Materials, Imperial College London (United Kingdom); Goff, L.E. [Department of Materials, Imperial College London (United Kingdom); Department of Physics, University of Cambridge (United Kingdom); Barradas, N.P. [CTN - Centro de Ciencias e Tecnologias Nucleares, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Alves, E. [IPFN - Instituto de Plasmas e Fusao Nuclear, Lisboa (Portugal); Laboratorio de Aceleradores e Tecnologias de Radiacao, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Pereira, S. [CICECO and Department of Physics, Universidade de Aveiro (Portugal); Beere, H.E.; Farrer, I.; Nicoll, C.A.; Ritchie, D.A. [Department of Physics, University of Cambridge (United Kingdom)

    2015-12-15

    Epitaxial Sc{sub x}Ga{sub 1-x}N films with 0 ≤ x ≤ 0.50 were grown using molecular beam epitaxy under metal-rich conditions. The Sc{sub x}Ga{sub 1-x}N growth rate increased with increasing Sc flux despite the use of metal-rich growth conditions, which is attributed to the catalytic decomposition of N{sub 2} induced by the presence of Sc. Microstructural analysis showed that phase-pure wurtzite Sc{sub x}Ga{sub 1-x}N was achieved up to x = 0.26, which is significantly higher than that previously reported for nitrogen-rich conditions, indicating that the use of metal-rich conditions can help to stabilise wurtzite phase Sc{sub x}Ga{sub 1-x}N. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. For progress in natural science: Materials international investigations of structural phase transformation and THz properties across metal–insulator transition in VO2/Al2O3 epitaxial films

    Directory of Open Access Journals (Sweden)

    Mengmeng Yang

    2015-10-01

    Full Text Available Vanadium dioxide (VO2 epitaxial thin films on (0001-oriented Al2O3 substrates were prepared using radio frequency (RF magnetron sputtering techniques. To study the metal-insulator-transition (MIT mechanism and extend the applications of VO2 epitaxial films at terahertz (THz band, temperature-dependent X-ray diffraction (XRD and THz time domain spectroscopy of the VO2 epitaxial films were performed. Both the lattice constants and THz transmission exhibited a similar and sharp transition that was similar to that observed for the electrical resistance. Consequently, the MIT of the VO2/Al2O3 epitaxial films should be co-triggered by the structural phase transition and electronic transition. Moreover, the very large resistance change (on the order of ~103 and THz response (with a transmission modulation ratio of ~87% in the VO2/Al2O3 epitaxial heterostructures are promising for electrical switch and electro-optical device applications.

  16. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  17. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  18. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  19. Modulating laser intensity profile ellipticity for microstructural control during metal additive manufacturing

    International Nuclear Information System (INIS)

    Roehling, Tien T.; Wu, Sheldon S.Q.; Khairallah, Saad A.; Roehling, John D.; Soezeri, S. Stefan; Crumb, Michael F.; Matthews, Manyalibo J.

    2017-01-01

    Additively manufactured (AM) metals are often highly textured, containing large columnar grains that initiate epitaxially under steep temperature gradients and rapid solidification conditions. These unique microstructures partially account for the massive property disparity existing between AM and conventionally processed alloys. Although equiaxed grains are desirable for isotropic mechanical behavior, the columnar-to-equiaxed transition remains difficult to predict for conventional solidification processes, and much more so for AM. In this study, the effects of laser intensity profile ellipticity on melt track macrostructures and microstructures were studied in 316L stainless steel. Experimental results were supported by temperature gradients and melt velocities simulated using the ALE3D multi-physics code. As a general trend, columnar grains preferentially formed with increasing laser power and scan speed for all beam profiles. However, when conduction mode laser heating occurs, scan parameters that result in coarse columnar microstructures using Gaussian profiles produce equiaxed or mixed equiaxed-columnar microstructures using elliptical profiles. By modulating spatial laser intensity profiles on the fly, site-specific microstructures and properties can be directly engineered into additively manufactured parts.

  20. Gas Metal Arc Welding. Welding Module 5. Instructor's Guide.

    Science.gov (United States)

    Missouri Univ., Columbia. Instructional Materials Lab.

    This guide is intended to assist vocational educators in teaching an eight-unit module in gas metal arc welding. The module is part of a welding curriculum that has been designed to be totally integrated with Missouri's Vocational Instruction Management System. The following topics are covered in the module: safety and testing, gas metal arc…

  1. A kinetic Monte Carlo simulation method of van der Waals epitaxy for atomistic nucleation-growth processes of transition metal dichalcogenides.

    Science.gov (United States)

    Nie, Yifan; Liang, Chaoping; Cha, Pil-Ryung; Colombo, Luigi; Wallace, Robert M; Cho, Kyeongjae

    2017-06-07

    Controlled growth of crystalline solids is critical for device applications, and atomistic modeling methods have been developed for bulk crystalline solids. Kinetic Monte Carlo (KMC) simulation method provides detailed atomic scale processes during a solid growth over realistic time scales, but its application to the growth modeling of van der Waals (vdW) heterostructures has not yet been developed. Specifically, the growth of single-layered transition metal dichalcogenides (TMDs) is currently facing tremendous challenges, and a detailed understanding based on KMC simulations would provide critical guidance to enable controlled growth of vdW heterostructures. In this work, a KMC simulation method is developed for the growth modeling on the vdW epitaxy of TMDs. The KMC method has introduced full material parameters for TMDs in bottom-up synthesis: metal and chalcogen adsorption/desorption/diffusion on substrate and grown TMD surface, TMD stacking sequence, chalcogen/metal ratio, flake edge diffusion and vacancy diffusion. The KMC processes result in multiple kinetic behaviors associated with various growth behaviors observed in experiments. Different phenomena observed during vdW epitaxy process are analysed in terms of complex competitions among multiple kinetic processes. The KMC method is used in the investigation and prediction of growth mechanisms, which provide qualitative suggestions to guide experimental study.

  2. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  3. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  4. Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)

    2017-11-15

    We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  6. Hydrogen reduction in GaAsN thin films by flow rate modulated chemical beam epitaxy

    International Nuclear Information System (INIS)

    Saito, K.; Nishimura, K.; Suzuki, H.; Ohshita, Y.; Yamaguchi, M.

    2008-01-01

    The amount of residual H in the GaAsN film grown by chemical beam epitaxy (CBE) can be decreased by flow rate modulation growth. Many H atoms in the films grown by CBE exist as N-H or N-H 2 structures. Although a higher growth temperature was required for decreasing the H concentration ([H]), it caused a decrease in the N concentration ([N]). A reduction in [H] while keeping [N] constant was necessary. By providing an intermittent supply of Ga source while continuously supplying As and N sources, [H] effectively decreased in comparison with the [H] value in the film grown at the same temperature by conventional CBE without reducing [N

  7. Plasmonic finite-thickness metal-semiconductor-metal waveguide as ultra-compact modulator

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia; Malureanu, Radu; Lavrinenko, Andrei

    2013-01-01

    We propose a plasmonic waveguide with semiconductor gain material for optoelectronic integrated circuits. We analyze properties of a finite-thickness metal-semiconductor-metal (F-MSM) waveguide to be utilized as an ultra-compact and fast plasmonic modulator. The InP-based semiconductor core allows...

  8. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  9. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  10. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  11. Metal organic vapor phase epitaxy growth of (Al)GaN heterostructures on SiC/Si(111) templates synthesized by topochemical method of atoms substitution

    DEFF Research Database (Denmark)

    Rozhavskaya, Mariia M.; Kukushkin, Sergey A.; Osipov, Andrey V.

    2017-01-01

    We report a novel approach for metal organic vapor phase epitaxy of (Al)GaN heterostructures on Si substrates. An approximately 90–100 nm thick SiC buffer layer is synthesized using the reaction between Si substrate and CO gas. Highresolution transmission electron microscopy reveals sharp...

  12. Light scattering by epitaxial VO{sub 2} films near the metal-insulator transition point

    Energy Technology Data Exchange (ETDEWEB)

    Lysenko, Sergiy, E-mail: sergiy.lysenko@upr.edu; Fernández, Felix; Rúa, Armando; Figueroa, Jose; Vargas, Kevin; Cordero, Joseph [Department of Physics, University of Puerto Rico, Mayaguez, Puerto Rico 00681 (United States); Aparicio, Joaquin [Department of Physics, University of Puerto Rico-Ponce, Ponce, Puerto Rico 00732 (United States); Sepúlveda, Nelson [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-05-14

    Experimental observation of metal-insulator transition in epitaxial films of vanadium dioxide is reported. Hemispherical angle-resolved light scattering technique is applied for statistical analysis of the phase transition processes on mesoscale. It is shown that the thermal hysteresis strongly depends on spatial frequency of surface irregularities. The transformation of scattering indicatrix depends on sample morphology and is principally different for the thin films with higher internal elastic strain and for the thicker films where this strain is suppressed by introduction of misfit dislocations. The evolution of scattering indicatrix, fractal dimension, surface power spectral density, and surface autocorrelation function demonstrates distinctive behavior which elucidates the influence of structural defects and strain on thermal hysteresis, twinning of microcrystallites, and domain formation during the phase transition.

  13. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  14. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    Science.gov (United States)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  15. Molecular beam epitaxy of quasi-freestanding transition metal disulphide monolayers on van der Waals substrates: a growth study

    Science.gov (United States)

    Hall, Joshua; Pielić, Borna; Murray, Clifford; Jolie, Wouter; Wekking, Tobias; Busse, Carsten; Kralj, Marko; Michely, Thomas

    2018-04-01

    Based on an ultra-high vacuum compatible two-step molecular beam epitaxy synthesis with elemental sulphur, we grow clean, well-oriented, and almost defect-free monolayer islands and layers of the transition metal disulphides MoS2, TaS2 and WS2. Using scanning tunneling microscopy and low energy electron diffraction we investigate systematically how to optimise the growth process, and provide insight into the growth and annealing mechanisms. A large band gap of 2.55 eV and the ability to move flakes with the scanning tunneling microscope tip both document the weak interaction of MoS2 with its substrate consisting of graphene grown on Ir(1 1 1). As the method works for the synthesis of a variety of transition metal disulphides on different substrates, we speculate that it could be of great use for providing hitherto unattainable high quality monolayers of transition metal disulphides for fundamental spectroscopic investigations.

  16. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  17. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  18. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  19. Topotactic Metal-Insulator Transition in Epitaxial SrFeOx Thin Films.

    Science.gov (United States)

    Khare, Amit; Shin, Dongwon; Yoo, Tae Sup; Kim, Minu; Kang, Tae Dong; Lee, Jaekwang; Roh, Seulki; Jung, In-Ho; Hwang, Jungseek; Kim, Sung Wng; Noh, Tae Won; Ohta, Hiromichi; Choi, Woo Seok

    2017-10-01

    Topotactic phase transformation enables structural transition without losing the crystalline symmetry of the parental phase and provides an effective platform for elucidating the redox reaction and oxygen diffusion within transition metal oxides. In addition, it enables tuning of the emergent physical properties of complex oxides, through strong interaction between the lattice and electronic degrees of freedom. In this communication, the electronic structure evolution of SrFeO x epitaxial thin films is identified in real-time, during the progress of reversible topotactic phase transformation. Using real-time optical spectroscopy, the phase transition between the two structurally distinct phases (i.e., brownmillerite and perovskite) is quantitatively monitored, and a pressure-temperature phase diagram of the topotactic transformation is constructed for the first time. The transformation at relatively low temperatures is attributed to a markedly small difference in Gibbs free energy compared to the known similar class of materials to date. This study highlights the phase stability and reversibility of SrFeO x thin films, which is highly relevant for energy and environmental applications exploiting the redox reactions. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  1. AASERT: Rare Earth Arsenides, Magnetic Semi-Metal Epitaxy for Opto-Electronics

    National Research Council Canada - National Science Library

    Palmstrom, Chris

    2000-01-01

    ...). An ultra-high vacuum sample transfer system and a variable temperature scanning tunneling microscope were attached to two already existing molecular beam epitaxy systems and surface science equipment...

  2. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  3. Water Splitting over Epitaxially Grown InGaN Nanowires on-Metallic Titanium/Silicon Template: Reduced Interfacial Transfer Resistance and Improved Stability

    KAUST Repository

    Ebaid, Mohamed

    2018-03-09

    Water splitting using InGaN-based photocatalysts may have a great contribution in future renewable energy production systems. Among the most important parameters to solve are those related to substrate lattice-matching compatibility. Here, we directly grow InGaN nanowires (NWs) on a metallic Ti/Si template, for improving water splitting performance compared to a bare Si substrate. The open circuit potential of the epitaxially grown InGaN NWs on metallic Ti was almost two times that of those grown on Si substrate. The interfacial transfer resistance was also reduced significantly after introducing the metallic Ti interlayer. An applied-bias-photon-to-current conversion efficiency of 2.2% and almost unity Faradic efficiency for hydrogen generation were achieved using this approach. The InGaN NWs grown on Ti showed improved stability of hydrogen generation under continuous operation conditions, when compared to those grown on Si, emphasizing the role of the semiconductor-on-metal approach in enhancing the overall efficiency of water splitting catalysts.

  4. Cs/CsPbX3 (X = Br, Cl) epitaxial heteronanocrystals with magic-angle stable/metastable grain boundary

    Science.gov (United States)

    Zhang, Yumeng; Fan, Baolu; Wu, Wenhui; Fan, Jiyang

    2017-05-01

    Metal-semiconductor heteronanostructures are crucial building blocks of nanoscale electronic and optoelectronic devices. However, the lattice misfit remains a challenge in constructing heteronanostructures. Perovskite nanocrystals are superior candidates for constructing nanodevices owing to excellent optical, ferroelectric, and superconducting properties. We report the epitaxial growth of lattice-matched Cs/CsPbBr3 metal-semiconductor heteronanocrystals in a liquid medium. The well-crystallized ultrathin Cs layers grow epitaxially on the surfaces of colloidal CsPbBr3 nanocrystals, forming heteronanocrystals with interface diameters of several nanometers. Most of them are pseudomorphic with coherent interfaces free from dislocations, and the others exhibit discrete high-angle grain boundaries. The model based on the calculation of the elastic potential energy of the epilayer and analysis of the near-coincidence sites explains well the experimental result. The analysis shows that the excellent lattice match between the metal and the semiconductor ensures the ideal epitaxial-growth of both Cs/CsPbBr3 and Cs/CsPbCl3 heteronanocrystals. Such metal/semiconductor heteronanocrystals pave the way for developing perovskite-based nanodevices.

  5. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  6. 279 Watt Metal-Wrap-Through module using industrial processes

    Energy Technology Data Exchange (ETDEWEB)

    Guillevin, N.; Heurtault, B.; Geerligs, L.J.; Anker, J.; Van Aken, B.B.; Bennett, I.J.; Jansen, M.J.; Berkeveld, L.D.; Weeber, A.W.; Bultman, J.H. [ECN Solar Energy, PO Box 1, 1755 ZG Petten (Netherlands); Wenchao, Zhao; Jianming, Wang; Ziqian, Wang; Yingle, Chen; Yanlong, Shen; Zhiyan, Hu; Gaofei, Li; Jianhui, Chen; Bo, Yu; Shuquan, Tian; Jingfeng, Xiong [Yingli Solar, 3399 Chaoyang North Street, Baoding (China)

    2012-09-15

    This paper describes results of metal wrap through (MWT) cells produced from n-type Czochralski silicon wafers, and modules produced from those cells. The use of n-type silicon as base material allows for high efficiencies: for front emitter contacted industrial cells, efficiencies up to 20% have been reported. MWT cells allow even higher cell efficiency due to reduced front metal coverage, and additionally full back-contacting of the MWT cells in a module results in reduced cell to module (CTM) fill factor losses. MWT cells were produced by industrial process technologies. The efficiency of the MWT cells reproducibly exceeds the efficiency of front contact cells based on the same technology by about 0.2-0.3%, and routes for further improvement are analyzed. 60-cell modules were produced from both types of cells (MWT and H-pattern front emitter). In a direct module performance comparison, the MWT module, based on integrated backfoil, produced 3% higher power output than the comparable tabbed front emitter contact module. CTM current differences arise from the higher packing density, and in this experiment from a lower reflectance of the backfoil, in MWT modules. CTM FF differences are related to resistive losses in copper circuitry on the backfoil versus tabs. The CTM FF loss of the MWT module was reduced by 2.2%abs compared to the tabbed front emitter contact module. Finally, simple process optimizations were tested to improve the n-type MWT cell and module efficiency. A module made using MWT cells of 19.6% average efficiency resulted in a power output of 279W. The cell and module results are analyzed and routes for improvements are discussed.

  7. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  8. Magnetoresistance measurements of different geometries on epitaxial InP and GaInAs/InP layers

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    Hall effect measurement is the main method of the determination of the charge carrier mobility in semiconductors. Magnetoresistance measurements are much less used for the same purpose, perhaps because of the influence of the sample geometry or of the scattering factor differing from the Hall factor. On the other hand, in the case of the epitaxial layers, all these measurements require semi-insulating substrate. In this work two aspects of the magnetoresistance measurements and use of them is demonstrated. First classical geometrical magnetoresistance measurements on InP are studied. On the other hand, a method is presented and applied to sandwich structures in order to measure the geometrical magnetoresistance on epitaxial layers grown on conducting substrates. Resistance of structures metal-epitaxial layer-substrate-metal is measured in the dependence on the angle between the current and magnetic field vectors.

  9. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  10. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  11. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  12. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  13. Field-induced resistance switching at metal/perovskite manganese oxide interface

    International Nuclear Information System (INIS)

    Ohkubo, I.; Tsubouchi, K.; Harada, T.; Kumigashira, H.; Itaka, K.; Matsumoto, Y.; Ohnishi, T.; Lippmaa, M.; Koinuma, H.; Oshima, M.

    2008-01-01

    Planar type metal/insulator/metal structures composed of an epitaxial perovskite manganese oxide layer and various metal electrodes were prepared for electric-field-induced resistance switching. Only the electrode pairs including Al show good resistance switching and the switching ratio reaches its maximum of 1000. This resistance switching occurs around the interface between Al electrodes and epitaxial perovskite manganese oxide thin films

  14. Nanopatterned bulk metallic glass-based biomaterials modulate macrophage polarization.

    Science.gov (United States)

    Shayan, Mahdis; Padmanabhan, Jagannath; Morris, Aaron H; Cheung, Bettina; Smith, Ryan; Schroers, Jan; Kyriakides, Themis R

    2018-06-01

    Polarization of macrophages by chemical, topographical and mechanical cues presents a robust strategy for designing immunomodulatory biomaterials. Here, we studied the ability of nanopatterned bulk metallic glasses (BMGs), a new class of metallic biomaterials, to modulate murine macrophage polarization. Cytokine/chemokine analysis of IL-4 or IFNγ/LPS-stimulated macrophages showed that the secretion of TNF-α, IL-1α, IL-12, CCL-2 and CXCL1 was significantly reduced after 24-hour culture on BMGs with 55 nm nanorod arrays (BMG-55). Additionally, under these conditions, macrophages increased phagocytic potential and exhibited decreased cell area with multiple actin protrusions. These in vitro findings suggest that nanopatterning can modulate biochemical cues such as IFNγ/LPS. In vivo evaluation of the subcutaneous host response at 2 weeks demonstrated that the ratio of Arg-1 to iNOS increased in macrophages adjacent to BMG-55 implants, suggesting modulation of polarization. In addition, macrophage fusion and fibrous capsule thickness decreased and the number and size of blood vessels increased, which is consistent with changes in macrophage responses. Our study demonstrates that nanopatterning of BMG implants is a promising technique to selectively polarize macrophages to modulate the immune response, and also presents an effective tool to study mechanisms of macrophage polarization and function. Implanted biomaterials elicit a complex series of tissue and cellular responses, termed the foreign body response (FBR), that can be influenced by the polarization state of macrophages. Surface topography can influence polarization, which is broadly characterized as either inflammatory or repair-like. The latter has been linked to improved outcomes of the FBR. However, the impact of topography on macrophage polarization is not fully understood, in part, due to a lack of high moduli biomaterials that can be reproducibly processed at the nanoscale. Here, we studied

  15. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  16. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  17. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  18. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  19. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  20. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    OpenAIRE

    María Eugenia Dávila; Guy Le Lay

    2016-01-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established o...

  1. Plasmonic modulator based on thin metal-semiconductor-metal waveguide with gain core

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia; Malureanu, Radu; Lavrinenko, Andrei

    2013-01-01

    We focus on plasmonic modulators with a gain core to be implemented as active nanodevices in photonic integrated circuits. In particular, we analyze metal–semiconductor–metal (MSM) waveguides with InGaAsP-based active material layers. A MSM waveguide enables high field localization and therefore...

  2. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  3. Ultra-fine metal gate operated graphene optical intensity modulator

    Science.gov (United States)

    Kou, Rai; Hori, Yosuke; Tsuchizawa, Tai; Warabi, Kaori; Kobayashi, Yuzuki; Harada, Yuichi; Hibino, Hiroki; Yamamoto, Tsuyoshi; Nakajima, Hirochika; Yamada, Koji

    2016-12-01

    A graphene based top-gate optical modulator on a standard silicon photonic platform is proposed for the future optical telecommunication networks. On the basis of the device simulation, we proposed that an electro-absorption light modulation can be realized by an ultra-narrow metal top-gate electrode (width less than 400 nm) directly located on the top of a silicon wire waveguide. The designed structure also provides excellent features such as carrier doping and waveguide-planarization free fabrication processes. In terms of the fabrication, we established transferring of a CVD-grown mono-layer graphene sheet onto a CMOS compatible silicon photonic sample followed by a 25-nm thick ALD-grown Al2O3 deposition and Source-Gate-Drain electrodes formation. In addition, a pair of low-loss spot-size converter for the input and output area is integrated for the efficient light source coupling. The maximum modulation depth of over 30% (1.2 dB) is observed at a device length of 50 μm, and a metal width of 300 nm. The influence of the initial Fermi energy obtained by experiment on the modulation performance is discussed with simulation results.

  4. Sharpness and intensity modulation of the metal-insulator transition in ultrathin VO2 films by interfacial structure manipulation

    Science.gov (United States)

    McGee, Ryan; Goswami, Ankur; Pal, Soupitak; Schofield, Kalvin; Bukhari, Syed Asad Manzoor; Thundat, Thomas

    2018-03-01

    Vanadium dioxide (VO2) undergoes a structural transformation from monoclinic (insulator) to tetragonal (metallic) upon heating above 340 K, accompanied by abrupt changes to its electronic, optical, and mechanical properties. Not only is this transition scientifically intriguing, but there are also numerous applications in sensing, memory, and optoelectronics. Here we investigate the effect different substrates and the processing conditions have on the characteristics metal-insulator transition (MIT), and how the properties can be tuned for specific applications. VO2 thin films were grown on c -plane sapphire (0001) and p-type silicon by pulsed laser deposition. High-resolution x-ray diffraction along with transmission electron microscopy reveals textured epitaxial growth on sapphire by domain-matching epitaxy, while the presence of a native oxide layer on silicon prevented any preferential growth resulting in a polycrystalline film. An orientation relationship of (010)VO2|| (0001)Al 2O3 was established for VO2 grown on sapphire, while no such relationship was found for VO2 grown on silicon. Surface-energy minimization is the driving force behind grain growth, as the lowest energy VO2 plane grew on silicon, while on sapphire the desire for epitaxial growth was dominant. Polycrystallinity of films grown on silicon caused a weaker and less prominent MIT than observed on sapphire, whose MIT was higher in magnitude and steeper in slope. The position of the MIT was shown to depend on the competing effects of misfit strain and grain growth. Higher deposition temperatures caused an increase in the MIT, while compressive strain resulted in a decreased MIT.

  5. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  6. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  7. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  8. Compatibility of copper-electroplated cells with Metal Wrap Through module materials

    Energy Technology Data Exchange (ETDEWEB)

    Bennett, I.J.; Geerligs, L.J.; Olson, C.L.; Goris, M.J.A.A. [ECN Solar Energy, Petten (Netherlands)

    2013-10-16

    As part of the European FP7 RandD project 'Cu-PV', the compatibility of copper-electroplated metal wrapthrough (MWT) cells with conductive adhesives has been investigated. The objectives of this project include to reduce, by the use of copper plating, the amount of silver utilized in cell manufacturing, and to demonstrate the compatibility of high-power n-type back-contact module technology with copper-plated cells. The overall goal is to reduce the impact on the environment of cell and module manufacture. MWT module technology as developed by ECN uses conductive adhesive to make the interconnection between cells and a conductive backsheet foil. These adhesives have been proved to result in very reliable modules in the case of cells with fired silver metallization. To determine the compatibility of conductive adhesive with copper-plated cells, component tests were performed, followed by the manufacture of modules with copperplated cells and conductive adhesive interconnections. Climate chamber testing of these modules showed that the adhesive is compatible with the copper-plated cells. The next steps include further optimization of the plating process and additional testing at the module level.

  9. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  10. Lattice dynamics and electron/phonon interactions in epitaxial transition-metal nitrides

    Science.gov (United States)

    Mei, Antonio Rodolph Bighetti

    Transition metal (TM) nitrides, due to their unique combination of remarkable physical properties and simple NaCl structure, are presently utilized in a broad range of applications and as model systems in the investigation of complex phenomena. Group-IVB nitrides TiN, ZrN, and HfN have transport properties which include superconductivity and high electrical conductivity; consequentially, they have become technologically important as electrodes and contacts in the semiconducting and superconducting industries. The Group-VB nitride VN, which exhibits enhanced ductility, is a fundamental component in superhard and tough nanostructured hard coatings. In this thesis, I investigate the lattice dynamics responsible for controlling superconductivity and electrical conductivities in Group-IVB nitrides and elasticity and structural stability of the NaCl-structure Group-VB nitride VN. Our group has already synthesized high-quality epitaxial TiN, HfN, and CeN layers on MgO(001) substrates. By irradiating the growth surface with high ion fluxes at energies below the bulk lattice-atom displacement threshold, dense epitaxial single crystal TM nitride films with extremely smooth surfaces have been grown using ultra-high vacuum magnetically-unbalanced magnetron sputter deposition. Using this approach, I completed the Group-IVB nitride series by growing epitaxial ZrN/MgO(001) films and then grew Group-VB nitride VN films epitaxially on MgO(001), MgO(011), and MgO(111). The combination of high-resolution x-ray diffraction (XRD) reciprocal lattice maps (RLMs), high-resolution cross-sectional transmission electron microscopy (HR-XTEM), and selected-area electron diffraction (SAED) show that single-crystal stoichiometric ZrN films grown at 450 °C are epitaxially oriented cube-on-cube with respect to their MgO(001) substrates, (001) ZrN||(001)MgO and [100]ZrN||[100]MgO. The layers are essentially fully relaxed with a lattice parameter of 0.4575 nm. X-ray reflectivity results reveal that

  11. Physical-chemical and technological aspects of the preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O by method of metal organic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Stejskal, J.; Nevriva, M.; Leitner, J.

    1995-01-01

    The method of metal organic vapour phase epitaxy (MO VPE) was used for preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O. The suitable chemical precursors (β-diketonates) on the literature data and of the own thermodynamic calculations were selected. The optimal thermodynamic data and thermodynamic stability of the prepared samples were determined

  12. Properties of epitaxial ferroelectric PbZr0.56Ti0.44O3 heterostructures with La0.5Sr0.5CoO3 metallic oxide electrodes

    International Nuclear Information System (INIS)

    Wang, F.; Leppaevuori, S.

    1997-01-01

    PbZr 0.56 Ti 0.44 O 3 (PZT) epitaxial ferroelectric thin films on a LaAlO 3 (100) substrate, covered by a metallic oxide electrode La 0.5 Sr 0.5 CoO 3 (LSCO) are demonstrated in this work. The films are fabricated by the sol endash gel method and effort was focused on thermal processing to obtain the desired epitaxial heterostructure. The dielectric and ferroelectric properties of PZT thin films were measured and it was found that they are as good as in the films deposited by other thin-film methods. The dielectric constant and the dissipation factor of the PZT films are, respectively, about 500 and 0.06 below 20 kHz. The remanent polarization P r is about 27 μC/cm 2 and the coercive field E c is about 50 kV/cm. It was found that the ferroelectric properties were significantly influenced by the microstructure of the PZT layers. The present study also showed that the fatigue characteristics of the epitaxial heterostructure with LSCO electrodes under a reversed electrical field are far superior to those obtained with a polycrystalline ferroelectric layer on Pt bottom electrodes. A low leakage current, about 3 μA/cm 2 at 0.5 MV/cm, was obtained for these epitaxial films. In addition, the optical transmittance spectrum of PZT thin films was also measured and the conduction mechanism is discussed.copyright 1997 American Institute of Physics

  13. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  14. Coupled quantum dot-ring structures by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Koguchi, N; Sanguinetti, S

    2011-01-01

    The fabrication, by pure self-assembly, of GaAs/AlGaAs dot-ring quantum nanostructures is presented. The growth is performed via droplet epitaxy, which allows for the fine control, through As flux and substrate temperature, of the crystallization kinetics of nanometer scale metallic Ga reservoirs deposited on the surface. Such a procedure permits the combination of quantum dots and quantum rings into a single, multi-functional, complex quantum nanostructure.

  15. Schottky barriers based on metal nanoparticles deposited on InP epitaxial layers

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Yatskiv, Roman

    2013-01-01

    Roč. 28, č. 4 (2013) ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Colloidal graphite * Epitaxial growth * Schottky barrier diodes Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.206, year: 2013

  16. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  17. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  18. A plasmonic modulator based on metal-insulator-metal waveguide with barium titanate core

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia; Lavrinenko, Andrei

    2013-01-01

    We design a plasmonic modulator which can be utilized as a compact active device in photonic integrated circuits. The active material, barium titanate (BaTiO3), is sandwiched between metal plates and changes its refractive index under applied voltage. Some degree of switching of ferroelectric...

  19. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  20. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.

    2012-09-05

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4\\'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  1. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.; Shekhah, O.; Stammer, X.; Arslan, H.K.; Liu, B.; Schupbach, B.; Terfort, A.; Woll, C.

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  2. Investigation into the use of molecular hydrogen on the growth of gallium nitride via metal-organic molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Billingsley, Daniel; Pritchett, David; Henderson, Walter; Carver, Alexander G.; Burnham, Shawn D.; Doolittle, W.A. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)

    2008-07-01

    Molecular hydrogen (H{sub 2}) has been investigated as a means to improve ammonia nitridation efficiency and attempts to reduce carbon contamination in ammonia-based metal-organic molecular beam epitaxy (MOMBE). A 30% improvement in crystalline quality, inferred from XRD, as well as an increase in subsequent GaN bulk growth rate was observed when bare sapphire was subject to H{sub 2} annealing before nitridation. However, the use of H{sub 2} during GaN homoepitaxy on GaN templates resulted in increased carbon contamination and decreased growth rate of GaN. The results demonstrate promise and proper uses of H{sub 2} during GaN growth under certain conditions. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Science.gov (United States)

    Liu, Jinxuan; Shekhah, Osama; Stammer, Xia; Arslan, Hasan K.; Liu, Bo; Schüpbach, Björn; Terfort, Andreas; Wöll, Christof

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4’-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  4. Liquid metal blanket module testing and design for ITER/TIBER II

    International Nuclear Information System (INIS)

    Mattas, R.F.; Cha, Y.; Finn, P.A.; Majumdar, S.; Picologlou, B.; Stevens, H.; Turner, L.

    1988-05-01

    A major goal for ITER is the testing of nuclear components to demonstrate the integrated performance of the most attractive concepts that can lead to a commercial fusion reactor. As part of the ITER/TIBER II study, the test program and design of test models were examined for a number of blanket concepts. The work at Argonne National Laboratory focused on self-cooled liquid metal blankets. A test program for liquid metal blankets was developed based upon the ITER/TIBER II operating schedule and the specific data needs to resolve the key issues for liquid metals. Testing can begin early in reactor operation with liquid metal MHD tests to confirm predictive capability. Combined heat transfer/MHD tests can be performed during initial plasma operation. After acceptable heat transfer performance is verified, tests to determine the integrated high temperature performance in a neutron environment can begin. During the high availability phase operation, long term performance and reliability tests will be performed. It is envisioned that a companion test program will be conducted outside ITER to determine behavior under severe accident conditions and upper performance limits. A detailed design of a liquid metal test module and auxiliary equipment was also developed. The module followed the design of the TPSS blanket. Detailed analysis of the heat transfer and tritium systems were performed, and the overall layout of the systems was determined. In general, the blanket module appears to be capable of addressing most of the testing needs. 8 refs., 27 figs., 11 tabs

  5. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  6. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  7. Reversal of lattice, electronic structure, and magnetism in epitaxial SrCoOx thin films

    Science.gov (United States)

    Jeen, H.; Choi, W. S.; Lee, J. H.; Cooper, V. R.; Lee, H. N.; Seo, S. S. A.; Rabe, K. M.

    2014-03-01

    SrCoOx (x = 2.5 - 3.0, SCO) is an ideal material to study the role of oxygen content for electronic structure and magnetism, since SCO has two distinct topotactic phases: the antiferromagnetic insulating brownmillerite SrCoO2.5 and the ferromagnetic metallic perovskite SrCoO3. In this presentation, we report direct observation of a reversible lattice and electronic structure evolution in SrCoOx epitaxial thin films as well as different magnetic and electronic ground states between the topotactic phases.[2] By magnetization measurements, optical absorption, and transport measurements drastically different electronic and magnetic ground states are found in the epitaxially grown SrCoO2.5 and SrCoO3 thin films by pulsed laser epitaxy. First-principles calculations confirm substantial, which originate from the modification in the Co valence states and crystallographic structures. By real-time spectroscopic ellipsometry, the two electronically and magnetically different phases can be reversibly changed by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides. The work was supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division.

  8. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Directory of Open Access Journals (Sweden)

    Christof Wöll

    2012-09-01

    Full Text Available The liquid phase epitaxy (LPE of the metal-organic framework (MOF HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA, 4’-carboxyterphenyl-4-methanethiol (TPMTA and 9-carboxy-10-(mercaptomethyltriptycene (CMMT. The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100 direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111 direction.

  9. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  10. Influence of the interface on growth rates in AlN/GaN short period superlattices via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-11-01

    AlN/GaN short period superlattices are well suited for a number of applications including, but not limited to, digital alloys, intersubband devices, and emitters. In this work, AlN/GaN superlattices with periodicities ranging from 10 to 20 Å have been grown via metal organic vapor phase epitaxy in order to investigate the influence of the interface on the binary alloy growth rates. The GaN growth rate at the interface was observed to decrease with increasing GaN thickness while the AlN growth rate remained constant. This has been attributed to a decrease in the decomposition rate of GaN at the hetero-interface as seen in other III-V hetero-structures.

  11. Subsurface contributions in epitaxial rare-earth silicides

    Energy Technology Data Exchange (ETDEWEB)

    Luebben, Olaf; Shvets, Igor V. [Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), School of Physics, Trinity College, Dublin (Ireland); Cerda, Jorge I. [Instituto de Ciencia de Materiales de Madrid, ICMM-CSIC, Cantoblanco, Madrid (Spain); Chaika, Alexander N. [Institute of Solid State Physics, RAS, Chernogolovka (Russian Federation)

    2015-07-01

    Metallic thin films of heavy rare-earth silicides epitaxially grown on Si(111) substrates have been widely studied in recent years because of their appealing properties: unusually low values of the Schottky barrier height, an abrupt interface, and a small lattice mismatch. Previous studies also showed that these silicides present very similar atomic and electronic structures. Here, we examine one of these silicides (Gd{sub 3}Si{sub 5}) using scanning tunneling microscopy (STM) image simulations that go beyond the Tersoff-Hamann approach. These simulations strongly indicate an unusual STM depth sensitivity for this system.

  12. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  13. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  14. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  15. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  16. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  17. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  18. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    International Nuclear Information System (INIS)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde; Liu, Chao; May Lau, Kei

    2014-01-01

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing

  19. One-pot growth of two-dimensional lateral heterostructures via sequential edge-epitaxy

    Science.gov (United States)

    Sahoo, Prasana K.; Memaran, Shahriar; Xin, Yan; Balicas, Luis; Gutiérrez, Humberto R.

    2018-01-01

    Two-dimensional heterojunctions of transition-metal dichalcogenides have great potential for application in low-power, high-performance and flexible electro-optical devices, such as tunnelling transistors, light-emitting diodes, photodetectors and photovoltaic cells. Although complex heterostructures have been fabricated via the van der Waals stacking of different two-dimensional materials, the in situ fabrication of high-quality lateral heterostructures with multiple junctions remains a challenge. Transition-metal-dichalcogenide lateral heterostructures have been synthesized via single-step, two-step or multi-step growth processes. However, these methods lack the flexibility to control, in situ, the growth of individual domains. In situ synthesis of multi-junction lateral heterostructures does not require multiple exchanges of sources or reactors, a limitation in previous approaches as it exposes the edges to ambient contamination, compromises the homogeneity of domain size in periodic structures, and results in long processing times. Here we report a one-pot synthetic approach, using a single heterogeneous solid source, for the continuous fabrication of lateral multi-junction heterostructures consisting of monolayers of transition-metal dichalcogenides. The sequential formation of heterojunctions is achieved solely by changing the composition of the reactive gas environment in the presence of water vapour. This enables selective control of the water-induced oxidation and volatilization of each transition-metal precursor, as well as its nucleation on the substrate, leading to sequential edge-epitaxy of distinct transition-metal dichalcogenides. Photoluminescence maps confirm the sequential spatial modulation of the bandgap, and atomic-resolution images reveal defect-free lateral connectivity between the different transition-metal-dichalcogenide domains within a single crystal structure. Electrical transport measurements revealed diode-like responses across the

  20. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  1. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Tseng, Chien-Chih; Li, Jun; Shi, Yumeng; Wei, Nini; Zhang, Daliang; Consiglio, Giuseppe Bernardo; Prabaswara, Aditya; Alhamoud, Abdullah Ali; Albadri, Abdulrahman  M.; Alyamani, Ahmed Y.; Zhang, Xixiang; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  2. InGaN/GaN nanowires epitaxy on large-area MoS2 for high-performance light-emitters

    KAUST Repository

    Zhao, Chao

    2017-05-18

    The recent study of a wide range of layered transition metal dichalcogenides (TMDCs) has created a new era for device design and applications. In particular, the concept of van der Waals epitaxy (vdWE) utilizing layered TMDCs has the potential to broaden the family of epitaxial growth techniques beyond the conventional methods. We report herein, for the first time, the monolithic high-power, droop-free, and wavelength tunable InGaN/GaN nanowire light-emitting diodes (NW-LEDs) on large-area MoS2 layers formed by sulfurizing entire Mo substrates. MoS2 serves as both a buffer layer for high-quality GaN nanowires growth and a sacrificial layer for epitaxy lift-off. The LEDs obtained on nitridated MoS2 via quasi vdWE show a low turn-on voltage of ∼2 V and light output power up to 1.5 mW emitting beyond the “green gap”, without an efficiency droop up to the current injection of 1 A (400 A cm−2), by virtue of high thermal and electrical conductivities of the metal substrates. The discovery of the nitride/layered TMDCs/metal heterostructure platform also ushers in the unparalleled opportunities of simultaneous high-quality nitrides growth for high-performance devices, ultralow-profile optoelectronics, energy harvesting, as well as substrate reusability for practical applications.

  3. AlGaInAs EML having high extinction ratios fabricated by identical epitaxial layer technique

    Science.gov (United States)

    Deng, Qiufang; Guo, Lu; Liang, Song; Sun, Siwei; Xie, Xiao; Zhu, Hongliang; Wang, Wei

    2018-04-01

    AlGaInAs electroabsorption-modulated lasers (EMLs) fabricated by identical epitaxial layer technique are demonstrated. The EML device shows an infinite characteristic temperature when the temperature ranges from 20 oC to 30 oC. The integrated modulator has static extinction ratios of larger than 20 dB at a reverse bias voltage of - 2 V. The small signal modulation bandwidth of the modulator is larger than 11 GHz. At 10 Gb/s data modulation, the dynamic extinction ratio is about 9.5 dB in a back to back test configuration. Because only a simple fabrication procedure is needed, our EMLs are promising low cost light sources for optical fiber transmission applications.

  4. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  5. Magnetic collimation and metal foil filtering for electron range and fluence modulation

    International Nuclear Information System (INIS)

    Phaisangittisakul, N.; D'Souza, W.D.; Ma Lijun

    2004-01-01

    We investigated the use of magnetically collimated electron beams together with metal filters for electron fluence and range modulation. A longitudinal magnetic field collimation method was developed to reduce skin dose and to improve the electron beam penumbra. Thin metal foils were used to adjust the energies of magnetically collimated electrons. The effects for different types of foils such as Al, Be, Cu, Pb, and Ti were studied using Monte Carlo calculations. An empirical pencil beam dose calculation model was developed to calculate electron dose distributions under magnetic collimation and foil modulation. An optimization method was developed to produce conformal dose distributions for simulated targets such as a horseshoe-shaped target. Our results show that it is possible to produce an electron depth dose enhancement peak using similar techniques of producing a spread-out Bragg peak. In conclusion, our study demonstrates new aspects of using magnetic collimation and foil filtration for producing fluence and range modulated electron dose distributions

  6. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  7. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  8. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    Science.gov (United States)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  9. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  10. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  11. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  12. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  13. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  14. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  15. Temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact

    International Nuclear Information System (INIS)

    Hu, C.Y.; Qin, Z.X.; Feng, Z.X.; Chen, Z.Z.; Ding, Z.B.; Yang, Z.J.; Yu, T.J.; Hu, X.D.; Yao, S.D.; Zhang, G.Y.

    2006-01-01

    The temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact were studied with Rutherford backscattering spectroscopy/channeling (RBS/C) and synchrotron X-ray diffraction (XRD). It is found that the Au diffuses to the surface of p-GaN to form an epitaxial structure on p-GaN after annealing at 450 deg. C. At the same time, the O diffuses to the metal-semiconductor interface and forms NiO. Both of them are suggested to be responsible for the sharp decrease in the specific contact resistance (ρ c ) at 450 deg. C. At 500 deg. C, the epitaxial structure of Au develops further and the O also diffuses deeper into the interface. As a result, the ρ c reaches the lowest value at this temperature. However, when annealing temperature reaches 600 deg. C, part or all of the interfacial NiO is detached from the p-GaN and diffuses out, which cause the ρ c to increase greatly

  16. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  17. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. High voltage photo-switch package module having encapsulation with profiled metallized concavities

    Science.gov (United States)

    Sullivan, James S; Sanders, David M; Hawkins, Steven A; Sampayan, Stephen A

    2015-05-05

    A photo-conductive switch package module having a photo-conductive substrate or wafer with opposing electrode-interface surfaces metalized with first metallic layers formed thereon, and encapsulated with a dielectric encapsulation material such as for example epoxy. The first metallic layers are exposed through the encapsulation via encapsulation concavities which have a known contour profile, such as a Rogowski edge profile. Second metallic layers are then formed to line the concavities and come in contact with the first metal layer, to form profiled and metalized encapsulation concavities which mitigate enhancement points at the edges of electrodes matingly seated in the concavities. One or more optical waveguides may also be bonded to the substrate for coupling light into the photo-conductive wafer, with the encapsulation also encapsulating the waveguides.

  19. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  20. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Eddaoudi, Mohamed

    2016-01-01

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method

  1. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  2. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  3. Reactive molecular beam epitaxial growth and in situ photoemission spectroscopy study of iridate superlattices

    Directory of Open Access Journals (Sweden)

    C. C. Fan

    2017-08-01

    Full Text Available High-quality (001-oriented perovskite [(SrIrO3m/(SrTiO3] superlattices (m=1/2, 1, 2, 3 and ∞ films have been grown on SrTiO3(001 epitaxially using reactive molecular beam epitaxy. Compared to previously reported superlattices synthesized by pulsed laser deposition, our superlattices exhibit superior crystalline, interface and surface structure, which have been confirmed by high-resolution X-ray diffraction, scanning transmission electron microscopy and atomic force microscopy, respectively. The transport measurements confirm a novel insulator-metal transition with the change of dimensionality in these superlattices, and our first systematic in situ photoemission spectroscopy study indicates that the increasing strength of effective correlations induced by reducing dimensionality would be the dominating origin of this transition.

  4. High power n-type metal-wrap-through cells and modules using industrial processes

    Energy Technology Data Exchange (ETDEWEB)

    Guillevin, N.; Heurtault, B.J.B.; Geerligs, L.J.; Van Aken, B.B.; Bennett, I.J.; Jansen, M.J.; Weeber, A.W.; Bultman, J.H. [ECN Solar Energy, P.O. Box 1, NL-1755 ZG Petten (Netherlands); Jianming, Wang; Ziqian, Wang; Jinye, Zhai; Zhiliang, Wan; Shuquan, Tian; Wenchao, Zhao; Zhiyan, Hu; Gaofei, Li; Bo, Yu; Jingfeng, Xiong [Yingli Green Energy Holding Co.,Ltd. 3399 North Chaoyang Avenue, Baoding (China)

    2013-10-15

    This paper reviews our recent progress in the development of metal wrap through (MWT) cells and modules, produced from n-type Czochralski silicon wafers. The use of n-type silicon as base material allows for high efficiencies: for front emitter-contacted industrial cells, efficiencies above 20% have been reported. N-type MWT (nMWT) cells produced by industrial process technologies allow even higher efficiency due to reduced front metal coverage. Based on the same industrial technology, the efficiency of the bifacial n-MWT cells exceeds the efficiency of the n-type front-and-rear contact and bifacial 'Pasha' technology (n-Pasha) by 0.1-0.2% absolute, with a maximum nMWT efficiency of 20.1% so far. Additionally, full back-contacting of the MWT cells in a module results in reduced cell to module (CTM) fill factor losses. In a direct 60-cell module performance comparison, the n-MWT module, based on integrated backfoil, produced 3% higher power output than the comparable tabbed front emitter-contacted n-Pasha module. Thanks to reduced resistive losses in copper circuitry on the backfoil compared to traditional tabs, the CTM FF loss of the MWT module was reduced by about 2.2%abs. compared to the tabbed front emitter contact module. A full-size module made using MWT cells of 19.6% average efficiency resulted in a power output close to 280W. Latest results of the development of the n-MWT technology at cell and module level are discussed in this paper, including a recent direct comparison run between n-MWT and n-Pasha cells and results of n-MWT cells from 140{mu}m thin mono-crystalline wafers, with only very slight loss (1% of Isc) for the thin cells. Also reverse characteristics and effects of reverse bias for extended time at cell and module level are reported, where we find a higher tolerance of MWT modules than tabbed front contact modules for hotspots.

  5. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  6. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  7. Nearly zero transmission through periodically modulated ultrathin metal films

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Zhang, Jingjing; Peng, Liang

    2010-01-01

    Transmission of light through an optically ultrathin metal film with a thickness comparable to its skin depth is significant. We demonstrate experimentally nearly-zero transmission of light through a film periodically modulated by a one-dimensional array of subwavelength slits. The suppressed...... optical transmission is due to the excitation of surface plasmon polaritons and the zero-transmission phenomenon is strongly dependent on the polarization of the incident wave....

  8. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  9. On the dielectric and optical properties of surface-anchored metal-organic frameworks: A study on epitaxially grown thin films

    Science.gov (United States)

    Redel, Engelbert; Wang, Zhengbang; Walheim, Stefan; Liu, Jinxuan; Gliemann, Hartmut; Wöll, Christof

    2013-08-01

    We determine the optical constants of two highly porous, crystalline metal-organic frameworks (MOFs). Since it is problematic to determine the optical constants for the standard powder modification of these porous solids, we instead use surface-anchored metal-organic frameworks (SURMOFs). These MOF thin films are grown using liquid phase epitaxy (LPE) on modified silicon substrates. The produced SURMOF thin films exhibit good optical properties; these porous coatings are smooth as well as crack-free, they do not scatter visible light, and they have a homogenous interference color over the entire sample. Therefore, spectroscopic ellipsometry (SE) can be used in a straightforward fashion to determine the corresponding SURMOF optical properties. After careful removal of the solvent molecules used in the fabrication process as well as the residual water adsorbed in the voids of this highly porous solid, we determine an optical constant of n = 1.39 at a wavelength of 750 nm for HKUST-1 (stands for Hong Kong University of Science and Technology-1; and was first discovered there) or [Cu3(BTC)2]. After exposing these SURMOF thin films to moisture/EtOH atmosphere, the refractive index (n) increases to n = 1.55-1.6. This dependence of the optical properties on water/EtOH adsorption demonstrates the potential of such SURMOF materials for optical sensing.

  10. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  11. Effect of gas flow on the selective area growth of gallium nitride via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Kasarla, K. R.; Korakakis, D.

    2007-08-01

    The effect of gas flow on the selective area growth (SAG) of gallium nitride (GaN) grown via metal organic vapor phase epitaxy (MOVPE) has been investigated. In this study, the SAG of GaN was carried out on a silicon dioxide striped pattern along the GaN direction. SAG was initiated with the striped pattern oriented parallel and normal to the incoming gas flow in a horizontal reactor. The orientation of the pattern did not impact cross section of the structure after re-growth as both orientations resulted in similar trapezoidal structures bounded by the (0 0 0 1) and {1 1 2¯ n} facets ( n≈1.7-2.2). However, the growth rates were shown to depend on the orientation of the pattern as the normally oriented samples exhibited enhanced vertical and cross-sectional growth rates compared to the parallel oriented samples. All growths occurred under identical conditions and therefore the difference in growth rates must be attributed to a difference in mass transport of species.

  12. Efficiency improvements by Metal Wrap Through technology for n-type Si solar cells and modules

    Energy Technology Data Exchange (ETDEWEB)

    Wenchao, Zhao; Jianming, Wang; Yanlong, Shen; Ziqian, Wang; Yingle, Chen; Shuquan, Tian; Zhiliang, Wan; Bo, Yu; Gaofei, Li; Zhiyan, Hu; Jingfeng, Xiong [Yingli Green Energy Holding Co., Ltd, 3399 North Chaoyang Avenue, Baoding (China); Guillevin, N.; Heurtault, B.; Aken, B.B. van; Bennett, I.J.; Geerligs, L.J.; Weeber, A.W.; Bultman, J.H. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    N-type Metal Wrap Through (n-MWT) is presented as an industrially promising back-contact technology to reach high performance of silicon solar cells and modules. It can combine benefits from both n-type base and MWT metallization. In this paper, the efficiency improvements of commercial industrial n-type bifacial Si solar cells (239 cm{sup 2}) and modules (60 cells) by the integration of the MWT technique are described. For the cell, after the optimization of integration, over 0.3% absolute efficiency gain was achieved over the similar non-MWT technology, and Voc gain and Isc gain up to 0.9% and 3.5%, respectively. These gains are mainly attributed to reduced shading loss and surface recombination. Besides the front pattern optimization, a 0.1m{Omega} reduction of Rs in via part will induce further 0.06% absolute efficiency improvement. For the module part, a power output of n-MWT module up to 279W was achieved, corresponding to a module efficiency of about 17.7%.

  13. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  14. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  15. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  16. Spin-injection into epitaxial graphene on silicon carbide

    Science.gov (United States)

    Konishi, Keita; Cui, Zhixin; Hiraki, Takahiro; Yoh, Kanji

    2013-09-01

    We have studied the spin-injection properties in epitaxial graphene on SiC. The ferromagnetic metal (FM) electrodes were composed of a tunnel barrier layer AlOx (14 Å) and a ferromagnetic Co (600 Å) layer. We have successfully observed the clear resistance peaks indicating spin-injection both in the "local" and "non-local" spin measurement set-ups at low temperatures. We estimate spin-injection rate of 1% based on "non-local" measurement and 1.6% based on local measurements. Spin-injection rate of multilayer graphene by mechanical exfoliation method was twice as high as single layer graphene on SiC based on "local" measurement.

  17. Half-metal phases in a quantum wire with modulated spin-orbit interaction

    Science.gov (United States)

    Cabra, D. C.; Rossini, G. L.; Ferraz, A.; Japaridze, G. I.; Johannesson, H.

    2017-11-01

    We propose a spin filter device based on the interplay of a modulated spin-orbit interaction and a uniform external magnetic field acting on a quantum wire. Half-metal phases, where electrons with only a selected spin polarization exhibit ballistic conductance, can be tuned by varying the magnetic field. These half-metal phases are proven to be robust against electron-electron repulsive interactions. Our results arise from a combination of explicit band diagonalization, bosonization techniques, and extensive density matrix renormalization group computations.

  18. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    Science.gov (United States)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  19. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  20. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  1. Dynamical x-ray diffraction studies of interfacial strain in superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vandenberg, J.M.; Chu, S.N.G.; Hamm, R.A.; Panish, M.B.; Ritter, D.; Mancrander, A.T.

    1992-01-01

    This paper reports on dynamical X-ray diffraction studies that have been carried out for lattice-matched InGaAs/InP superlattices grown by modified molecular beam epitaxy (MBE) techniques. The (400) X-ray satellite pattern, which is predominantly affected by the strain modulation, was analyzed. The strain and thickness of the actual layers including the presence of strained interfacial regions were determined

  2. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  3. Phase-Separated, Epitaxial, Nanostructured LaMnO3+MgO Composite Cap Layer Films for Propagation of Pinning Defects in YBa2Cu3O7-x Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Wee, Sung Hun [ORNL; Shin, Junsoo [ORNL; Cantoni, Claudia [ORNL; Meyer III, Harry M [ORNL; Cook, Sylvester W [ORNL; Zuev, Yuri L [ORNL; Specht, Eliot D [ORNL; Xiong, Xuming [ORNL; Paranthaman, Mariappan Parans [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Goyal, Amit [ORNL

    2009-01-01

    Nanostructural modulation in the cap layer used in coated conductors can be a potential source for nucleating microstructural defects into the superconducting layer for improving the flux-pinning. We report on the successful fabrication of phase separated, epitaxial, nanostructured films comprised of LaMnO{sub 3} (LMO) and MgO via pulsed laser deposition (PLD) on biaxially-textured MgO metallic templates with a LMO buffer layer. Scanning Auger compositional mapping and transmission electron microscopy cross sectional images confirm the nanoscale, spatial modulation corresponding to the nanostructured phase separation in the film. YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} films (0.8 {micro}m thick) grown using PLD on such phase separated, nanostructured cap layers show reduced field dependence of the critical current density with an ? value of -0.38 (in J{sub c}-H{sup -{alpha}}).

  4. Electron work function of metallic surfaces, covered with by metal adatoms, and two-dimensional structure of adlayer

    International Nuclear Information System (INIS)

    Rudnitskij, L.A.

    1986-01-01

    Change in electron work function during metal adatom (Ti, W, Ag, Au) adsorption on different tungsten surfaces in ''polycrystalline'' and epitaxial types of adsorpted layers is studied. Calculational and experimental dependences of work function change on coating thickness are built

  5. Spatially correlated two-dimensional arrays of semiconductor and metal quantum dots in GaAs-based heterostructures

    International Nuclear Information System (INIS)

    Nevedomskiy, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhernskiy, V. V.; Putyato, M. A.; Semyagin, B. R.

    2015-01-01

    A single molecular-beam epitaxy process is used to produce GaAs-based heterostructures containing two-dimensional arrays of InAs semiconductor quantum dots and AsSb metal quantum dots. The twodimensional array of AsSb metal quantum dots is formed by low-temperature epitaxy which provides a large excess of arsenic in the epitaxial GaAs layer. During the growth of subsequent layers at a higher temperature, excess arsenic forms nanoinclusions, i.e., metal quantum dots in the GaAs matrix. The two-dimensional array of such metal quantum dots is created by the δ doping of a low-temperature GaAs layer with antimony which serves as a precursor for the heterogeneous nucleation of metal quantum dots and accumulates in them with the formation of AsSb metal alloy. The two-dimensional array of InAs semiconductor quantum dots is formed via the Stranski–Krastanov mechanism at the GaAs surface. Between the arrays of metal and semiconductor quantum dots, a 3-nm-thick AlAs barrier layer is grown. The total spacing between the arrays of metal and semiconductor quantum dots is 10 nm. Electron microscopy of the structure shows that the arrangement of metal quantum dots and semiconductor quantum dots in the two-dimensional arrays is spatially correlated. The spatial correlation is apparently caused by elastic strain and stress fields produced by both AsSb metal and InAs semiconductor quantum dots in the GaAs matrix

  6. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  7. Modulated synthesis of zirconium-metal organic framework (Zr-MOF) for hydrogen storage applications

    CSIR Research Space (South Africa)

    Ren, Jianwei

    2014-01-01

    Full Text Available A modulated synthesis of Zr-metal organic framework (Zr-MOF) with improved ease of handling and decreased reaction time is reported to yield highly crystalline Zr-MOF with well-defined octahedral shaped crystals for practical hydrogen storage...

  8. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  9. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  10. The growth of noble metals in (112-bar0)-oriented hexagonal close-packed nano-films by epitaxy on Nb(001)

    International Nuclear Information System (INIS)

    Hueger, E.; Osuch, K.

    2005-01-01

    The morphology and crystal structure of noble metal nano-films deposited on oxygen contaminated and oxygen-free Nb(001) surfaces have been studied with angle-resolved ultraviolet photoelectron spectroscopy, X-ray photo-electron diffraction, and reflection high energy electron diffraction. In the both cases a deposited noble metal film aligns its direction with the [110] direction of the Nb(001) surface. But, while a noble metal grows on an oxygen contaminated Nb(001) surface with the hexagonal close-packed (hcp) (111) planes parallel to the surface (i.e. in the (111)-oriented face centred cubic phase (fcc)), on a non-contaminated Nb(001) it grows with its hcp planes perpendicular to the surface. The latter happens because in the initial stages of the epitaxy the first two monolayers (MLs) of the noble metal grow pseudomorphically on a contamination-free Nb(001). The pseudomorphic layer is strongly extended parallel to the Nb(001) surface in comparison to its natural fcc (001) plane. As a consequence of the atomic volume conservation principle the out-of-plane lattice of the pseudomorphic layer is contracted. Thus, its body centred tetragonal (110) planes, which stay perpendicular to the surface, contract into denser-packed planes, i.e. in hcp ones. In the direction perpendicular to the surface, where the substrate does not have a direct influence on the film, the pseudomorphic layer relaxes into its natural close-packed phase, i.e. into hcp atomic planes. These planes appear as soon as the third pseudomorphic ML begins to grow. The stacking axis of the planes lies in the (100) surface of Nb and is locked by it. The fact that thick nano-films of Cu (up to 50 MLs), Ag and Au (up to 100 MLs) grow in the (112-bar0)-oriented hcp phase can be attributed to a much better fit of the hcp than of fcc stacking sequence to the four-fold symmetry of the Nb(001) surface

  11. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  12. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  13. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Half-Metallic Ferromagnetism and Stability of Transition Metal Pnictides and Chalcogenides

    Science.gov (United States)

    Liu, Bang-Gui

    It is highly desirable to explore robust half-metallic ferromagnetic materials compatible with important semiconductors for spintronic applications. A state-of-the-art full potential augmented plane wave method within the densityfunctional theory is reliable enough for this purpose. In this chapter we review theoretical research on half-metallic ferromagnetism and structural stability of transition metal pnictides and chalcogenides. We show that some zincblende transition metal pnictides are half-metallic and the half-metallic gap can be fairly wide, which is consistent with experiment. Systematic calculations reveal that zincblende phases of CrTe, CrSe, and VTe are excellent half-metallic ferromagnets. These three materials have wide half-metallic gaps, are low in total energy with respect to the corresponding ground-state phases, and, importantly, are structurally stable. Halfmetallic ferromagnetism is also found in wurtzite transition metal pnictides and chalcogenides and in transition-metal doped semiconductors as well as deformed structures. Some of these half-metallic materials could be grown epitaxially in the form of ultrathin .lms or layers suitable for real spintronic applications.

  15. Study of epitaxial YBa2Cu3Ox films

    International Nuclear Information System (INIS)

    Lee, S.G.; Chi, C.C.; Koren, G.; Gupta, A.; Segmuller, A.

    1990-01-01

    In this paper, the authors present a systematic study of epitaxial YBa 2 Cu 3 O x films laser ablated on Y-cut LiNbO 3 substrates. X-ray diffraction pattern indicates that the c-axis is perpendicular to the substrate plane and the (110) direction of the film is parallel to the (110) of the substrate with two domains with the (110) as a mirror plane. Resistivity of the film shows a typical metallic behavior in the normal state with a sharp transition at 92K. The effects of oxygen deficiency on the resistivity are also studied. Oxygen content is controlled by annealing the sample either in low oxygen pressure or in vacuum and estimated from the c-axis lattice parameter determined by X-ray diffraction. As oxygen is depleted gradually, the film resistivity shows metallic, semiconducting, and eventually insulating behaviors. Superconducting percolation phenomenon is observed for the semiconducting sample at low temperatures

  16. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi

    2017-12-19

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  17. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2017-01-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  18. Epitaxial growth of nobel metals on alumina substrates

    International Nuclear Information System (INIS)

    Al-Mohammad, A.

    2007-06-01

    The influence of the reconstructed (0001) α-Al 2 O 3 surface on the heteroepitaxial growth and adhesion properties of small metal particles (gold, silver and copper) of noncontinuous thin films has been investigated. The crystallographic structure and morphology of substrate surfaces were examined by Reflection High Energy Electron Diffraction and Atomic Force Microscopy techniques. The reconstructed surfaces are terminated by one or more Aluminum atomic layers. By means of the Transmission Electronic Microscopy, the various granulometric and lattice parameters variations are investigated during different stages of the heteroepitaxial growth of metallic thin films. We estimated the adhesion energy values for each case of metal//(0001)α-Al 2 O 3 interfaces by two methods: the maximum cluster density and the Lifshits theory of Van der Waals energy of interfaces. The results of both methods are in good agreement. Using these methods, we found interfaces Hamaker's constants values and we investigated all the heteroepitaxial growth steps.(author)

  19. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  20. Spin-dependent transport properties of a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor structure

    Energy Technology Data Exchange (ETDEWEB)

    Kanaki, Toshiki, E-mail: kanaki@cryst.t.u-tokyo.ac.jp; Asahara, Hirokatsu; Ohya, Shinobu, E-mail: ohya@cryst.t.u-tokyo.ac.jp; Tanaka, Masaaki, E-mail: masaaki@ee.t.u-tokyo.ac.jp [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2015-12-14

    We fabricate a vertical spin metal-oxide-semiconductor field-effect transistor (spin-MOSFET) structure, which is composed of an epitaxial single-crystal heterostructure with a ferromagnetic-semiconductor GaMnAs source/drain, and investigate its spin-dependent transport properties. We modulate the drain-source current I{sub DS} by ∼±0.5% with a gate-source voltage of ±10.8 V and also modulate I{sub DS} by up to 60% with changing the magnetization configuration of the GaMnAs source/drain at 3.5 K. The magnetoresistance ratio is more than two orders of magnitude higher than that obtained in the previous studies on spin MOSFETs. Our result shows that a vertical structure is one of the hopeful candidates for spin MOSFET when the device size is reduced to a sub-micron or nanometer scale.

  1. Spin-dependent transport properties of a GaMnAs-based vertical spin metal-oxide-semiconductor field-effect transistor structure

    International Nuclear Information System (INIS)

    Kanaki, Toshiki; Asahara, Hirokatsu; Ohya, Shinobu; Tanaka, Masaaki

    2015-01-01

    We fabricate a vertical spin metal-oxide-semiconductor field-effect transistor (spin-MOSFET) structure, which is composed of an epitaxial single-crystal heterostructure with a ferromagnetic-semiconductor GaMnAs source/drain, and investigate its spin-dependent transport properties. We modulate the drain-source current I DS by ∼±0.5% with a gate-source voltage of ±10.8 V and also modulate I DS by up to 60% with changing the magnetization configuration of the GaMnAs source/drain at 3.5 K. The magnetoresistance ratio is more than two orders of magnitude higher than that obtained in the previous studies on spin MOSFETs. Our result shows that a vertical structure is one of the hopeful candidates for spin MOSFET when the device size is reduced to a sub-micron or nanometer scale

  2. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  3. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  4. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  5. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  6. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  7. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  8. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  9. Epitaxial growth of YBa2Cu3O7-δ thin films on LiNbO3 substrates

    International Nuclear Information System (INIS)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C.

    1989-01-01

    In situ epitaxial growth of YBa 2 Cu 3 O 7-δ thin films on Y-cut LiNbO 3 substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ( c (R=0) of 92 K. High critical current density of J c (77 K)=2x10 5 A/cm 2 is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the c axis is normal to the substrate plane and the a axis is at 45 degree to the [11.0] direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane

  10. Resistance switching in epitaxial SrCoOx thin films

    Science.gov (United States)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-08-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO2.5) and conducting perovskite (SrCoO3-δ) depending on the oxygen content. The current-voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoOx thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO2.5.

  11. Resistance switching in epitaxial SrCoOx thin films

    International Nuclear Information System (INIS)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-01-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO 3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO 2.5 ) and conducting perovskite (SrCoO 3−δ ) depending on the oxygen content. The current–voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoO x thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO 2.5

  12. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  13. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  14. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  15. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  16. Effects of anharmonic strain on the phase stability of epitaxial films and superlattices: Applications to noble metals

    International Nuclear Information System (INIS)

    Ozolins, V.; Wolverton, C.; Zunger, A.

    1998-01-01

    Epitaxial strain energies of epitaxial films and bulk superlattices are studied via first-principles total-energy calculations using the local-density approximation. Anharmonic effects due to large lattice mismatch, beyond the reach of the harmonic elasticity theory, are found to be very important in Cu/Au (lattice mismatch 12%), Cu/Ag (12%), and Ni/Au (15%). We find that left-angle 001 right-angle is the elastically soft direction for biaxial expansion of Cu and Ni, but it is left-angle 201 right-angle for large biaxial compression of Cu, Ag, and Au. The stability of superlattices is discussed in terms of the coherency strain and interfacial energies. We find that in phase separating systems such as Cu-Ag the superlattice formation energies decrease with superlattice period, and the interfacial energy is positive. Superlattices are formed easiest on (001) and hardest on (111) substrates. For ordering systems, such as Cu-Au and Ag-Au, the formation energy of superlattices increases with period, and interfacial energies are negative. These superlattices are formed easiest on (001) or (110) and hardest on (111) substrates. For Ni-Au we find a hybrid behavior: superlattices along left-angle 111 right-angle and left-angle 001 right-angle behave like phase separating systems, while for left-angle 110 right-angle they behave like ordering systems. Finally, recent experimental results on epitaxial stabilization of disordered Ni-Au and Cu-Ag alloys, immiscible in the bulk form, are explained in terms of destabilization of the phase separated state due to lattice mismatch between the substrate and constituents. copyright 1998 The American Physical Society

  17. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  18. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  19. Epitaxial growth of new half-metallic ferromagnet 'zinc-blende CrAs' and the substrate temperature dependence

    International Nuclear Information System (INIS)

    Mizuguchi, Masaki; Akinaga, Hiro; Manago, Takashi; Ono, Kanta; Oshima, Masaharu; Shirai, Masafumi

    2002-01-01

    Epitaxial zinc-blende CrAs thin films were grown at two different temperatures. CrAs (2 nm) grown at 200 deg. C formed plateau-shapes, whereas CrAs (2 nm) grown at 300 deg. C formed dispersed dots. The thin film grown at 200 deg. C showed ferromagnetic behavior at room temperature, and the Curie temperature was estimated to be over 400 K

  20. Epitaxial growth by monolayer restricted galvanic displacement

    Directory of Open Access Journals (Sweden)

    Vasilić Rastko

    2012-01-01

    Full Text Available The development of a new method for epitaxial growth of metals in solution by galvanic displacement of layers pre-deposited by underpotential deposition (UPD was discussed and experimentally illustrated throughout the lecture. Cyclic voltammetry (CV and scanning tunneling microscopy (STM are employed to carry out and monitor a “quasi-perfect”, two-dimensional growth of Ag on Au(111, Cu on Ag(111, and Cu on Au(111 by repetitive galvanic displacement of underpotentially deposited monolayers. A comparative study emphasizes the displacement stoichiometry as an efficient tool for thickness control during the deposition process and as a key parameter that affects the deposit morphology. The excellent quality of layers deposited by monolayer-restricted galvanic displacement is manifested by a steady UPD voltammetry and ascertained by a flat and uniform surface morphology maintained during the entire growth process.

  1. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  2. Self-Catalyzed Growth of Axial GaAs/GaAsSb Nanowires by Molecular Beam Epitaxy for Photodetectors

    Science.gov (United States)

    2015-06-01

    MOVPE Metal organic vapor phase epitaxy NCA Nano Channel Aluminum NW Nanowire PL Photoluminescence PMMA Poly methyl methacrylate...GaAs (111) B substrate. The NWs were grown using a nanochannel alumina ( NCA ) template. It was later shown by Dubrovskii et al. [16], that the NWs... cathode gun. The type of signals produced are secondary electron (SE), back scattered electron (BSE), characteristic X- rays, specimen current and

  3. Coherent, atomically thin transition-metal dichalcogenide superlattices with engineered strain

    Science.gov (United States)

    Xie, Saien; Tu, Lijie; Han, Yimo; Huang, Lujie; Kang, Kibum; Lao, Ka Un; Poddar, Preeti; Park, Chibeom; Muller, David A.; DiStasio, Robert A.; Park, Jiwoong

    2018-03-01

    Epitaxy forms the basis of modern electronics and optoelectronics. We report coherent atomically thin superlattices in which different transition metal dichalcogenide monolayers—despite large lattice mismatches—are repeated and laterally integrated without dislocations within the monolayer plane. Grown by an omnidirectional epitaxy, these superlattices display fully matched lattice constants across heterointerfaces while maintaining an isotropic lattice structure and triangular symmetry. This strong epitaxial strain is precisely engineered via the nanoscale supercell dimensions, thereby enabling broad tuning of the optical properties and producing photoluminescence peak shifts as large as 250 millielectron volts. We present theoretical models to explain this coherent growth and the energetic interplay governing the ripple formation in these strained monolayers. Such coherent superlattices provide building blocks with targeted functionalities at the atomically thin limit.

  4. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  5. Spin Filtering in Epitaxial Spinel Films with Nanoscale Phase Separation

    KAUST Repository

    Li, Peng

    2017-05-08

    The coexistence of ferromagnetic metallic phase and antiferromagnetic insulating phase in nanoscaled inhomogeneous perovskite oxides accounts for the colossal magnetoresistance. Although the model of spin-polarized electron transport across antiphase boundaries has been commonly employed to account for large magnetoresistance (MR) in ferrites, the magnetic anomalies, the two magnetic phases and enhanced molecular moment, are still unresolved. We observed a sizable MR in epitaxial spinel films (NiCo2O4-δ) that is much larger than that commonly observed in spinel ferrites. Detailed analysis reveals that this MR can be attributed to phase separation, in which the perfect ferrimagnetic metallic phase and ferrimagnetic insulating phase coexist. The magnetic insulating phase plays an important role in spin filtering in these phase separated spinel oxides, leading to a sizable MR effect. A spin filtering model based on Zeeman effect and direct tunneling is developed to account for MR of the phase separated films.

  6. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  7. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  8. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  9. Aluminum Nitride Micro-Channels Grown via Metal Organic Vapor Phase Epitaxy for MEMs Applications

    Energy Technology Data Exchange (ETDEWEB)

    Rodak, L.E.; Kuchibhatla, S.; Famouri, P.; Ting, L.; Korakakis, D.

    2008-01-01

    Aluminum nitride (AlN) is a promising material for a number of applications due to its temperature and chemical stability. Furthermore, AlN maintains its piezoelectric properties at higher temperatures than more commonly used materials, such as Lead Zirconate Titanate (PZT) [1, 2], making AlN attractive for high temperature micro and nanoelectromechanical (MEMs and NEMs) applications including, but not limited to, high temperature sensors and actuators, micro-channels for fuel cell applications, and micromechanical resonators. This work presents a novel AlN micro-channel fabrication technique using Metal Organic Vapor Phase Epitaxy (MOVPE). AlN easily nucleates on dielectric surfaces due to the large sticking coefficient and short diffusion length of the aluminum species resulting in a high quality polycrystalline growth on typical mask materials, such as silicon dioxide and silicon nitride [3,4]. The fabrication process introduced involves partially masking a substrate with a silicon dioxide striped pattern and then growing AlN via MOVPE simultaneously on the dielectric mask and exposed substrate. A buffered oxide etch is then used to remove the underlying silicon dioxide and leave a free standing AlN micro-channel. The width of the channel has been varied from 5 ìm to 110 ìm and the height of the air gap from 130 nm to 800 nm indicating the stability of the structure. Furthermore, this versatile process has been performed on (111) silicon, c-plane sapphire, and gallium nitride epilayers on sapphire substrates. Reflection High Energy Electron Diffraction (RHEED), Atomic Force Microscopy (AFM), and Raman measurements have been taken on channels grown on each substrate and indicate that the substrate is influencing the growth of the AlN micro-channels on the SiO2 sacrificial layer.

  10. The local environment of cobalt in amorphous, polycrystalline and epitaxial anatase TiO{sub 2}:Co films produced by cobalt ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Yildirim, O. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Technische Universität Dresden, D-01062 Dresden (Germany); Cornelius, S.; Hübner, R.; Potzger, K. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Smekhova, A.; Zykov, G.; Gan' shina, E. A.; Granovsky, A. B. [Lomonosov Moscow State University (MSU), Faculty of Physics, 119991 Moscow (Russian Federation); Bähtz, C. [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstr. 400, 01328 Dresden (Germany); Rossendorf Beamline, European Synchrotron Radiation Facility, F-38043 Grenoble (France)

    2015-05-14

    Amorphous, polycrystalline anatase and epitaxial anatase TiO{sub 2} films have been implanted with 5 at. % Co{sup +}. The magnetic and structural properties of different microstructures of TiO{sub 2}:Co, along with the local coordination of the implanted Co atoms within the host lattice are investigated. In amorphous TiO{sub 2}:Co film, Co atoms are in the (II) oxidation state with a complex coordination and exhibit a paramagnetic response. However, for the TiO{sub 2}:Co epitaxial and polycrystalline anatase films, Co atoms have a distorted octahedral (II) oxygen coordination assigned to a substitutional environment with traces of metallic Co clusters, which gives a rise to a superparamagnetic behavior. Despite the incorporation of the implanted atoms into the host lattice, high temperature ferromagnetism is absent in the films. On the other hand, it is found that the concentration and size of the implantation-induced nanoclusters and the magnetic properties of TiO{sub 2}:Co films have a strong dependency on the initial microstructure of TiO{sub 2}. Consequently, metallic nanocluster formation within ion implantation prepared transition metal doped TiO{sub 2} can be suppressed by tuning the film microstructure.

  11. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  12. Dietary compounds as modulators of metals and metalloids toxicity.

    Science.gov (United States)

    Jadán-Piedra, Carlos; Chiocchetti, Gabriela Matuoka; Clemente, María Jesús; Vélez, Dinoraz; Devesa, Vicenta

    2017-07-07

    A large part of the population is exposed to metals and metalloids through the diet. Most of the in vivo studies on its toxicokinetics and toxicity are conducted by means of exposure through drinking water or by intragastric or intraperitoneal administration of aqueous standards, and therefore they do not consider the effect of the food matrix on the exposure. Numerous studies show that some components of the diet can modulate the toxicity of these food contaminants, reducing their effect on a systemic level. Part of this protective role may be due to a reduction of intestinal absorption and subsequent tissue accumulation of the toxic element, although it may also be a consequence of their ability to counteract the toxicity directly by their antioxidant and/or anti-inflammatory activity, among other factors. The present review provides a compilation of existing information about the effect that certain components of the diet have on the toxicokinetics and toxicity of the metals and metalloids of greatest toxicological importance that are present in food (arsenic, cadmium, lead, and mercury), and of their most toxic chemical species.

  13. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  14. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  15. Mechanical exfoliation of epitaxial graphene on Ir(111) enabled by Br2 intercalation.

    Science.gov (United States)

    Herbig, Charlotte; Kaiser, Markus; Bendiab, Nedjma; Schumacher, Stefan; Förster, Daniel F; Coraux, Johann; Meerholz, Klaus; Michely, Thomas; Busse, Carsten

    2012-08-08

    We show here that Br(2) intercalation is an efficient method to enable exfoliation of epitaxial graphene on metals by adhesive tape. We exemplify this method for high-quality graphene of macroscopic extension on Ir(111). The sample quality and the transfer process are monitored using low-energy electron diffraction (LEED), scanning tunneling microscopy (STM), scanning electron microscopy (SEM) and Raman spectroscopy. The developed process provides an opportunity for preparing graphene of strictly monatomic thickness and well-defined orientation including the transfer to poly(ethylene terephthalate) (PET) foil.

  16. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  17. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  18. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  19. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  20. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  1. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  2. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  3. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  4. Substrate-dependent post-annealing effects on the strain state and electrical transport of epitaxial La5/8-yPryCa3/8MnO3 films

    International Nuclear Information System (INIS)

    Hu, Sixia; Wang, Haibo; Dong, Yongqi; Hong, Bing; He, Hao; Bao, Jun; Huang, Haoliang; Yang, Yuanjun; Luo, Zhenlin; Yang, Mengmeng; Gao, Chen

    2014-01-01

    Large scale electronic phase separation (EPS) between ferromagnetic metallic and charge-ordered insulating phases in La 5/8-y Pr y Ca 3/8 MnO 3 (y = 0.3) (LPCMO) is very sensitive to the structural changes. This work investigates the effects of post-annealing on the strain states and electrical transport properties of LPCMO films epitaxially grown on (001) pc SrTiO 3 (tensile strain), LaAlO 3 (compressive strain) and NdGaO 3 (near-zero strain) substrates. Before annealing, all the films are coherent-epitaxial and insulating through the measured temperature range. Obvious change of film lattice is observed during the post-annealing: the in-plane strain in LPCMO/LAO varies from −1.5% to −0.1% while that in LPCMO/STO changes from 1.6% to 1.3%, and the lattice of LPCMO/NGO keeps constant because of the good lattice-match between LPCMO and NGO. Consequently, the varied film strain leads to the emergence of metal-insulator transitions (MIT) and shift of the critical transition temperature in the electrical transport. These results demonstrate that lattice-mismatch combined with post-annealing is an effective approach to tune strain in epitaxial LPCMO films, and thus to control the EPS and MIT in the films

  5. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  6. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  7. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  8. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    Science.gov (United States)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  10. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  11. Growth of Pb(Ti,Zr)O 3 thin films by metal-organic molecular beam epitaxy

    Science.gov (United States)

    Avrutin, V.; Liu, H. Y.; Izyumskaya, N.; Xiao, B.; Özgür, Ü.; Morkoç, H.

    2009-02-01

    Single-crystal Pb(Zr xTi 1-x)O 3 thin films have been grown on (0 0 1) SrTiO 3 and SrTiO 3:Nb substrates by molecular beam epitaxy using metal-organic source of Zr and two different sources of reactive oxygen—RF plasma and hydrogen-peroxide sources. The same growth modes and comparable structural properties were observed for the films grown with both oxygen sources, while the plasma source allowed higher growth rates. The films with x up to 0.4 were single phase, while attempts to increase x beyond gave rise to the ZrO 2 second phase. The effects of growth conditions on growth modes, Zr incorporation, and phase composition of the Pb(Zr xTi 1-x)O 3 films are discussed. Electrical and ferroelectric properties of the Pb(Zr xTi 1-x)O 3 films of ~100 nm in thickness grown on SrTiO 3:Nb were studied using current-voltage, capacitance-voltage, and polarization-field measurements. The single-phase films show low leakage currents and large breakdown fields, while the values of remanent polarization are low (around 5 μC/cm 2). It was found that, at high sweep fields, the contribution of the leakage current to the apparent values of remanent polarization can be large, even for the films with large electrical resistivity (˜10 8-10 9 Ω cm at an electric filed of 1 MV/cm). The measured dielectric constant ranges from 410 to 260 for Pb(Zr 0.33Ti 0.67)O 3 and from 313 to 213 for Pb(Zr 0.2Ti 0.8)O 3 in the frequency range from 100 to 1 MHz.

  12. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  13. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  14. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  15. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  16. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  17. Oxygen Switching of the Epitaxial Graphene-Metal Interaction

    DEFF Research Database (Denmark)

    Larciprete, Rosanna; Ulstrup, Søren; Lacovig, Paolo

    2012-01-01

    as on clean Ir(111), giving only a slightly higher oxygen coverage. Upon lifting, the C 1s signal shows a downshift in binding energy, due to the charge transfer to graphene from the oxygen-covered metal surface. Moreover, the characteristic spectral signatures of the graphenesubstrate interaction...... in the valence band are removed, and the spectrum of strongly hole-doped, quasi free-standing graphene with a single Dirac cone around the K point is observed. The oxygen can be deintercalated by annealing, and this process takes place at around T = 600 K, in a rather abrupt way. A small amount of carbon atoms...... demonstrate that oxygen intercalation is an efficient method for fully decoupling an extended layer of graphene from a metal substrate, such as Ir(111). They pave the way for the fundamental research on graphene, where extended, ordered layers of free-standing graphene are important and, due to the stability...

  18. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  19. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  20. Direct measurement and modulation of single-molecule coordinative bonding forces in a transition metal complex

    DEFF Research Database (Denmark)

    Hao, Xian; Zhu, Nan; Gschneidtner, Tina

    2013-01-01

    remain a daunting challenge. Here we demonstrate an interdisciplinary and systematic approach that enables measurement and modulation of the coordinative bonding forces in a transition metal complex. Terpyridine is derived with a thiol linker, facilitating covalent attachment of this ligand on both gold...... substrate surfaces and gold-coated atomic force microscopy tips. The coordination and bond breaking between terpyridine and osmium are followed in situ by electrochemically controlled atomic force microscopy at the single-molecule level. The redox state of the central metal atom is found to have...

  1. Heavy metals modulate the activity of the purinergic P2X4 receptor

    International Nuclear Information System (INIS)

    Coddou, Claudio; Lorca, Ramon A.; Acuna-Castillo, Claudio; Grauso, Marta; Rassendren, Francois; Huidobro-Toro, J.Pablo

    2005-01-01

    To further characterize the nature of the regulatory metal-binding sites of the rat P2X 4 receptor, several transition heavy metals were tested to examine their ability to mimic the facilitator action of zinc or the inhibitory action of copper. cDNA coding for the rat P2X 4 receptor was injected into Xenopus laevis oocytes; the two-electrode voltage-clamp technique was used to measure and quantify the ATP-evoked currents in the absence or presence of the metals. Cadmium facilitated the ATP-gated currents in a reversible and voltage-independent manner; maximal potentiation occurred within less than 1 min. Cadmium displaced leftward, in a concentration-dependent manner, the ATP concentration-response curve. In contrast, mercury reduced the ATP-gated currents in a reversible, time, and concentration manner. Maximal inhibition occurred after about 5 min of metal application. Cobalt also augmented the ATP-evoked currents, but its action was long lasting and did not reverse even after 45 min of metal washout. Other metals such as lead, nickel, manganese, silver, or gallium did not significantly alter the ATP-gated currents. The co-application of cadmium plus zinc or mercury plus copper caused additive effects. Mutation of H140 by alanine (H140A) augmented both the cadmium-induced facilitation and the mercury-induced inhibition. In contrast, the H241A mutant showed characteristics indistinguishable from the wild type. The H286A mutant showed a normal cadmium-induced potentiation, but an increased mercury inhibition. Out of the metals examined, only cadmium mimicked closely the action of zinc, evidencing commonalities. While mercury mimicked the action of copper, both metals apparently interact at distinct metal-binding sites. The present findings allow us to infer that heavy metals modulate the P2X 4 receptor by acting in at least three separate metal-binding sites

  2. Epitaxial growth of higher transition-temperature VO2 films on AlN/Si

    Directory of Open Access Journals (Sweden)

    Tetiana Slusar

    2016-02-01

    Full Text Available We report the epitaxial growth and the mechanism of a higher temperature insulator-to-metal-transition (IMT of vanadium dioxide (VO2 thin films synthesized on aluminum nitride (AlN/Si (111 substrates by a pulsed-laser-deposition method; the IMT temperature is TIMT ≈ 350 K. X-ray diffractometer and high resolution transmission electron microscope data show that the epitaxial relationship of VO2 and AlN is VO2 (010 ‖ AlN (0001 with VO2 [101] ‖   AlN   [ 2 1 ̄ 1 ̄ 0 ] zone axes, which results in a substrate-induced tensile strain along the in-plane a and c axes of the insulating monoclinic VO2. This strain stabilizes the insulating phase of VO2 and raises TIMT for 10 K higher than TIMT single crystal ≈ 340 K in a bulk VO2 single crystal. Near TIMT, a resistance change of about four orders is observed in a thick film of ∼130 nm. The VO2/AlN/Si heterostructures are promising for the development of integrated IMT-Si technology, including thermal switchers, transistors, and other applications.

  3. Epitaxial growth of unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures on 4H Au nanoribbons

    KAUST Repository

    Fan, Zhanxi; Chen, Ye; Zhu, Yihan; Wang, Jie; Li, Bing; Zong, Yun; Han, Yu; Zhang, Hua

    2016-01-01

    Metal nanomaterials normally adopt the same crystal structure as their bulk counterparts. Herein, for the first time, the unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures have been synthesized on 4H Au nanoribbons (NRBs) via solution-phase epitaxial growth under ambient conditions. Interestingly, the 4H Au NRBs undergo partial phase transformation from 4H to face-centered cubic (fcc) structures after the metal coating. As a result, a series of polytypic 4H/fcc bimetallic Au@M (M = Ir, Rh, Os, Ru and Cu) core-shell NRBs has been obtained. We believe that the rational crystal structure-controlled synthesis of metal nanomaterials will bring new opportunities for exploring their phase-dependent physicochemical properties and promising applications.

  4. Epitaxial growth of unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures on 4H Au nanoribbons

    KAUST Repository

    Fan, Zhanxi

    2016-09-12

    Metal nanomaterials normally adopt the same crystal structure as their bulk counterparts. Herein, for the first time, the unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures have been synthesized on 4H Au nanoribbons (NRBs) via solution-phase epitaxial growth under ambient conditions. Interestingly, the 4H Au NRBs undergo partial phase transformation from 4H to face-centered cubic (fcc) structures after the metal coating. As a result, a series of polytypic 4H/fcc bimetallic Au@M (M = Ir, Rh, Os, Ru and Cu) core-shell NRBs has been obtained. We believe that the rational crystal structure-controlled synthesis of metal nanomaterials will bring new opportunities for exploring their phase-dependent physicochemical properties and promising applications.

  5. Sun batteries module based on А3В5 compounds with concentrators of sun energy and system of heatsink

    Directory of Open Access Journals (Sweden)

    Vakiv M.

    2010-03-01

    Full Text Available Characteristics of technology of manufacturing epitaxial structures GaAs(AlGaAs/InGaP with two active p–n-junctions for photocells which are able to work at concentrated solar radiation are considered. New suitable for industry technology of manufacturing and structure of solar batteries module based on epitaxial structures GaAs with Fresnel lens as concentrators of solar energy and a heat sink on the base of a heat pipe, equipped with a radiator sheet are developed. In conditions of 500-fold concentration of natural solar illumination at AM1,5 and 27,8% COE of a photocell, the electric power that is generated by the module reaches 78 Vt.

  6. A Molecular Dynamics Study of the Epitaxial Growth of Metallic Nanoclusters Softly Deposited on Substrates with Very Different Lattice Parameter

    International Nuclear Information System (INIS)

    Jimenez-Saez, J C; Perez-MartIn, A M C; Jimenez-RodrIguez, J J

    2007-01-01

    The soft deposition of Cu and Au clusters on Au(001) and Cu(001) surfaces respectively is studied by constant-temperature molecular-dynamics simulations. The initial shape of the nanoclusters is icosahedral or truncated octahedral (Wulff type). Their number of atoms ranges between 12 and 1289 atoms. Bombardment energy is of the order of a few meV/atom. The atomic interactions are mimicked by a many-body potential based on the tightbinding model. The effect of the temperature as activation to get the complete epitaxy is analysed. We have found that Cu clusters manage to align their {002} planes with the substrate by increasing the temperature. However, there is not epitaxial growth in any case since the lattice becomes bcc or important stacking faults are generated. For Au clusters, the alignment of these planes is practically independent of the temperature

  7. Molecular beam epitaxy of graphene on mica

    International Nuclear Information System (INIS)

    Lippert, G.; Dabrowski, J.; Yamamoto, Y.; Mehr, W.; Lupina, G.; Herziger, F.; Maultzsch, J.; Baringhaus, J.; Tegenkamp, C.; Lemme, M.C.

    2012-01-01

    Realization of graphene devices is often hindered by the fact that the known layer growth methods do not meet the requirements of the device fabrication in silicon mainstream technology. For example, the relatively straightforward method of decomposition of hexagonal SiC is not CMOS-compatible due to the high-thermal budget it requires [Moon et al., IEEE Electron Device Lett. 31, 260 (2010)]. Techniques based on layer transfer are restricted because of the uncertainty of residual metal contaminants, particles, and structural defects. Of interest is thus a method that would allow one to grow a graphene film directly in the device area where graphene is needed. Production of large area graphene is not necessarily required in this case, but high quality of the film and metal-free growth on an insulating substrate at temperatures below 1000 C are important requirements. We demonstrate direct growth of defect-free graphene on insulators at moderate temperatures by molecular beam epitaxy. The quality of the graphene was probed by high-resolution Raman spectroscopy, indicating a negligible density of defects. The spectra are compared with those from graphene flakes mechanically exfoliated from native graphite onto mica. These results are combined with insights from density functional theory calculations. A model of graphene growth on mica and similar substrates is proposed. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  9. Kinetics versus thermodynamics of the metal incorporation in molecular beam epitaxy of (InxGa1−x2O3

    Directory of Open Access Journals (Sweden)

    Patrick Vogt

    2016-08-01

    Full Text Available We present a detailed study of the reaction kinetics and thermodynamics of the plasma-assisted oxide molecular beam epitaxy of the ternary compound (InxGa1−x2O3 for 0 ≤ x ≤ 1. We measured the growth rate of the alloy in situ by laser reflectrometry as a function of growth temperature TG for different metal-to-oxygen flux ratios rMe, and nominal In concentrations xnom in the metal flux. We determined ex situ the In and Ga concentrations in the grown film by energy dispersive X-ray spectroscopy. The measured In concentration x shows a strong dependence on the growth parameters TG, rMe, and xnom whereas growth on different co-loaded substrates shows that in the macroscopic regime of ∼μm3 x does neither depend on the detailed layer crystallinity nor on crystal orientation. The data unveil that, in presence of In, Ga incorporation is kinetically limited by Ga2O desorption the same way as during Ga2O 3 growth. In contrast, In incorporation during ternary growth is thermodynamically suppressed by the presence of Ga due to stronger Ga–O bonds. Our experiments revealed that Ga adatoms decompose/etch the In–O bonds whereas In adatoms do not decompose/etch the Ga–O bonds. This result is supported by our thermochemical calculations. In addition we found that a low TG and/or excessively low rMe kinetically enables In incorporation into (InxGa1−x2O3. This study may help growing high-quality ternary compounds (InxGa1−x2O3 allowing band gap engineering over the range of 2.7–4.7 eV.

  10. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  11. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  12. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  13. Strain-induced oxygen vacancies in ultrathin epitaxial CaMnO3 films

    Science.gov (United States)

    Chandrasena, Ravini; Yang, Weibing; Lei, Qingyu; Delgado-Jaime, Mario; de Groot, Frank; Arenholz, Elke; Kobayashi, Keisuke; Aschauer, Ulrich; Spaldin, Nicola; Xi, Xiaoxing; Gray, Alexander

    Dynamic control of strain-induced ionic defects in transition-metal oxides is considered to be an exciting new avenue towards creating materials with novel electronic, magnetic and structural properties. Here we use atomic layer-by-layer laser molecular beam epitaxy to synthesize high-quality ultrathin single-crystalline CaMnO3 films with systematically varying coherent tensile strain. We then utilize a combination of high-resolution soft x-ray absorption spectroscopy and bulk-sensitive hard x-ray photoemission spectroscopy in conjunction with first-principles theory and core-hole multiplet calculations to establish a direct link between the coherent in-plane strain and the oxygen-vacancy content. We show that the oxygen vacancies are highly mobile, which necessitates an in-situ-grown capping layer in order to preserve the original strain-induced oxygen-vacancy content. Our findings open the door for designing and controlling new ionically active properties in strongly-correlated transition-metal oxides.

  14. Demonstration of molecular beam epitaxy and a semiconducting band structure for I-Mn-V compounds

    International Nuclear Information System (INIS)

    Jungwirth, T.; Novak, V.; Cukr, M.; Zemek, J.; Marti, X.; Horodyska, P.; Nemec, P.; Holy, V.; Maca, F.; Shick, A. B.; Masek, J.; Kuzel, P.; Nemec, I.; Gallagher, B. L.; Campion, R. P.; Foxon, C. T.; Wunderlich, J.

    2011-01-01

    Our ab initio theory calculations predict a semiconducting band structure of I-Mn-V compounds. We demonstrate on LiMnAs that high-quality materials with group-I alkali metals in the crystal structure can be grown by molecular beam epitaxy. Optical measurements on the LiMnAs epilayers are consistent with the theoretical electronic structure. Our calculations also reproduce earlier reports of high antiferromagnetic ordering temperature and predict large, spin-orbit-coupling-induced magnetic anisotropy effects. We propose a strategy for employing antiferromagnetic semiconductors in high-temperature semiconductor spintronics.

  15. Controlling the growth of epitaxial graphene on metalized diamond (111) surface

    International Nuclear Information System (INIS)

    Cooil, S. P.; Wells, J. W.; Hu, D.; Evans, D. A.; Niu, Y. R.; Zakharov, A. A.; Bianchi, M.

    2015-01-01

    The 2-dimensional transformation of the diamond (111) surface to graphene has been demonstrated using ultrathin Fe films that catalytically reduce the reaction temperature needed for the conversion of sp 3 to sp 2 carbon. An epitaxial system is formed, which involves the re-crystallization of carbon at the Fe/vacuum interface and that enables the controlled growth of monolayer and multilayer graphene films. In order to study the initial stages of single and multilayer graphene growth, real time monitoring of the system was preformed within a photoemission and low energy electron microscope. It was found that the initial graphene growth occurred at temperatures as low as 500 °C, whilst increasing the temperature to 560 °C was required to produce multi-layer graphene of high structural quality. Angle resolved photoelectron spectroscopy was used to study the electronic properties of the grown material, where a graphene-like energy momentum dispersion was observed. The Dirac point for the first layer is located at 2.5 eV below the Fermi level, indicating an n-type doping of the graphene due to substrate interactions, while that of the second graphene layer lies close to the Fermi level

  16. Temperature Modulation with Specified Detection Point on Metal Oxide Semiconductor Gas Sensors for E-Nose Application

    Directory of Open Access Journals (Sweden)

    Arief SUDARMAJI

    2015-03-01

    Full Text Available Temperature modulation technique, some called dynamic measurement mode, on Metal-Oxide Semiconductor (MOS/MOX gas sensor has been widely observed and employed in many fields. We present its development, a Specified Detection Point (SDP on modulated sensing element of MOS sensor is applied which associated to its temperature modulation, temperature modulation-SDP so-named. We configured the rectangular modulation signal for MOS gas sensors (TGSs and FISs using PSOC CY8C28445-24PVXI (Programmable System on Chip which also functioned as acquisition unit and interface to a computer. Initial responses and selectivity evaluations were performed using statistical tool and Principal Component Analysis (PCA to differ sample gases (Toluene, Ethanol and Ammonia on dynamic chamber measurement under various frequencies (0.25 Hz, 1 Hz, 4 Hz and duty-cycles (25 %, 50 %, 75 %. We found that at lower frequency the response waveform of the sensors becomes more sloping and distinct, and selected modulations successfully increased the selectivity either on singular or array sensors rather than static temperature measurement.

  17. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  18. Oxygen and minority carrier lifetimes in N-and P-type AL0.2GA0.8AS grown by metal organics vapor phase epitaxy

    International Nuclear Information System (INIS)

    Zahraman, Khaled; Leroux, M.; Gibart, P.; Zaidi, M.A.; Bremond, G.; Guillot, G.

    2000-01-01

    author.The minority carrier lifetimes in Al x Ga 1-x As grown by Metal-Organics Vapor Phase Epitaxy (MOVPE) is generally lower than in GaAs. This is believed to be due to oxygen incorporation in the layers. We describe a study of radiative and non radiative minority carriers lifetimes in n-and p-type Al 0.2 Ga 0.8 As as a function of growth parameters, in correlation with oxygen concentration measurements and deep level transient spectroscopy (DLTS) studies. Long non radiative lifetimes and low oxygen contents are achieved using temperature growth. A main minority hole lifetime killer appears to be 0.4 eV deep O related electron trap detected by DLTS at concentrations three orders of magnitude lower than the atomic oxygen one. Record lifetimes in MOVPE grown n-and p-type Al 0.2 Ga 0.8 As are obtained. An Al 0.85 Ga 0.15 As/Al 0.2 Ga 0.8 As surface recombination velocity lower than 4.5x10 3 cm.s -1 is measured

  19. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  20. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  1. New phenomena in epitaxial growth: solid films on quasicrystalline substrates

    International Nuclear Information System (INIS)

    Fournee, V; Thiel, P A

    2005-01-01

    An overview is given of the research conducted in the field of solid film growth on quasiperiodic surfaces. An atomistic description of quasicrystalline surfaces is presented and discussed in relation to bulk structural models. The various systems for which thin film growth has been attempted so far are reviewed. Emphasis is placed on the nucleation mechanisms of the solid films, on their growth modes in relation to the nature of the deposited metals, on the possibility of intermixing or alloying at the interface and on the epitaxial relationships at the crystal-quasicrystal interfaces. We also describe situations where the deposited elements adopt a quasiperiodic structure, which opens up the possibility of extending our understanding of the relation between quasiperiodicity and the physical properties of such structurally and chemically complex solids. (topical review)

  2. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  3. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  4. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  5. Strain Induced Magnetism in SrRuO3 Epitaxial Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Grutter, A.; Wong, F.; Arenholz, E.; Liberati, M.; Suzuki, Y.

    2010-01-10

    Epitaxial SrRuO{sub 3} thin films were grown on SrTiO{sub 3}, (LaAlO{sub 3}){sub 0.3}(SrAlO{sub 3}){sub 0.7} and LaAlO{sub 3} substrates inducing different biaxial compressive strains. Coherently strained SrRuO{sub 3} films exhibit enhanced magnetization compared to previously reported bulk and thin film values of 1.1-1.6 {micro}{sub B} per formula unit. A comparison of (001) and (110) SrRuO{sub 3} films on each substrate indicates that films on (110) oriented have consistently higher saturated moments than corresponding (001) films. These observations indicate the importance of lattice distortions in controlling the magnetic ground state in this transitional metal oxide.

  6. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  7. Resistance switching in epitaxial SrCoO{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk, E-mail: cu-jung@hufs.ac.kr [Department of Physics, Hankuk University of Foreign Studies, Yongin 449-791 (Korea, Republic of); Kim, Yeon Soo; Park, Bae Ho [Division of Quantum Phases and Devices, Department of Physics, Konkuk University, Seoul 143-791 (Korea, Republic of); Jeong, Huiseong; Park, Ji-Yong [Department of Physics and Division of Energy System Research, Ajou University, Suwon 443-749 (Korea, Republic of); Cho, Myung Rae; Park, Yun Daniel [Department of Physics and Astronomy and Center for Subwavelength Optics, Seoul National University, Seoul 151-747 (Korea, Republic of); Choi, Woo Seok [Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Dong-Wook [Department of Physics, Ewha Womans University, Seoul 120-750 (Korea, Republic of); Jin, Hyunwoo; Lee, Suyoun [Electronic Materials Research Center, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong [Department of Material Science and Engineering, Seoul National University, Seoul 151-747 (Korea, Republic of)

    2014-08-11

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO{sub 3} (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO{sub 2.5}) and conducting perovskite (SrCoO{sub 3−δ}) depending on the oxygen content. The current–voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoO{sub x} thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO{sub 2.5}.

  8. Nature of low dimensional structural modulations and relative phase stability in RexMo(W)1-xS2 transition metal dichalcogenide alloys

    KAUST Repository

    Sahu, R.

    2017-03-08

    We report on the various types of Peierls like two dimensional structural modulations and relative phase stability of 2H and 1T poly-types in the RexMo1-xS2 and RexW1-xS2 alloy system. Theoretical calculation predicts a polytype phase transition cross over at ∼50 at. % of Mo and W in ReS2 in both monolayer and bulk form, respectively. Experimentally, two different types of structural modulations at 50% and a modulation corresponding to trimerization at 75% alloy composition are observed for RexMo1-xS2 and only one type of modulation is observed at the 50% RexW1-xS2 alloy system. The 50% alloy system is found to be a suitable monolithic candidate for metal semiconductor transition with minute external perturbation. ReS2 is known to be in the 2D Peierls distorted 1Td structure and forms a chain like superstructure. Incorporation of Mo and W atoms into the ReS2 lattice modifies the metal-metal hybridization between the cations and influences the structural modulation and electronic properties of the system. The results offer yet another effective way to tune the electronic structure and poly-type phases of this class of materials other than intercalation, strain, and vertical stacking arrangement.

  9. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  10. Ultrafast optical phase modulation with metallic nanoparticles in ion-implanted bilayer silica

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Torres, C [Seccion de Estudios de Posgrado e Investigacion, ESIME-Z, Instituto Politecnico Nacional, Mexico, DF, 07738 (Mexico); Tamayo-Rivera, L; Silva-Pereyra, H G; Reyes-Esqueda, J A; Rodriguez-Fernandez, L; Crespo-Sosa, A; Cheang-Wong, J C; Oliver, A [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, 04510, Mexico, DF (Mexico); Rangel-Rojo, R [Departamento de Optica, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada Apartado Postal 360, Ensenada, BC, 22860 (Mexico); Torres-Martinez, R, E-mail: crstorres@yahoo.com.mx [Centro de Investigacion en Ciencia Aplicada y TecnologIa Avanzada Unidad Queretaro, Instituto Politecnico Nacional, Santiago de Queretaro, Queretaro, 76090 (Mexico)

    2011-09-02

    The nonlinear optical response of metallic-nanoparticle-containing composites was studied with picosecond and femtosecond pulses. Two different types of nanocomposites were prepared by an ion-implantation process, one containing Au nanoparticles (NPs) and the other Ag NPs. In order to measure the optical nonlinearities, we used a picosecond self-diffraction experiment and the femtosecond time-resolved optical Kerr gate technique. In both cases, electronic polarization and saturated absorption were identified as the physical mechanisms responsible for the picosecond third-order nonlinear response for a near-resonant 532 nm excitation. In contrast, a purely electronic nonlinearity was detected at 830 nm with non-resonant 80 fs pulses. Regarding the nonlinear optical refractive behavior, the Au nanocomposite presented a self-defocusing effect, while the Ag one presented the opposite, that is, a self-focusing response. But, when evaluating the simultaneous contributions when the samples are tested as a multilayer sample (silica-Au NPs-silica-Ag NPs-silica), we were able to obtain optical phase modulation of ultra-short laser pulses, as a result of a significant optical Kerr effect present in these nanocomposites. This allowed us to implement an ultrafast all-optical phase modulator device by using a combination of two different metallic ion-implanted silica samples. This control of the optical phase is a consequence of the separate excitation of the nonlinear refracting phenomena exhibited by the separate Au and Ag nanocomposites.

  11. Ultrafast optical phase modulation with metallic nanoparticles in ion-implanted bilayer silica

    International Nuclear Information System (INIS)

    Torres-Torres, C; Tamayo-Rivera, L; Silva-Pereyra, H G; Reyes-Esqueda, J A; Rodriguez-Fernandez, L; Crespo-Sosa, A; Cheang-Wong, J C; Oliver, A; Rangel-Rojo, R; Torres-Martinez, R

    2011-01-01

    The nonlinear optical response of metallic-nanoparticle-containing composites was studied with picosecond and femtosecond pulses. Two different types of nanocomposites were prepared by an ion-implantation process, one containing Au nanoparticles (NPs) and the other Ag NPs. In order to measure the optical nonlinearities, we used a picosecond self-diffraction experiment and the femtosecond time-resolved optical Kerr gate technique. In both cases, electronic polarization and saturated absorption were identified as the physical mechanisms responsible for the picosecond third-order nonlinear response for a near-resonant 532 nm excitation. In contrast, a purely electronic nonlinearity was detected at 830 nm with non-resonant 80 fs pulses. Regarding the nonlinear optical refractive behavior, the Au nanocomposite presented a self-defocusing effect, while the Ag one presented the opposite, that is, a self-focusing response. But, when evaluating the simultaneous contributions when the samples are tested as a multilayer sample (silica-Au NPs-silica-Ag NPs-silica), we were able to obtain optical phase modulation of ultra-short laser pulses, as a result of a significant optical Kerr effect present in these nanocomposites. This allowed us to implement an ultrafast all-optical phase modulator device by using a combination of two different metallic ion-implanted silica samples. This control of the optical phase is a consequence of the separate excitation of the nonlinear refracting phenomena exhibited by the separate Au and Ag nanocomposites.

  12. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    GaN is recognized as a possible material for metal oxide semiconductor field effect transistors (MOSFETs) used in high temperature, high power and high speed electronic applications. However, high gate leakage and low device breakdown voltages limit their use in these applications. The use of high-kappa dielectrics, which have both a high permittivity (ε) and high band gap energy (Eg), can reduce the leakage current density that adversely affects MOS devices. La2O3 and Sc2O 3 are rare earth oxides with a large Eg (6.18 eV and 6.3 eV respectively) and a relatively high ε (27 and 14.1 respectively), which make them good candidates for enhancing MOSFET performance. Epitaxial growth of oxides is a possible approach to reducing leakage current and Fermi level pinning related to a high density of interface states for dielectrics on compound semiconductors. In this work, La2O3 and Sc2O 3 were characterized structurally and electronically as potential epitaxial gate dielectrics for use in GaN based MOSFETs. GaN surface treatments were examined as a means for additional interface passivation and influencing subsequent oxide formation. Potassium persulfate (K2(SO4)2) and potassium hydroxide (KOH) were explored as a way to achieve improved passivation and desired surface termination for GaN films deposited on sapphire substrates by metal organic chemical vapor deposition (MOCVD). X-ray photoelectron spectroscopy (XPS) showed that KOH left a nitrogen-rich interface, while K2(SO 4)2 left a gallium-rich interface, which provides a way to control surface oxide formation. K2(SO4)2 exhibited a shift in the O1s peak indicating the formation of a gallium-rich GaOx at the surface with decreased carbon contaminants. GaO x acts as a passivating layer prior to dielectric deposition, which resulted in an order of magnitude reduction in leakage current, a reduced hysteresis window, and an overall improvement in device performance. Furthermore, K2(SO4)2 resulted in an additional 0.4 eV of

  13. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  14. High-modulation-efficiency, integrated waveguide modulator-laser diode at 448 nm

    KAUST Repository

    Shen, Chao

    2016-01-25

    To date, solid-state lighting (SSL), visible light communication (VLC) and optical clock generation functionalities in the blue-green color regime have been demonstrated based on discrete devices, including light-emitting diodes, laser diodes, and transverse-transmission modulators. This work presents the first integrated waveguide modulator-laser diode (IWM-LD) at 448 nm, offering the advantages of small-footprint, high-speed, and low power-consumption. A high modulation efficiency of 2.68 dB/V, deriving from a large extinction ratio of 9.4 dB and a low operating voltage range of 3.5 V, was measured. The electroabsorption characteristics revealed that the modulation effect, as observed from the red-shifting of the absorption edge, was resulted from the external-field-induced quantum-confined-Stark-effect (QCSE). A comparative analysis of the photocurrent versus wavelength spectra in semipolar- and polar-plane InGaN/GaN quantum wells (QWs) confirmed that the IWM-LD based on semipolar (20¯2 ¯1) QWs was able to operate in a manner similar to other III-V materials typically used in optical telecommunications, due to the reduced piezoelectric field. Utilizing the integrated modulator, a -3dB bandwidth of ~1 GHz was measured, and a data rate of 1 Gbit/s was demonstrated using on-off keying (OOK) modulation. Our experimental investigation highlighted the advantage of implementing the IWM-LD on the same semipolar QW epitaxy in enabling a high-efficiency platform for SSL-VLC dual-functionalities.

  15. High-modulation-efficiency, integrated waveguide modulator-laser diode at 448 nm

    KAUST Repository

    Shen, Chao; Ng, Tien Khee; Leonard, John T.; Pourhashemi, Arash; Oubei, Hassan M.; Alias, Mohd Sharizal; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.; Alyamani, Ahmed Y.; Eldesouki, Munir M.; Ooi, Boon S.

    2016-01-01

    To date, solid-state lighting (SSL), visible light communication (VLC) and optical clock generation functionalities in the blue-green color regime have been demonstrated based on discrete devices, including light-emitting diodes, laser diodes, and transverse-transmission modulators. This work presents the first integrated waveguide modulator-laser diode (IWM-LD) at 448 nm, offering the advantages of small-footprint, high-speed, and low power-consumption. A high modulation efficiency of 2.68 dB/V, deriving from a large extinction ratio of 9.4 dB and a low operating voltage range of 3.5 V, was measured. The electroabsorption characteristics revealed that the modulation effect, as observed from the red-shifting of the absorption edge, was resulted from the external-field-induced quantum-confined-Stark-effect (QCSE). A comparative analysis of the photocurrent versus wavelength spectra in semipolar- and polar-plane InGaN/GaN quantum wells (QWs) confirmed that the IWM-LD based on semipolar (20¯2 ¯1) QWs was able to operate in a manner similar to other III-V materials typically used in optical telecommunications, due to the reduced piezoelectric field. Utilizing the integrated modulator, a -3dB bandwidth of ~1 GHz was measured, and a data rate of 1 Gbit/s was demonstrated using on-off keying (OOK) modulation. Our experimental investigation highlighted the advantage of implementing the IWM-LD on the same semipolar QW epitaxy in enabling a high-efficiency platform for SSL-VLC dual-functionalities.

  16. Ultrashort-period lateral composition modulation in TlInGaAsN/TlInP structures

    International Nuclear Information System (INIS)

    Ishimaru, Manabu; Tanaka, Yuusuke; Hasegawa, Shigehiko; Asahi, Hajime; Sato, Kazuhisa; Konno, Toyohiko J.

    2009-01-01

    We prepared TlInGaAsN/TlInP quantum well structures using gas source molecular-beam epitaxy and characterized them by means of transmission electron microscopy and scanning transmission electron microscopy. It was found that naturally formed vertical quantum wells, so-called lateral composition modulation (LCM), with a periodicity of ∼1 nm are formed in TlInGaAsN layers. We discuss their formation process using a simple kinetic Ising model for layer-by-layer growth, and point out that the formation of ultrashort-period LCM is a universal phenomenon in most of epitaxially grown III-V semiconductor alloys.

  17. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  18. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  19. Nuclear Technology. Course 28: Welding Inspection. Module 28-3, Tungsten Inert Gas (TIG), Metal Inert Gas (MIG) and Submerged Arc Welding.

    Science.gov (United States)

    Espy, John

    This third in a series of ten modules for a course titled Welding Inspection presents the apparatus, process techniques, procedures, applications, associated defects, and inspection for the tungsten inert gas, metal inert gas, and submerged arc welding processes. The module follows a typical format that includes the following sections: (1)…

  20. Oxygen content modulation by nanoscale chemical and electrical patterning in epitaxial SrCoO3-δ (0 < δ ≤ 0.5) thin films

    Science.gov (United States)

    Hu, S.; Seidel, J.

    2016-08-01

    Fast controllable redox reactions in solid materials at room temperature are a promising strategy for enhancing the overall performance and lifetime of many energy technology materials and devices. Easy control of oxygen content is a key concept for the realisation of fast catalysis and bulk diffusion at room temperature. Here, high quality epitaxial brownmillerite SrCoO2.5 thin films have been oxidised to perovskite (P) SrCoO3 with NaClO. X-ray diffraction, scanning probe microscopy and x-ray photoelectron spectroscopy measurements were performed to investigate the structural and electronic changes of the material. The oxidised thin films were found to exhibit distinct morphological changes from an atomically flat terrace structure to forming small nanosized islands with boundaries preferentially in [100] or [010] directions all over the surface, relaxing the in-plane strain imposed by the substrate. The conductivity, or oxygen content, of each single island is confined by these textures, which can be locally patterned even further with electric poling. The high charging level at the island boundaries indicates a magnified electric capacity of SCO thin films, which could be exploited in future device geometries. This finding represents a new way of oxygen modulation with associated self-assembled charge confinement to nanoscale boundaries, offering interesting prospects in nanotechnology applications.

  1. Microwave dynamics of YBCO bi-epitaxial Josephson structures

    DEFF Research Database (Denmark)

    Constantinian, K. Y.; Ovsyannikov, G. A.; Mashtakov, A. D.

    1996-01-01

    The processes of interaction of microwaves (frequency View the MathML source) with a single high-Tc superconducting YBa2Cu3Ox (YBCO) bi-epitaxial grain-boundary junction and with an array of two junctions connected in series, have been investigated experimentally at temperatures T = 4.2− 77 K......, as well as the subharmonic detector response at weak magnetic fields φ microwave field induced frequency synchronization of two series connected bi-epitaxial YBCO junctions....

  2. Characterization of bicrystalline epitaxial LaNiO{sub 3} films fabricated on MgO (1 0 0) substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng Liang [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Zhu Jun [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)]. E-mail: junzhu@uestc.edu.cn; Zhang Ying [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Jiang Shuwen [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Yanrong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Huawei Xian [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Jinlong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)

    2006-03-15

    A series of metallic LaNiO{sub 3} (LNO) thin films were deposited on MgO (1 0 0) substrates by pulsed laser deposition (PLD) under the oxygen pressure of 20 Pa at different substrate temperatures from 450 to 750 deg. C. X-ray diffraction (XRD) was used to characterize the crystal structure of LNO films. {theta}-2{theta} scans of XRD indicate that LNO film deposited at a substrate temperature of 700 deg. C has a high orientation of (l l 0). At other substrate temperatures, the LNO films have mixed phases of (l l 0) and (l 0 0). Furthermore, pole figure measurements show that LNO thin films, with the bicrystalline structure, were epitaxially deposited on MgO (1 0 0) substrates in the mode of LNO (1 1 0)//MgO (1 0 0) at 700 deg. C. Reflection high-energy electric diffraction (RHEED) and atomic force microscopy (AFM) were also performed to investigate the microstructure of LNO films with the high (l l 0) orientation. RHEED patterns clearly confirm this epitaxial relationship. An atomically smooth surface of LNO films at 700 deg. C was obtained. In addition, bicrystalline epitaxial LNO films, fabricated at 700 deg. C, present a excellent conductivity with a lower electrical resistivity of 300 {mu} {omega} cm. Thus, the obtained results indicate that bicystalline epitaxial LNO films could serve as a promising candidate of electrode materials for the fabrication of ferroelectric or dielectric films.

  3. Heavy metals induce oxidative stress and trigger oxidative stress-mediated heat shock protein (hsp) modulation in the intertidal copepod Tigriopus japonicus.

    Science.gov (United States)

    Kim, Bo-Mi; Rhee, Jae-Sung; Jeong, Chang-Bum; Seo, Jung Soo; Park, Gyung Soo; Lee, Young-Mi; Lee, Jae-Seong

    2014-11-01

    Heat shock proteins (hsps) are induced by a wide range of environmental stressors including heavy metals in aquatic organisms. However, the effect of heavy metals on zooplankton at the molecular level remains still unclear. In this study, we measured the intracellular reactive oxygen species (ROS) level and the antioxidant enzyme activities for 96 h after exposure to five heavy metals: arsenic (As), cadmium (Cd), copper (Cu), silver (Ag), and zinc (Zn) in the intertidal copepod Tigriopus japonicus. Activities of the antioxidant enzymes were highly elevated in metal-exposed copepods, indicating that heavy metals can induce oxidative stress by generating ROS, and stimulate the involvement of antioxidant enzymes as cellular defense mechanisms. Subsequently, transcriptional changes in hsp gene families were further investigated in the metal-exposed groups for 96 h. The ROS level and glutathione (GSH) content were significantly increased in Ag-, As-, and Cu-exposed copepods, while they were only slightly elevated in Cd- and Zn-exposed groups. Based on the numbers of significantly modulated hsp genes and their expression levels for 96 h, we measured the effect of heavy metals to stress genes of T. japonicus in the following order: Cu > Zn > Ag > As > Cd, implying that Cu acts as a stronger oxidative stress inducer than other heavy metals. Of them, the expression of hsp20 and hsp70 genes was substantially modulated by exposure to heavy metals, indicating that these genes would provide a sensitive molecular biomarker for aquatic monitoring of heavy metal pollution. Copyright © 2014 Elsevier Inc. All rights reserved.

  4. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  5. Au-assisted growth of anisotropic and epitaxial cdse colloidal nanocrystals via in situ dismantling of quantum dots

    KAUST Repository

    Fernà ndez-Altable, Ví ctor; Dalmases, Mariona; Falqui, Andrea; Casu, Alberto; Torruella, Pau; Estradé , Sò nia; Peiró , Francesca; Figuerola, Albert

    2015-01-01

    Metallic nanocrystals have been revealed in the past years as valuable materials for the catalytic growth of semiconductor nanowires. Yet, only low melting point metals like Bi have been reported to successfully assist the growth of elongated CdX (X = S, Se, Te) systems in solution, and the possibility to use plasmonic noble metals has become a challenging task. In this work we show that the growth of anisotropic CdSe nanostructures in solution can also be efficiently catalyzed by colloidal Au nanoparticles, following a preferential crystallographic alignment between the metallic and semiconductor domains. Noteworthy, we report the heterodox use of semiconductor quantum dots as a homogeneous and tunable source of reactive monomer species to the solution. The mechanistic studies reveal that the in situ delivery of these cadmium and chalcogen monomer species and the formation of AuxCdy alloy seeds are both key factors for the epitaxial growth of elongated CdSe domains. The implementation of this method suggests an alternative synthetic approach for the assembly of different semiconductor domains into more complex heterostructures.

  6. Au-assisted growth of anisotropic and epitaxial cdse colloidal nanocrystals via in situ dismantling of quantum dots

    KAUST Repository

    Fernàndez-Altable, Víctor

    2015-03-10

    Metallic nanocrystals have been revealed in the past years as valuable materials for the catalytic growth of semiconductor nanowires. Yet, only low melting point metals like Bi have been reported to successfully assist the growth of elongated CdX (X = S, Se, Te) systems in solution, and the possibility to use plasmonic noble metals has become a challenging task. In this work we show that the growth of anisotropic CdSe nanostructures in solution can also be efficiently catalyzed by colloidal Au nanoparticles, following a preferential crystallographic alignment between the metallic and semiconductor domains. Noteworthy, we report the heterodox use of semiconductor quantum dots as a homogeneous and tunable source of reactive monomer species to the solution. The mechanistic studies reveal that the in situ delivery of these cadmium and chalcogen monomer species and the formation of AuxCdy alloy seeds are both key factors for the epitaxial growth of elongated CdSe domains. The implementation of this method suggests an alternative synthetic approach for the assembly of different semiconductor domains into more complex heterostructures.

  7. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  8. Theory and simulation of epitaxial rotation. Light particles adsorbed on graphite

    DEFF Research Database (Denmark)

    Vives, E.; Lindgård, P.-A.

    1993-01-01

    We present a theory and Monte Carlo simulations of adsorbed particles on a corrugated substrate. We have focused on the case of rare gases and light molecules, H-2 and D2, adsorbed on graphite. The competition between the particle-particle and particle-substrate interactions gives rise to frustra...... found a modulated 4 x 4 structure. Energy, structure-factor intensities, peak positions, and epitaxial rotation angles as a function of temperature and coverage have been determined from the simulations. Good agreement with theory and experimental data is found.......We present a theory and Monte Carlo simulations of adsorbed particles on a corrugated substrate. We have focused on the case of rare gases and light molecules, H-2 and D2, adsorbed on graphite. The competition between the particle-particle and particle-substrate interactions gives rise...... between the commensurate and incommensurate phase for the adsorbed systems. From our simulations and our theory, we are, able to understand the gamma phase of D2 as an ordered phase stabilized by disorder. It can be described as a 2q-modulated structure. In agreement with the experiments, we have also...

  9. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  10. Magneto-transport and thermoelectric properties of epitaxial FeSb{sub 2} thin film on MgO substrate

    Energy Technology Data Exchange (ETDEWEB)

    Duong, Anh Tuan; Rhim, S. H., E-mail: sonny@ulsan.ac.kr; Shin, Yooleemi; Nguyen, Van Quang; Cho, Sunglae, E-mail: slcho@ulsan.ac.kr [Department of Physics and Energy Harvest-Storage Research Center, University of Ulsan, Ulsan 680-749 (Korea, Republic of)

    2015-01-19

    We report magneto-transport and thermoelectric properties of FeSb{sub 2} thin film epitaxially grown on the MgO substrate using molecular beam epitaxy. The film exhibits compressive strain of 1.74% owing to large lattice mismatch, whose physical consequences are nontrivial. Magnetic phase has been changed from diamagnetic in bulk, as evidenced by anomalous Hall effect (AHE) and negative magneto-resistance (MR). The FeSb{sub 2} film is semiconducting without any metallic transition unlike the bulk counterpart. In particular, hysteresis in MR with distinct feature of AHE is evident with coercive field of 500 and 110 Oe for T = 20 and 50 K, respectively. Furthermore, from the Seebeck coefficients and temperature dependence of the resistivity, it is evident that the film is semiconducting with small band gap: 3.76 meV for T < 40 K and 13.48 meV for T > 40 K, respectively, where maximum thermoelectric power factor of 12 μV/cm·K at T = 50 K.

  11. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)

    2016-04-25

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.

  12. From epitaxial growth of ferrite thin films to spin-polarized tunnelling

    International Nuclear Information System (INIS)

    Moussy, Jean-Baptiste

    2013-01-01

    This paper presents a review of the research which is focused on ferrite thin films for spintronics. First, I will describe the potential of ferrite layers for the generation of spin-polarized currents. In the second step, the structural and chemical properties of epitaxial thin films and ferrite-based tunnel junctions will be presented. Particular attention will be given to ferrite systems grown by oxygen-assisted molecular beam epitaxy. The analysis of the structure and chemistry close to the interfaces, a key-point for understanding the spin-polarized tunnelling measurements, will be detailed. In the third part, the magnetic and magneto-transport properties of magnetite (Fe 3 O 4 ) thin films as a function of structural defects such as the antiphase boundaries will be explained. The spin-polarization measurements (spin-resolved photoemission, tunnel magnetoresistance) on this oxide predicted to be half-metallic will be discussed. Fourth, the potential of magnetic tunnel barriers, such as CoFe 2 O 4 , NiFe 2 O 4 or MnFe 2 O 4 , whose insulating behaviour and the high Curie temperatures make it exciting candidates for spin filtering at room temperature will be described. Spin-polarized tunnelling experiments, involving either Meservey–Tedrow or tunnel magnetoresistance measurements, will reveal significant spin-polarizations of the tunnelling current at low temperatures but also at room temperatures. Finally, I will mention a few perspectives with ferrite-based heterostructures. (topical review)

  13. Growth of metal-organic framework HKUST-1 in capillary using liquid-phase epitaxy for open-tubular capillary electrochromatography and capillary liquid chromatography.

    Science.gov (United States)

    Bao, Tao; Zhang, Juan; Zhang, Wenpeng; Chen, Zilin

    2015-02-13

    Much attention is being paid to applying metal-organic frameworks (MOFs) as stationary phases in chromatography because of their fascinating properties, such as large surface-to-volume ratios, high levels of porosity, and selective adsorption. HKUST-1 is one of the best-studied face-centered-cubic MOF containing nano-sized channels and side pockets for film growth. However, growth of HKUST-1 framework inside capillary column as stationary phase for capillary electrochromatography is a challenge work. In this work, we carry out the growth of HKUST-1 on the inner wall of capillary by using liquid-phase epitaxy process at room temperature. The fabricated HKUST-1@capillary can be successfully used for the separation of substituted benzene including methylbenzene, ethylbenzene, styrene, chlorobenzene, bromobenzene, o-dichlorobenzene, benzene series, phenolic acids, and benzoic acids derivates. High column efficiency of 1.5×10(5) N/m for methylbenzene was achieved. The formation of HKUST-1 grown in the capillary was confirmed and characterized by scanning electron microscopy images, Fourier transform infrared spectra and X-ray diffraction. The column showed long lifetime and excellent stability. The relative standard deviations for intra-day and inter-day repeatability of the HKUST-1@capillary were lower than 7%. Copyright © 2015 Elsevier B.V. All rights reserved.

  14. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  15. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  16. Ceramic/metal nanocomposites by lyophilization: Processing and HRTEM study

    International Nuclear Information System (INIS)

    Gutierrez-Gonzalez, C.F.; Agouram, S.; Torrecillas, R.; Moya, J.S.; Lopez-Esteban, S.

    2012-01-01

    Highlights: ► A cryogenic route has been used to obtain ceramic/metal nanostructured powders. ► The powders present good homogeneity and dispersion of metal. ► The metal nanoparticle size distributions are centred in 17–35 nm. ► Both phases, ceramic and metal, present a high degree of crystallinity. ► Good metal/ceramic interfaces due to epitaxial growth, studied by HRTEM. -- Abstract: This work describes a wet-processing route based on spray-freezing and subsequent lyophilization designed to obtain nanostructured ceramic/metal powders. Starting from the ceramic powder and the corresponding metal salt, a water-based suspension is sprayed on liquid nitrogen. The frozen powders are subsequently freeze-dried, calcined and reduced. The material was analyzed using X-ray diffraction analysis at all stages. High resolution transmission electron microscopy studies showed a uniform distribution of metal nanoparticles on the ceramic grain surfaces, good interfaces and high crystallinity, with an average metal particle size in the nanometric range.

  17. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  18. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  19. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  20. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  1. Proton and γ-ray Induced Radiation Effects on 1 Gbit LPDDR SDRAM Fabricated on Epitaxial Wafer for Space Applications

    Directory of Open Access Journals (Sweden)

    Mi Young Park

    2016-09-01

    Full Text Available We present proton-induced single event effects (SEEs and γ-ray-induced total ionizing dose (TID data for 1 Gbit lowpower double data rate synchronous dynamic random access memory (LPDDR SDRAM fabricated on a 5 μm epitaxial layer (54 nm complementary metal-oxide-semiconductor (CMOS technology. We compare our radiation tolerance data for LPDDR SDRAM with those of general DDR SDRAM. The data confirms that our devices under test (DUTs are potential candidates for space flight applications.

  2. Phase coexistence in the metal-insulator transition of a VO2 thin film

    International Nuclear Information System (INIS)

    Chang, Y.J.; Koo, C.H.; Yang, J.S.; Kim, Y.S.; Kim, D.H.; Lee, J.S.; Noh, T.W.; Kim, Hyun-Tak; Chae, B.G.

    2005-01-01

    Vanadium dioxide (VO 2 ) shows a metal-insulator transition (MIT) near room temperature, accompanied by an abrupt resistivity change. Since the MIT of VO 2 is known to be a first order phase transition, it is valuable to check metallic and insulating phase segregation during the MIT process. We deposited (100)-oriented epitaxial VO 2 thin films on R-cut sapphire substrates. From the scanning tunneling spectroscopy (STS) spectra, we could distinguish metallic and insulating regions by probing the band gap. Optical spectroscopic analysis also supported the view that the MIT in VO 2 occurs through metal and insulator phase coexistence

  3. Vacancy defects in epitaxial La0.7Sr0.3MnO3 thin films probed by a slow positron beam

    International Nuclear Information System (INIS)

    Jin, S W; Zhou, X Y; Wu, W B; Zhu, C F; Weng, H M; Wang, H Y; Zhang, X F; Ye, B J; Han, R D

    2004-01-01

    Vacancy defects in epitaxial La 0.7 Sr 0.3 MnO 3 (LSMO) thin films on LaAlO 3 substrates were detected using a variable energy positron beam. The line-shape S parameter of the epitaxial thin films deposited at different oxygen pressures was measured as a function of the implanting positron energy E. Our results show that the S parameter of the films changes non-monotonically with their deposition oxygen pressures. For the films deposited at lower oxygen pressures, the increase in S value in the films is attributed to the increase in oxygen vacancies and/or related defect-V O complexes, and for those deposited at higher oxygen pressures, the larger S parameter of the films is caused by the grain boundaries and/or metallic ion vacancies. The surface morphology of the films was also characterized to analyse the open volume defects in the LSMO films

  4. Orientation-dependent physical properties of layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Li-Wei; Guo, Bing; Chen, Chang-Le, E-mail: chenchl@nwpu.edu.cn; Luo, Bing-Cheng; Dong, Xiang-Lei; Jin, Ke-Xin

    2017-04-01

    In this paper, the resistivity and magnetization of orientation-engineered layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films have been investigated. Epitaxial thin films were deposited on single-crystalline LaAlO{sub 3} (LAO) (001), (110) and (111) substrates by pulse laser deposition (PLD) technique. It is found that only the (100)-oriented thin film performs insulator behavior, whereas the (110) and (111)-oriented thin films exhibit obvious metal-insulator transition at 70 K and between 85 and 120 K, respectively. Moreover, the same spin freezing temperature and different spin-glass-like transition temperatures have been observed in various oriented films. The observed experimental results were discussed according to the electron-transport mechanism and spin dynamics.

  5. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  6. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  7. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    Science.gov (United States)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  8. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  9. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  10. Magnetoresistance at artificial interfaces in epitaxial ferromagnetic thin films

    International Nuclear Information System (INIS)

    Fontcuberta, J.; Bibes, M.; Martinez, B.; Trtik, V.; Ferrater, C.; Sanchez, F.; Varela, M.

    2000-01-01

    Epitaxial La 2/3 Sr 1/3 MnO 3 and SrRuO 3 thin films have been grown by laser ablation on single-crystalline SrTiO 3 substrates. Prior to manganite or ruthenate deposition tracks have been patterned on the SrTiO 3 substrate by using an appropriately focused laser beam. In the experiments here reported linear tracks have been formed. The magnetotransport properties of the films, particularly the magnetoresistance, along paths parallel and perpendicular to the track have been extensively investigated and compared to similar data recorded on films grown on bicrystalline STO substrates. Whereas in LSMO a significant low-field tunnel magnetoresistance develops across the artificial interface, in SRO this tunnel contribution is absent. However, a significant high-field magnetoresistance is observed for both metallic and ferromagnetic systems. The results are analysed and discussed within the framework of the current understanding of double exchange and itinerant ferromagnets. Magnetoresistance data for various configurations of the track array are presented

  11. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  12. Photoreflectance study of strained GaAsN/GaAs T-junction quantum wires grown by metal-organic vapor phase epitaxy.

    Science.gov (United States)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Onabe, Kentaro

    2011-12-01

    Strained GaAsN T-junction quantum wires (T-QWRs) with different N contents grown on GaAs by two steps metal-organic vapor phase epitaxy in [001] and [110] directions, namely QW1 and QW2 respectively, have been investigated by photoreflectance (PR) spectroscopy. Two GaAsN T-QWRs with different N contents were formed by T-intersection of (i) a 6.4-nm-thick GaAs0.89N0.011 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2 and (ii) a 5.0-nm-thick GaAs0.985N0.015 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2. An evidence of a one-dimensional structure at T-intersection of the two QWs on the (001) and (110) surfaces was established by PR resonances associated with extended states in all the QW and T-QWR samples. It is found that larger lateral confinement energy than 100 meV in both of [001] and [110] directions were achieved for GaAsN T-QWRs. With increasing temperature, the transition energy of GaAsN T-QWRs decreases with a faster shrinking rate compared to that of bulk GaAs. Optical quality of GaAsN T-QWRs is found to be affected by the N-induced band edge fluctuation, which is the unique characteristic of dilute III-V-nitrides.

  13. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  14. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  15. Ab initio calculation of band alignment of epitaxial La2O3 on Si(111 substrate

    Directory of Open Access Journals (Sweden)

    Alberto Debernardi

    2015-08-01

    Full Text Available By means of plane wave pseudopotential method we have studied the electronic properties of the heterostructure formed by an high dielectric constant (k oxide, the hexagonal La2O3 epitaxially grown with (0001-orientation on Si (111 substrate. We found that for La2O3 both the dielectric constant along the growth direction and the band gap are larger in the epitaxial film than in the bulk. By super-cell techniques we have computed the band alignment of the junction finding a valence band offset and a conduction band offset of ~1.6 eV and ~1.7 eV respectively. We demonstrate that the band alignment can be engineered by δ-doping the interface: our simulations show that, by doping the interface with S or Se monolayer, the valence (conduction band offset increases (decreases of about 0.5 eV without the formation of spurious electronic states in the semiconductor band-gap. The simulation of the critical thickness of pseudomorphic Lanthana film complete the work. Our results are relevant for the realization of a new generation of devices based on ultra-scaled complementary metal oxides semiconductors (CMOS technology.

  16. High-resolution hydrogen profiling in AlGaN/GaN heterostructures grown by different epitaxial methods

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Posada Flores, F; Redondo-Cubero, A; Bengoechea, A; Brana, A F; Munoz, E [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM) and Dpto. IngenierIa Electronica (DIE), ETSI de Telecomunicacion, Universidad Politecnica de Madrid, E-28040 Madrid (Spain); Gago, R [Centro de Micro-Analisis de Materiales, Universidad Autonoma de Madrid, E-28049 Madrid (Spain); Jimenez, A [Dpto. Electronica, Escuela Politecnica Superior, Universidad de Alcala, E-28805 Alcala de Henares, Madrid (Spain); Grambole, D, E-mail: fposada@die.upm.e [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, PF 51019, D-01314 Dresden (Germany)

    2009-03-07

    Hydrogen (H) incorporation into AlGaN/GaN heterostructures used in high electron mobility transistors, grown by different methods, is studied by high-resolution depth profiling. Samples grown on sapphire and Si(1 1 1) substrates by molecular-beam epitaxy and metal-organic vapour phase epitaxy; involving H-free and H-containing precursors, were analysed to evaluate the eventual incorporation of H into the wafer. The amount of H was measured by means of nuclear reaction analysis (NRA) using the {sup 1}H({sup 15}N,{alpha}{gamma}){sup 12}C reaction up to a depth of {approx}110 nm into the heterostructures. Interestingly, the H profiles are similar in all the samples analysed, with an increasing H content towards the surface and a negligible H incorporation into the GaN layer (0.24 {+-} 0.08 at%) or at the AlGaN/GaN interface. Therefore, NRA shows that H uptake is not related to the growth process or technique employed and that H contamination may be due to external sources after growth. The eventual correlation between topographical defects on the AlGaN surface and the H concentration are also discussed.

  17. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  18. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  19. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  20. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  1. Transport properties in a monolayer graphene modulated by the realistic magnetic field and the Schottky metal stripe

    Science.gov (United States)

    Lu, Jian-Duo; Li, Yun-Bao; Liu, Hong-Yu; Peng, Shun-Jin; Zhao, Fei-Xiang

    2016-09-01

    Based on the transfer-matrix method, a systematic investigation of electron transport properties is done in a monolayer graphene modulated by the realistic magnetic field and the Schottky metal stripe. The strong dependence of the electron transmission and the conductance on the incident angle of carriers is clearly seen. The height, position as well as width of the barrier also play an important role on the electron transport properties. These interesting results are very useful for understanding the tunneling mechanism in the monolayer graphene and helpful for designing the graphene-based electrical device modulated by the realistic magnetic field and the electrical barrier.

  2. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  3. Interfacial properties of stanene-metal contacts

    Science.gov (United States)

    Guo, Ying; Pan, Feng; Ye, Meng; Wang, Yangyang; Pan, Yuanyuan; Zhang, Xiuying; Li, Jingzhen; Zhang, Han; Lu, Jing

    2016-09-01

    Recently, two-dimensional buckled honeycomb stanene has been manufactured by molecular beam epitaxy growth. Free-standing stanene is predicted to have a sizable opened band gap of 100 meV at the Dirac point due to spin-orbit coupling (SOC), resulting in many fascinating properties such as quantum spin Hall effect, quantum anomalous Hall effect, and quantum valley Hall effect. In the first time, we systematically study the interfacial properties of stanene-metal interfaces (metals = Ag, Au, Cu, Al, Pd, Pt, Ir, and Ni) by using ab initio electronic structure calculations considering the SOC effects. The honeycomb structure of stanene is preserved on the metal supports, but the buckling height is changed. The buckling of stanene on the Au, Al, Ag, and Cu metal supports is higher than that of free-standing stanene. By contrast, a planar graphene-like structure is stabilized for stanene on the Ir, Pd, Pt, and Ni metal supports. The band structure of stanene is destroyed on all the metal supports, accompanied by a metallization of stanene because the covalent bonds between stanene and the metal supports are formed and the structure of stanene is distorted. Besides, no tunneling barrier exists between stanene and the metal supports. Therefore, stanene and the eight metals form a good vertical Ohmic contact.

  4. Control of surface adatom kinetics for the growth of high-indium content InGaN throughout the miscibility gap

    Science.gov (United States)

    Moseley, Michael; Lowder, Jonathan; Billingsley, Daniel; Doolittle, W. Alan

    2010-11-01

    The surface kinetics of InGaN alloys grown via metal-modulated epitaxy (MME) are explored in combination with transient reflection high-energy electron diffraction intensities. A method for monitoring and controlling indium segregation in situ is demonstrated. It is found that indium segregation is more accurately associated with the quantity of excess adsorbed metal, rather than the metal-rich growth regime in general. A modified form of MME is developed in which the excess metal dose is managed via shuttered growth, and high-quality InGaN films throughout the miscibility gap are grown.

  5. Control of surface adatom kinetics for the growth of high-indium content InGaN throughout the miscibility gap

    International Nuclear Information System (INIS)

    Moseley, Michael; Lowder, Jonathan; Billingsley, Daniel; Doolittle, W. Alan

    2010-01-01

    The surface kinetics of InGaN alloys grown via metal-modulated epitaxy (MME) are explored in combination with transient reflection high-energy electron diffraction intensities. A method for monitoring and controlling indium segregation in situ is demonstrated. It is found that indium segregation is more accurately associated with the quantity of excess adsorbed metal, rather than the metal-rich growth regime in general. A modified form of MME is developed in which the excess metal dose is managed via shuttered growth, and high-quality InGaN films throughout the miscibility gap are grown.

  6. Visualizing Carrier Transport in Metal Halide Perovskite Nanoplates via Electric Field Modulated Photoluminescence Imaging.

    Science.gov (United States)

    Hu, Xuelu; Wang, Xiao; Fan, Peng; Li, Yunyun; Zhang, Xuehong; Liu, Qingbo; Zheng, Weihao; Xu, Gengzhao; Wang, Xiaoxia; Zhu, Xiaoli; Pan, Anlian

    2018-05-09

    Metal halide perovskite nanostructures have recently been the focus of intense research due to their exceptional optoelectronic properties and potential applications in integrated photonics devices. Charge transport in perovskite nanostructure is a crucial process that defines efficiency of optoelectronic devices but still requires a deep understanding. Herein, we report the study of the charge transport, particularly the drift of minority carrier in both all-inorganic CsPbBr 3 and organic-inorganic hybrid CH 3 NH 3 PbBr 3 perovskite nanoplates by electric field modulated photoluminescence (PL) imaging. Bias voltage dependent elongated PL emission patterns were observed due to the carrier drift at external electric fields. By fitting the drift length as a function of electric field, we obtained the carrier mobility of about 28 cm 2 V -1 S -1 in the CsPbBr 3 perovskite nanoplate. The result is consistent with the spatially resolved PL dynamics measurement, confirming the feasibility of the method. Furthermore, the electric field modulated PL imaging is successfully applied to the study of temperature-dependent carrier mobility in CsPbBr 3 nanoplates. This work not only offers insights for the mobile carrier in metal halide perovskite nanostructures, which is essential for optimizing device design and performance prediction, but also provides a novel and simple method to investigate charge transport in many other optoelectronic materials.

  7. Modulating the electronic and magnetic properties of bilayer borophene via transition metal atoms intercalation: from metal to half metal and semiconductor.

    Science.gov (United States)

    Zhang, Xiuyun; Sun, Yi; Ma, Liang; Zhao, Xinli; Yao, Xiaojing

    2018-07-27

    Borophene, a two-dimensional monolayer made of boron atoms, has attracted wide attention due to its appealing properties. Great efforts have been devoted to fine tuning its electronic and magnetic properties for desired applications. Herein, we theoretically investigate the versatile electronic and magnetic properties of bilayer borophene (BLB) intercalated by 3d transition metal (TM) atoms, TM@BLBs (TM = Ti-Fe), using ab initio calculations. Four allotropes of AA-stacking (α 1 -, β-, β 12 - and χ 3 -) BLBs with different intercalation concentrations of TM atoms are considered. Our results show that the TM atoms are strongly bonded to the borophene layers with fairly large binding energies, around 6.31 ∼ 15.44 eV per TM atom. The BLBs with Cr and Mn intercalation have robust ferromagnetism, while for the systems decorated with Fe atoms, fruitful magnetic properties, such as nonmagnetic, ferromagnetic or antiferromagnetic, are identified. In particular, the α 1 - and β-BLBs intercalated by Mn or Fe atom can be transformed into a semiconductor, half metal or graphene-like semimetal. Moreover, some heavily doped TM@BLBs expose high Curie temperatures above room temperature. The attractive properties of TM@BLBs entail an efficient way to modulate the electronic and magnetic properties of borophene sheets for advanced applications.

  8. Composition-induced structural, electrical, and magnetic phase transitions in AX-type mixed-valence cobalt oxynitride epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Jumpei; Oka, Daichi [Department of Chemistry, School of Science, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); Hirose, Yasushi, E-mail: hirose@chem.s.u-tokyo.ac.jp; Yang, Chang; Fukumura, Tomoteru; Hasegawa, Tetsuya [Department of Chemistry, School of Science, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Harayama, Isao; Sekiba, Daiichiro [University of Tsukuba Tandem Accelerator Complex (UTTAC), 1-1-1 Tennoudai, Tsukuba, Ibaraki 305-8577 (Japan)

    2015-12-07

    Synthesis of mid- to late-transition metal oxynitrides is generally difficult by conventional thermal ammonolysis because of thermal instability. In this letter, we synthesized epitaxial thin films of AX-type phase-pure cobalt oxynitrides (CoO{sub x}N{sub y}) by using nitrogen-plasma-assisted pulsed laser deposition and investigated their structural, electrical, and magnetic properties. The CoO{sub x}N{sub y} thin films with 0 ≤ y/(x + y) ≤ 0.63 grown on MgO (100) substrates showed a structural phase transition from rock salt (RS) to zinc blend at the nitrogen content y/(x + y) ∼ 0.5. As the nitrogen content increased, the room-temperature electrical resistivity of the CoO{sub x}N{sub y} thin films monotonically decreased from the order of 10{sup 5} Ω cm to 10{sup −4} Ω cm. Furthermore, we observed an insulator-to-metal transition at y/(x + y) ∼ 0.34 in the RS-CoO{sub x}N{sub y} phase, which has not yet been reported in Co{sup 2+}/Co{sup 3+} mixed-valence cobalt oxides with octahedral coordination. The low resistivity in the RS-CoO{sub x}N{sub y} phase, on the 10{sup −3} Ω cm order, may have originated from the intermediate spin state of Co{sup 3+} stabilized by the lowered crystal field symmetry of the CoO{sub 6−n}N{sub n} octahedra (n = 1, 2,…5). Magnetization measurements suggested that a magnetic phase transition occurred in the RS-CoO{sub x}N{sub y} films during the insulator-to-metal transition. These results demonstrate that low-temperature epitaxial growth is a promising approach for exploring novel electronic functionalities in oxynitrides.

  9. Composition-induced structural, electrical, and magnetic phase transitions in AX-type mixed-valence cobalt oxynitride epitaxial thin films

    International Nuclear Information System (INIS)

    Takahashi, Jumpei; Oka, Daichi; Hirose, Yasushi; Yang, Chang; Fukumura, Tomoteru; Hasegawa, Tetsuya; Nakao, Shoichiro; Harayama, Isao; Sekiba, Daiichiro

    2015-01-01

    Synthesis of mid- to late-transition metal oxynitrides is generally difficult by conventional thermal ammonolysis because of thermal instability. In this letter, we synthesized epitaxial thin films of AX-type phase-pure cobalt oxynitrides (CoO x N y ) by using nitrogen-plasma-assisted pulsed laser deposition and investigated their structural, electrical, and magnetic properties. The CoO x N y thin films with 0 ≤ y/(x + y) ≤ 0.63 grown on MgO (100) substrates showed a structural phase transition from rock salt (RS) to zinc blend at the nitrogen content y/(x + y) ∼ 0.5. As the nitrogen content increased, the room-temperature electrical resistivity of the CoO x N y thin films monotonically decreased from the order of 10 5  Ω cm to 10 −4  Ω cm. Furthermore, we observed an insulator-to-metal transition at y/(x + y) ∼ 0.34 in the RS-CoO x N y phase, which has not yet been reported in Co 2+ /Co 3+ mixed-valence cobalt oxides with octahedral coordination. The low resistivity in the RS-CoO x N y phase, on the 10 −3  Ω cm order, may have originated from the intermediate spin state of Co 3+ stabilized by the lowered crystal field symmetry of the CoO 6−n N n octahedra (n = 1, 2,…5). Magnetization measurements suggested that a magnetic phase transition occurred in the RS-CoO x N y films during the insulator-to-metal transition. These results demonstrate that low-temperature epitaxial growth is a promising approach for exploring novel electronic functionalities in oxynitrides

  10. Substrate-dependent post-annealing effects on the strain state and electrical transport of epitaxial La{sub 5/8-y}Pr{sub y}Ca{sub 3/8}MnO{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Sixia; Wang, Haibo; Dong, Yongqi; Hong, Bing; He, Hao; Bao, Jun [National Synchrotron Radiation Laboratory and School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China); Huang, Haoliang [CAS Key Laboratory of Materials for Energy Conversion and Collaborative Innovation Center of Chemistry for Energy Materials, University of Science and Technology of China, Hefei, Anhui 230026 (China); Yang, Yuanjun; Luo, Zhenlin, E-mail: zlluo@ustc.edu.cn; Yang, Mengmeng; Gao, Chen, E-mail: cgao@ustc.edu.cn [National Synchrotron Radiation Laboratory and School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China); CAS Key Laboratory of Materials for Energy Conversion and Collaborative Innovation Center of Chemistry for Energy Materials, University of Science and Technology of China, Hefei, Anhui 230026 (China)

    2014-06-15

    Large scale electronic phase separation (EPS) between ferromagnetic metallic and charge-ordered insulating phases in La{sub 5/8-y}Pr{sub y}Ca{sub 3/8}MnO{sub 3} (y = 0.3) (LPCMO) is very sensitive to the structural changes. This work investigates the effects of post-annealing on the strain states and electrical transport properties of LPCMO films epitaxially grown on (001){sub pc} SrTiO{sub 3} (tensile strain), LaAlO{sub 3} (compressive strain) and NdGaO{sub 3} (near-zero strain) substrates. Before annealing, all the films are coherent-epitaxial and insulating through the measured temperature range. Obvious change of film lattice is observed during the post-annealing: the in-plane strain in LPCMO/LAO varies from −1.5% to −0.1% while that in LPCMO/STO changes from 1.6% to 1.3%, and the lattice of LPCMO/NGO keeps constant because of the good lattice-match between LPCMO and NGO. Consequently, the varied film strain leads to the emergence of metal-insulator transitions (MIT) and shift of the critical transition temperature in the electrical transport. These results demonstrate that lattice-mismatch combined with post-annealing is an effective approach to tune strain in epitaxial LPCMO films, and thus to control the EPS and MIT in the films.

  11. Metal ion modulated ultrathin films and nanostructures of tyrosine-based bolaamphiphile at the air/water interface

    International Nuclear Information System (INIS)

    Jiao Tifeng; Cheng Caixia; Xi Fu; Liu Minghua

    2006-01-01

    Supramolecular assemblies at the air/water interface from a newly designed tyrosine-based bolaamphiphile, 1,10-bis(O-L-tyrosine)-decane (C10BT), were investigated. The compound could be spread on water surface and form organized ultrathin film. It was interesting to find that metal ions such as Ag + and Cu 2+ in the subphase can greatly modulate the molecular packing of C10BT and the morphology of the subsequently deposited Langmuir-Blodgett (LB) films. Atomic force microscopic measurements revealed that C10BT LB film from the subphase containing Ag + ion showed well-ordered layered nanofibers, while Cu 2+ ion coordinated C10BT film demonstrated dense cross-linked network. It was suggested that both the strong chelating property to the carboxylate and the different packing mode of hydrocarbon chain resulted in the distinct nanostructures. Fourier transform infrared spectra reveal the difference between the Ag-C10BT complex film and that of Cu 2+ ion, and the mechanism of the packing mode of hydrocarbon chain was discussed. Furthermore, the X-ray diffraction and X-ray photoelectron spectra also verified the orderly layer structure and the relative molar ratios compared with different metal ions. While many efforts have been devoted to manipulation of the nanostructures and functions of sophisticated bolaform amphiphiles, we provided a simple method of modulating the organization and morphology of C10BT films through metal ions

  12. Comparative study of LaNiO$_3$/LaAlO$_3$ heterostructures grown by pulsed laser deposition and oxide molecular beam epitaxy

    OpenAIRE

    Wrobel, F.; Mark, A. F.; Christiani, G.; Sigle, W.; Habermeier, H. -U.; van Aken, P. A.; Logvenov, G.; Keimer, B.; Benckiser, E.

    2017-01-01

    Variations in growth conditions associated with different deposition techniques can greatly affect the phase stability and defect structure of complex oxide heterostructures. We synthesized superlattices of the paramagnetic metal LaNiO3 and the large band gap insulator LaAlO3 by atomic layer-by-layer molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) and compared their crystallinity, microstructure as revealed by high-resolution transmission electron microscopy images and resistiv...

  13. Topotactic reductive synthesis of A-site cation-ordered perovskite YBaCo2O x (x = 4.5-5.5) epitaxial thin films

    Science.gov (United States)

    Katayama, Tsukasa; Chikamatsu, Akira; Fukumura, Tomoteru; Hasegawa, Tetsuya

    2016-04-01

    A-site cation-ordered perovskite YBaCo2O x epitaxial films were synthesized by combining pulsed-laser deposition and topotactic reduction using CaH2. The oxygen contents (x) of the films could be controlled in a range of 4.5-5.5 by adjusting the reaction temperature. The c-axis length of the YBaCo2O x films decreased with decreasing x when x ≥ 5.3 but drastically increased when x ˜ 4.5. In contrast, the in-plane lattice constants remained locked-in by the substrate after the reaction. The metal insulator transition observed in bulk YBaCo2O5.5 was substantially suppressed in the present film, likely because of the epitaxial strain effect. The resistivity of the films was significantly enhanced by changing the x value from ˜5.5 to ˜4.5, reflecting the distortion of the CoO x layers.

  14. Energy modulation of nonrelativistic electrons with a CO2 laser using a metal microslit

    OpenAIRE

    Jongsuck, Bae; Ryo, Ishikawa; Sumio, Okuyama; Takashi, Miyajima; Taiji, Akizuki; Tatsuya, Okamoto; Koji, Mizuno

    2000-01-01

    A metal microslit has been used as an interaction circuit between a CO2 laser beam and nonrelativistic free electrons. Evanescent waves which are induced on the slit by illumination of the laser light modulate the energy of electrons passing close to the surface of the slit. The electron-energy change of more than ±5 eV for the 80 keV electron beam has been observed using the 7 kW laser beam at the wavelength of 10.6 μm.

  15. Energy modulation of nonrelativistic electrons with a CO2 laser using a metal microslit

    Science.gov (United States)

    Bae, Jongsuck; Ishikawa, Ryo; Okuyama, Sumio; Miyajima, Takashi; Akizuki, Taiji; Okamoto, Tatsuya; Mizuno, Koji

    2000-04-01

    A metal microslit has been used as an interaction circuit between a CO2 laser beam and nonrelativistic free electrons. Evanescent waves which are induced on the slit by illumination of the laser light modulate the energy of electrons passing close to the surface of the slit. The electron-energy change of more than ±5 eV for the 80 keV electron beam has been observed using the 7 kW laser beam at the wavelength of 10.6 μm.

  16. Energy modulation of nonrelativistic electrons in an optical near field on a metal microslit

    OpenAIRE

    R., Ishikawa; Jongsuck, Bae; K., Mizuno

    2001-01-01

    Energy modulation of nonrelativistic electrons with a laser beam using a metal microslit as an interaction circuit has been investigated. An optical near field is induced in the proximity of the microslit by illumination of the laser beam. The electrons passing close to the slit are accelerated or decelerated by an evanescent wave contained in the near field whose phase velocity is equal to the velocity of the electrons. The electron-evanescent wave interaction in the microslit has been analy...

  17. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  18. Thin HTSC films produced by a polymer metal precursor technique

    Science.gov (United States)

    Lampe, L. v.; Zygalsky, F.; Hinrichsen, G.

    In precursors the metal ions are combined with acid groups of polymethacrylic acid (PMAA), polyacrylic acid (PAA) or novolac. Compared to thermal degradation temperature of pure polymers those of precursors are low. Precursors films were patterned by UV lithography. Diffractometric investigations showed that the c-axis oriented epitaxial films of YBa 2Cu 3O x and Bi 2Sr 2CaCu 2O x originated from amorphous metal oxide films, which were received after thermal degradation of the precursor. Transition temperatures and current densities were determined by electric resistivity measurements.

  19. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  20. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  1. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  2. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  3. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  4. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  5. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  6. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.

    2017-02-17

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  7. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.; Bouzidi, M.; Chine, Z.; Toure, A.; Halidou, I.; El Jani, B.; Shakfa, M. K.

    2017-01-01

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  8. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  9. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  10. Influence of growth conditions and surface reaction byproducts on GaN grown via metal organic molecular beam epitaxy: Toward an understanding of surface reaction chemistry

    Science.gov (United States)

    Pritchett, David; Henderson, Walter; Burnham, Shawn D.; Doolittle, W. Alan

    2006-04-01

    The surface reaction byproducts during the growth of GaN films via metal organic molecular beam epitaxy (MOMBE) were investigated as a means to optimize material properties. Ethylene and ethane were identified as the dominant surface reaction hydrocarbon byproducts, averaging 27.63% and 7.15% of the total gas content present during growth. Intense ultraviolet (UV) photoexcitation during growth was found to significantly increase the abundance of ethylene and ethane while reducing the presence of H2 and N2. At 920°C, UV excitation was shown to enhance growth rate and crystalline quality while reducing carbon incorporation. Over a limited growth condition range, a 4.5×1019-3.4×1020 cm-3 variation in carbon incorporation was achieved at constant high vacuum. Coupled with growth rate gains, UV excitation yielded films with ˜58% less integrated carbon content. Structural material property variations are reported for various ammonia flows and growth temperatures. The results suggest that high carbon incorporation can be achieved and regulated during MOMBE growth and that in-situ optimization through hydrocarbon analysis may provide further enhancement in the allowable carbon concentration range.

  11. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  12. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  13. Topotactic Metal-Insulator Transition in Epitaxial SrFeO x Thin Films

    International Nuclear Information System (INIS)

    Khare, Amit; Shin, Dongwon; Yoo, Tae Sup; Kim, Minu; Kang, Tae Dong

    2017-01-01

    Multivalent transition metal oxides provide fascinating and rich physics related to oxygen stoichiometry. In particular, the adoptability of various valence states of transition metals enables perovskite oxides to display mixed (oxygen) ionic and electronic conduction and catalytic activity useful in many practical applications, including solid-oxide fuel cells (SOFCs), rechargeable batteries, gas sensors, and memristive devices. For proper realization of the ionic conduction and catalytic activity, it is essential to understand the reversible oxidation and reduction process, which is governed by oxygen storage/release steps in oxides. Topotactic phase transformation facilitates the redox process in perovskites with specific oxygen vacancy ordering by largely varying the oxygen concentration of a material without losing the lattice framework. The concentration and diffusion of oxide ions (O 2– ), the valence state of the transition metal cations, and the thermodynamic structural integrity together provide fundamental understanding and ways to explicitly control the redox reaction.[6] In addition, it offers an attractive route for tuning the emergent physical properties of transition metal oxides, via strong coupling between the crystal lattice and electronic structure.

  14. Epitaxial growth of YBa sub 2 Cu sub 3 O sub 7 minus. delta. thin films on LiNbO sub 3 substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C. (IBM Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (US))

    1989-09-18

    {ital In} {ital situ} epitaxial growth of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} thin films on {ital Y}-cut LiNbO{sub 3} substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ({lt}1 K) superconducting transition with {ital T}{sub {ital c}}({ital R}=0) of 92 K. High critical current density of {ital J}{sub {ital c}}(77 K)=2{times}10{sup 5} A/cm{sup 2} is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the {ital c} axis is normal to the substrate plane and the {ital a} axis is at 45{degree} to the (11.0) direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane.

  15. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  16. XAFS Study of Epitaxial CoxTi1-xO2-x Anatase

    International Nuclear Information System (INIS)

    Heald, S.M.; Chambers, S.A.; Droubay, T.

    2009-01-01

    Co doped TiO 2 -anatase is a promising candidate for a room-temperature ferromagnetic semiconductor. XAFS measurements have been used to investigate the local Co environment and Co valence for several Co-anatase films. The samples were grown on LaAlO 3 (001) by oxygen plasma assisted molecular beam epitaxy and on SrTiO 3 by atomic oxygen assisted MBE. Co concentrations were about 5%. The measurements were made at the PNC-CAT bending magnet and undulator beamlines at the Advanced Photon Source. For the films on LaAlO 3 , the near edge clearly shows the presence of only Co(2+), and no evidence for metallic Co, while the films on SrTiO 3 showed significant metallic Co. Analysis of the extended fine structure for the LaAlO 3 films finds that the Co substitutes for Ti with some distortion of the lattice. Both in-plane and out-of-plane Co-O bonds are expanded from the Ti-O bonds in anatase. The in-plane bonds are expanded approximately twice as much. A deficit in the oxygen coordination number suggests a correlation of oxygen vacancies with Co sites.

  17. Reversal of the lattice structure in SrCoOx epitaxial thin films studied by real-time optical spectroscopy and first-principles calculations

    OpenAIRE

    Choi, Woo Seok; Jeen, Hyoungjeen; Lee, Jun Hee; Seo, S. S. Ambrose; Cooper, Valentino R.; Rabe, Karin M.; Lee, Ho Nyung

    2013-01-01

    Using real-time spectroscopic ellipsometry, we directly observed a reversible lattice and electronic structure evolution in SrCoOx (x = 2.5 - 3) epitaxial thin films. Drastically different electronic ground states, which are extremely susceptible to the oxygen content x, are found in the two topotactic phases, i.e. the brownmillerite SrCoO2.5 and the perovskite SrCoO3. First principles calculations confirmed substantial differences in the electronic structure, including a metal-insulator tran...

  18. Energy modulation of nonrelativistic electrons in an optical near field on a metal microslit

    Science.gov (United States)

    Ishikawa, R.; Bae, J.; Mizuno, K.

    2001-04-01

    Energy modulation of nonrelativistic electrons with a laser beam using a metal microslit as an interaction circuit has been investigated. An optical near field is induced in the proximity of the microslit by illumination of the laser beam. The electrons passing close to the slit are accelerated or decelerated by an evanescent wave contained in the near field whose phase velocity is equal to the velocity of the electrons. The electron-evanescent wave interaction in the microslit has been analyzed theoretically and experimentally. The theory has predicted that electron energy can be modulated at optical frequencies. Experiments performed in the infrared region have verified theoretical predictions. The electron-energy changes of more than ±5 eV with a 10 kW CO2 laser pulse at the wavelength of 10.6 μm has been successfully observed for an electron beam with an energy of less than 80 keV.

  19. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  20. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  1. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  2. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  3. Rare Earth Doped GaN Laser Structures Using Metal Modulated Epitaxy

    Science.gov (United States)

    2015-03-30

    Technology and Physics of MBE. Plenum, New York. (1985) p.38 5. Shawn D. Burnham, Improved Understanding And Control Of Magnesium -Doped Gallium Nitride By...range in order to minimize Mg self-compensation or other kind of defects. The other straightforward method is to increase the magnesium concentration...tested using NaOH etching 22. The surface is resistant to the etching indicating that no polarity inversion occurs during the growth, even though Mg

  4. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  5. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  6. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  7. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  8. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  9. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  10. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  11. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  12. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  13. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  14. Method of depositing an electrically conductive oxide film on a textured metallic substrate and articles formed therefrom

    Science.gov (United States)

    Christen, David K.; He, Qing

    2001-01-01

    The present invention provides a biaxially textured laminate article having a polycrystalline biaxially textured metallic substrate with an electrically conductive oxide layer epitaxially deposited thereon and methods for producing same. In one embodiment a biaxially texture Ni substrate has a layer of LaNiO.sub.3 deposited thereon. An initial layer of electrically conductive oxide buffer is epitaxially deposited using a sputtering technique using a sputtering gas which is an inert or forming gas. A subsequent layer of an electrically conductive oxide layer is then epitaxially deposited onto the initial layer using a sputtering gas comprising oxygen. The present invention will enable the formation of biaxially textured devices which include HTS wires and interconnects, large area or long length ferromagnetic and/or ferroelectric memory devices, large area or long length, flexible light emitting semiconductors, ferroelectric tapes, and electrodes.

  15. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  16. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  17. Atomic oxygen effect on the in situ growth of stoichiometric YBa2Cu3O7 - delta epitaxial films by facing targets 90° off-axis radiofrequency magnetron sputtering

    Science.gov (United States)

    Oya, Gin-ichiro; Diao, Chien Chen; Imai, Syozo; Uzawa, Takaaki; Sawada, Yasuji; Sugai, Tokuko; Nakajima, Kensuke; Yamashita, Tsutomu

    1995-06-01

    (110)- and (103)-oriented almost stoichiometric YBa2Cu3O7-δ (YBCO) films have been grown epitaxially on hot SrTiO3 (110) substrates using a 90° off-axis rf magnetron sputtering technique, for fabrication of vertical sandwich-type YBCO/insulator/YBCO or YBCO/normal metal/YBCO Josephson junctions utilizing the high-quality YBCO films. The YBCO epitaxial films with high transition temperatures Tc of ˜90 K have been deposited in situ only under the conditions of substrate temperatures Ts of ˜650-˜700 °C and oxygen partial pressure PO2 of ˜5×10-3-˜10×10-3 Torr, which are in close proximity to the critical stability/decomposition line for YBa2Cu3O6 in the ordinary Y-Ba-Cu-O phase diagram. Using a quadrupole mass spectrometer, a high density of atomic oxygen has directly been observed to be efficiently produced in the sputter glow discharge under the above optimum conditions of PO2. This atomic oxygen has played a key role in promoting the formation of the perovskite structure and the epitaxial growth of the YBCO films. Furthermore, Shapiro steps have successfully been observed for a Nb-YBCO point-contact junction, which is made by pressing a Nb needle on a surface-etched YBCO epitaxial film, under 525.4 GHz submillimeter-wave irradiation.

  18. Oriented circular dichroism analysis of chiral surface-anchored metal-organic frameworks grown by liquid-phase epitaxy and upon loading with chiral guest compounds

    KAUST Repository

    Gu, Zhigang

    2014-06-17

    Oriented circular dichroism (OCD) is explored and successfully applied to investigate chiral surface-anchored metal-organic frameworks (SURMOFs) based on camphoric acid (D- and Lcam) with the composition [Cu2(Dcam) 2x(Lcam)2-2x(dabco)]n (dabco=1,4-diazabicyclo- [2.2.2]-octane). The three-dimensional chiral SURMOFs with high-quality orientation were grown on quartz glass plates by using a layer-by-layer liquid-phase epitaxy method. The growth orientation, as determined by X-ray diffraction (XRD), could be switched between the [001] and [110] direction by using either OH- or COOH-terminated substrates. These SURMOFs were characterized by using OCD, which confirmed the ratio as well as the orientation of the enantiomeric linker molecules. Theoretical computations demonstrate that the OCD band intensities of the enantiopure [Cu2(Dcam)2(dabco)] n grown in different orientations are a direct result of the anisotropic nature of the chiral SURMOFs. Finally, the enantiopure [Cu 2(Dcam)2(dabco)]n and [Cu2(Lcam) 2(dabco)]n SURMOFs were loaded with the two chiral forms of ethyl lactate [(+)-ethyl-D-lactate and (-)-ethyl-L-lactate)]. An enantioselective enrichment of >60 % was observed by OCD when the chiral host scaffold was loaded from the racemic mixture. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Oriented circular dichroism analysis of chiral surface-anchored metal-organic frameworks grown by liquid-phase epitaxy and upon loading with chiral guest compounds

    KAUST Repository

    Gu, Zhigang; Bü rck, Jochen; Bihlmeier, Angela; Liu, Jinxuan; Shekhah, Osama; Weidler, Peter G.; Azucena, Carlos; Wang, Zhengbang; Heiß ler, Stefan; Gliemann, Hartmut; Klopper, Wim; Ulrich, Anne S.; Wö ll, Christof H.

    2014-01-01

    Oriented circular dichroism (OCD) is explored and successfully applied to investigate chiral surface-anchored metal-organic frameworks (SURMOFs) based on camphoric acid (D- and Lcam) with the composition [Cu2(Dcam) 2x(Lcam)2-2x(dabco)]n (dabco=1,4-diazabicyclo- [2.2.2]-octane). The three-dimensional chiral SURMOFs with high-quality orientation were grown on quartz glass plates by using a layer-by-layer liquid-phase epitaxy method. The growth orientation, as determined by X-ray diffraction (XRD), could be switched between the [001] and [110] direction by using either OH- or COOH-terminated substrates. These SURMOFs were characterized by using OCD, which confirmed the ratio as well as the orientation of the enantiomeric linker molecules. Theoretical computations demonstrate that the OCD band intensities of the enantiopure [Cu2(Dcam)2(dabco)] n grown in different orientations are a direct result of the anisotropic nature of the chiral SURMOFs. Finally, the enantiopure [Cu 2(Dcam)2(dabco)]n and [Cu2(Lcam) 2(dabco)]n SURMOFs were loaded with the two chiral forms of ethyl lactate [(+)-ethyl-D-lactate and (-)-ethyl-L-lactate)]. An enantioselective enrichment of >60 % was observed by OCD when the chiral host scaffold was loaded from the racemic mixture. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  1. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  2. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  3. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  4. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  5. Fabrication of highly co2 selective metal organic framework membrane using liquid phase epitaxy approach

    KAUST Repository

    Eddaoudi, Mohamed

    2016-01-28

    Embodiments include a method of making a metal organic framework membrane comprising contacting a substrate with a solution including a metal ion and contacting the substrate with a solution including an organic ligand, sufficient to form one or more layers of a metal organic framework on a substrate. Embodiments further include a defect-free metal organic framework membrane comprising MSiF6(pyz)2, wherein M is a metal, wherein the thickness of the membrane is less than 1,000 µm, and wherein the metal organic has a growth orientation along the [110] plane relative to a substrate.

  6. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  7. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  8. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  9. CADDIS Volume 2. Sources, Stressors and Responses: Metals - Point Sources from Industry

    Science.gov (United States)

    Introduction to the metals module, when to list metals as a candidate cause, ways to measure metals, simple and detailed conceptual diagrams for metals, metals module references and literature reviews.

  10. CADDIS Volume 2. Sources, Stressors and Responses: Metals - Detailed Conceptual Model Diagram

    Science.gov (United States)

    Introduction to the metals module, when to list metals as a candidate cause, ways to measure metals, simple and detailed conceptual diagrams for metals, metals module references and literature reviews.

  11. CADDIS Volume 2. Sources, Stressors and Responses: Metals - Simple Conceptual Model Diagram

    Science.gov (United States)

    Introduction to the metals module, when to list metals as a candidate cause, ways to measure metals, simple and detailed conceptual diagrams for metals, metals module references and literature reviews.

  12. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  13. Growth of epitaxial (Sr, Ba){sub n+1}Ru{sub n}O{sub 3n}P+{sub 1}films

    Energy Technology Data Exchange (ETDEWEB)

    Schlom, D.G.; Knapp, S.B.; Wozniak, S. [Department of Materials Science and Engineering, Penn State University, University Park, PA (United States); Zou, L.N.; Park, J.; Liu, Y. [Department of Physics, Penn State University, University Park, PA (United States); Hawley, M.E.; Brown, G.W. [Center for Materials Science, Los Alamos National Laboratory, Los Alamos, NM (United States); Dabkowski, A.; Dabkowska, H.A. [Institute of Materials Research, McMaster University, Hamilton, Ontario (Canada); Uecker, R.; Reiche, P. [Institute of Crystal Growth, Berlin (Germany)

    1997-12-01

    We have grown epitaxial (Sr,Ba){sub n+1}Ru{sub n}O{sub 3n+1} films, n = 1, 2 and {infinity}, by pulsed laser deposition (PLD) and controlled their orientation by choosing appropriate substrates. The growth conditions yielding phase-pure films have been mapped out. Resistivity versus temperature measurements show that both a-axis and c-axis films of Sr{sub 2}RuO{sub 4} are metallic, but not superconducting. The latter is probably due to the presence of low-level impurities that are difficult to avoid given the target preparation process involved in growing these films by PLD. (author)

  14. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  15. Strain relaxation in epitaxial GaAs/Si (0 0 1) nanostructures

    Science.gov (United States)

    Kozak, Roksolana; Prieto, Ivan; Arroyo Rojas Dasilva, Yadira; Erni, Rolf; Skibitzki, Oliver; Capellini, Giovanni; Schroeder, Thomas; von Känel, Hans; Rossell, Marta D.

    2017-11-01

    Crystal defects, present in 100 nm GaAs nanocrystals grown by metal organic vapour phase epitaxy on top of (0 0 1)-oriented Si nanotips (with a tip opening 50-90 nm), have been studied by means of high-resolution aberration-corrected high-angle annular dark-field scanning transmission electron microscopy. The role of 60° perfect, 30° and 90° Shockley partial misfit dislocations (MDs) in the plastic strain relaxation of GaAs on Si is discussed. Formation conditions of stair-rod dislocations and coherent twin boundaries in the GaAs nanocrystals are explained. Also, although stacking faults are commonly observed, we show here that synthesis of GaAs nanocrystals with a minimum number of these defects is possible. On the other hand, from the number of MDs, we have to conclude that the GaAs nanoparticles are fully relaxed plastically, such that for the present tip sizes no substrate compliance can be observed.

  16. Epitaxial growth of YBa2Cu307−δ films on SrTiO3 (100) by direct solution precursor deposition

    International Nuclear Information System (INIS)

    Bustamante, A; Garcia, Jorge; Osorio, Ana M; Valladares, Luis De Los Santos; Barnes, C H W; González, J C; Azuma, Y; Majima, Y; Aguiar, J Albino

    2014-01-01

    We study the optimal temperature to obtain YBa 2 Cu 3 O 7-δ epitaxial films grown onto SrTiO 3 substrates by direct solution deposition. The samples received heat treatment at 820, 840 and 860 °C, then characterized by XRD, observing the (00l) profiles; and magnetic susceptibility measurements. The T C-onset for all the samples was 90 K. In addition, the current – voltage (I-V) measurements shows typical tunneling signals corresponding to normal metal-superconducting junctions indicating the films are promising for potential electrical applications.

  17. p-Type modulation doped InGaN/GaN dot-in-a-wire white-light-emitting diodes monolithically grown on Si(111).

    Science.gov (United States)

    Nguyen, H P T; Zhang, S; Cui, K; Han, X; Fathololoumi, S; Couillard, M; Botton, G A; Mi, Z

    2011-05-11

    Full-color, catalyst-free InGaN/GaN dot-in-a-wire light-emitting diodes (LEDs) were monolithically grown on Si(111) by molecular beam epitaxy, with the emission characteristics controlled by the dot properties in a single epitaxial growth step. With the use of p-type modulation doping in the dot-in-a-wire heterostructures, we have demonstrated the most efficient phosphor-free white LEDs ever reported, which exhibit an internal quantum efficiency of ∼56.8%, nearly unaltered CIE chromaticity coordinates with increasing injection current, and virtually zero efficiency droop at current densities up to ∼640 A/cm(2). The remarkable performance is attributed to the superior three-dimensional carrier confinement provided by the electronically coupled dot-in-a-wire heterostructures, the nearly defect- and strain-free GaN nanowires, and the significantly enhanced hole transport due to the p-type modulation doping.

  18. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  19. Critical parameters for the molecular beam epitaxial growth of 1.55 μm (Ga,In)(N,As) multiple quantum wells

    International Nuclear Information System (INIS)

    Ishikawa, Fumitaro; Luna, Esperanza; Trampert, Achim; Ploog, Klaus H.

    2006-01-01

    The authors discuss the effect of substrate temperature and As beam equivalent pressure (BEP) on the molecular beam epitaxial growth of (Ga,In)(N,As) multiple quantum wells (MQWs). Transmission electron microscopy studies reveal that a low substrate temperature essentially prevents composition modulations. Secondary ion mass spectrometry results indicate that a low As BEP reduces the incorporation competition of group V elements. The low substrate temperature and low As BEP growth condition leads to (Ga,In)(N,As) MQWs containing more than 4% N preserving good structural and optical properties, and hence demonstrating 1.55 μm photoluminescence emission at room temperature

  20. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  1. Introduction of Artificial Pinning Center into PLD-YBCO Coated Conductor on IBAD and Self-Epitaxial CeO2 Buffered Metal Substrate

    International Nuclear Information System (INIS)

    Kobayashi, H.; Yamada, Y.; Ishida, S.; Takahashi, K.; Konishi, M.; Ibi, A.; Miyata, S.; Kato, T.; Hirayama, T.; Shiohara, Y.

    2006-01-01

    In order to fabricate YBa2Cu3O7-x (YBCO) coated conductors with high critical current density Jc in magnetic fields, we fabricated YBCO coated conductors with artificial pinning centers by the pulsed laser deposition (PLD) method on a self epitaxial PLD-CeO2 layer and ion-beam assisted deposition (IBAD)-Gd2Zr2O7 (GZO) buffered Hastelloy tape. Artificial pinning centers were introduced by the PLD deposition using the yttria-stabilized zirconia (YSZ) oxide target (nano-dot method) and YBCO target including YSZ particles (mixed target method). In the experiments using YSZ oxide target, YSZ nano-dots were observed. They were approximately 15 nm in height and 10 nm to 70 nm in diameter. We found that the density of nano-dots was controlled by the number of laser pulses. These samples exhibited higher Jc than YBCO films in magnetic fields. Furthermore, a similar improvement of Jc was observed in the experiments using YBCO target including YSZ particles. TEM observation revealed that columnar nano-structure made of BaZrO3 was formed during YBCO deposition and it was effective for pinning. We call this new epitaxial nano-structure 'bamboo structure' from its anisotropic growth and morphology

  2. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  3. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  4. Plasmonic modulator based on gain-assisted metal-semiconductor-metal waveguide

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia E.; Kulkova, Irina V.; Malureanu, Radu

    2012-01-01

    . The modulation is achieved by changing the gain of the core that results in different transmittance through the waveguides. A MSM waveguide enables high field localization and therefore high modulation speed. Bulk semiconductor, quantum wells and quantum dots, arranged in either horizontal or vertical layout......, are considered as the core of the MSM waveguide. Dependences on the waveguide core size and gain values of various active materials are studied. The designs consider also practical aspects like n- and p-doped layers and barriers in order to obtain results as close to reality. The effective propagation constants...

  5. Oxygen content modulation by nanoscale chemical and electrical patterning in epitaxial SrCoO3-δ (0 < δ ≤ 0.5) thin films.

    Science.gov (United States)

    Hu, S; Seidel, J

    2016-08-12

    Fast controllable redox reactions in solid materials at room temperature are a promising strategy for enhancing the overall performance and lifetime of many energy technology materials and devices. Easy control of oxygen content is a key concept for the realisation of fast catalysis and bulk diffusion at room temperature. Here, high quality epitaxial brownmillerite SrCoO2.5 thin films have been oxidised to perovskite (P) SrCoO3 with NaClO. X-ray diffraction, scanning probe microscopy and x-ray photoelectron spectroscopy measurements were performed to investigate the structural and electronic changes of the material. The oxidised thin films were found to exhibit distinct morphological changes from an atomically flat terrace structure to forming small nanosized islands with boundaries preferentially in [100] or [010] directions all over the surface, relaxing the in-plane strain imposed by the substrate. The conductivity, or oxygen content, of each single island is confined by these textures, which can be locally patterned even further with electric poling. The high charging level at the island boundaries indicates a magnified electric capacity of SCO thin films, which could be exploited in future device geometries. This finding represents a new way of oxygen modulation with associated self-assembled charge confinement to nanoscale boundaries, offering interesting prospects in nanotechnology applications.

  6. Epitaxial growth and electronic structure of oxyhydride SrVO2H thin films

    Science.gov (United States)

    Katayama, Tsukasa; Chikamatsu, Akira; Yamada, Keisuke; Shigematsu, Kei; Onozuka, Tomoya; Minohara, Makoto; Kumigashira, Hiroshi; Ikenaga, Eiji; Hasegawa, Tetsuya

    2016-08-01

    Oxyhydride SrVO2H epitaxial thin films were fabricated on SrTiO3 substrates via topotactic hydridation of oxide SrVO3 films using CaH2. Structural and composition analyses suggested that the SrVO2H film possessed one-dimensionally ordered V-H--V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO2H film was reversible to SrVO3 by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V3+ valence state in the SrVO2H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  7. Plasmonic solutions for coupling and modulation

    DEFF Research Database (Denmark)

    Andryieuski, Andrei; Babicheva, Viktoriia; Malureanu, Radu

    We present our design results for efficient coupling and modulation in plasmonic structures. Fiber coupling to a plasmonic slot waveguide is significantly increased by a metallic nanoantenna with additional reflectors or by the configuration of several connected antennas. We also show that the pl......We present our design results for efficient coupling and modulation in plasmonic structures. Fiber coupling to a plasmonic slot waveguide is significantly increased by a metallic nanoantenna with additional reflectors or by the configuration of several connected antennas. We also show...... that the plasmonic four-layer waveguide with patterned ITO layer can modulate light with higher transmission and the same modulation depth as a waveguide with a uniform ITO layer....

  8. Perturbation theory for plasmonic modulation and sensing

    KAUST Repository

    Raman, Aaswath

    2011-05-25

    We develop a general perturbation theory to treat small parameter changes in dispersive plasmonic nanostructures and metamaterials. We specifically apply it to dielectric refractive index and metallic plasma frequency modulation in metal-dielectric nanostructures. As a numerical demonstration, we verify the theory\\'s accuracy against direct calculations for a system of plasmonic rods in air where the metal is defined by a three-pole fit of silver\\'s dielectric function. We also discuss new optical behavior related to plasma frequency modulation in such systems. Our approach provides new physical insight for the design of plasmonic devices for biochemical sensing and optical modulation and future active metamaterial applications. © 2011 American Physical Society.

  9. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  10. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  11. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  12. Epitaxial Garnets and Hexagonal Ferrites.

    Science.gov (United States)

    1982-04-20

    guide growth of the epitaxial YIG films. Aluminum or gallium substitu- tions for iron were used in combination with lanthanum substitutions for yttrium... gallate spinel sub- strates. There was no difficulty with nucleation in the melt and film quality appeared to be similar to that observed previously...hexagonal ferrites. We succeeded in growing the M-type lead hexaferrite (magnetoplumbite) on gallate spinel substrates. We found that the PbO-based

  13. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  14. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  15. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  16. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  17. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  18. Preparation of epitaxial YBa2Cu3O7-y films on CeO2-buffered yttria-stabilized zirconia substrates by fluorine-free metalorganic deposition

    International Nuclear Information System (INIS)

    Tsukada, Kenichi; Yamaguchi, Iwao; Sohma, Mitsugu; Kondo, Wakichi; Kamiya, Kunio; Kumagai, Toshiya; Manabe, Takaaki

    2007-01-01

    Epitaxial YBa 2 Cu 3 O 7-y (YBCO) films of 120-550 nm thickness have been prepared by fluorine-free metalorganic deposition using a metal acetylacetonate-based coating solution on yttria-stabilized zirconia (YSZ) substrates with an evaporated CeO 2 buffer layer. The YBCO films were highly (0 0 1)-oriented by X-ray diffraction θ-2θ scanning and φ scanning. The YBCO films 120-400 nm in thickness demonstrated high critical current densities (J c ) with an average in excess of 3 MA/cm 2 at 77 K using an inductive method. In particular, a 210-nm-thick film showed a J c of 4.5 MA/cm 2 . These excellent properties are attributed to the high crystallinity, small in-plane fluctuation due to high epitaxy and to the microstructure free from grain boundaries in the YBCO films. Further increase of film thickness increased the fraction of irregularities, i.e., precipitates and micropores, in the film surfaces, resulting in lower J c values

  19. High-performance InGaN/GaN Quantum-Disks-in-Nanowires Light-emitters for Monolithic Metal-Optoelectronics

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Wei, Nini; Janjua, Bilal; Elafandy, Rami T.; Prabaswara, Aditya; Shen, Chao; Consiglio, Giuseppe B.; Albadri, Abdulrahman; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Ooi, Boon S.

    2016-01-01

    The first droop-free, reliable, and high-power InGaN/GaN quantum-disks-in-nanowires light-emitting diode on molybdenum substrates was demonstrated. The high performance was achieved through the epitaxial growth of high-quality nanowires on the all-metal stack of TiN/Ti/Mo.

  20. High-performance InGaN/GaN Quantum-Disks-in-Nanowires Light-emitters for Monolithic Metal-Optoelectronics

    KAUST Repository

    Zhao, Chao

    2016-11-21

    The first droop-free, reliable, and high-power InGaN/GaN quantum-disks-in-nanowires light-emitting diode on molybdenum substrates was demonstrated. The high performance was achieved through the epitaxial growth of high-quality nanowires on the all-metal stack of TiN/Ti/Mo.

  1. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  2. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  3. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  4. Reversal of the Lattice Structure in SrCoOx Epitaxial Thin Films Studied by Real-Time Optical Spectroscopy and First-Principles Calculations

    Science.gov (United States)

    Choi, Woo Seok; Jeen, Hyoungjeen; Lee, Jun Hee; Seo, S. S. Ambrose; Cooper, Valentino R.; Rabe, Karin M.; Lee, Ho Nyung

    2013-08-01

    Using real-time spectroscopic ellipsometry, we directly observed a reversible lattice and electronic structure evolution in SrCoOx (x=2.5-3) epitaxial thin films. Drastically different electronic ground states, which are extremely susceptible to the oxygen content x, are found in the two topotactic phases: i.e., the brownmillerite SrCoO2.5 and the perovskite SrCoO3. First-principles calculations confirmed substantial differences in the electronic structure, including a metal-insulator transition, which originate from the modification in the Co valence states and crystallographic structures. More interestingly, the two phases can be reversibly controlled by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides.

  5. III-nitride integration on ferroelectric materials of lithium niobate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Namkoong, Gon; Lee, Kyoung-Keun; Madison, Shannon M.; Henderson, Walter; Ralph, Stephen E.; Doolittle, W. Alan

    2005-01-01

    Integration of III-nitride electrical devices on the ferroelectric material lithium niobate (LiNbO 3 ) has been demonstrated. As a ferroelectric material, lithium niobate has a polarization which may provide excellent control of the polarity of III-nitrides. However, while high temperature, 1000 deg. C, thermal treatments produce atomically smooth surfaces, improving adhesion of GaN epitaxial layers on lithium niobate, repolarization of the substrate in local domains occurs. These effects result in multi domains of mixed polarization in LiNbO 3 , producing inversion domains in subsequent GaN epilayers. However, it is found that AlN buffer layers suppress inversion domains of III-nitrides. Therefore, two-dimensional electron gases in AlGaN/GaN heterojunction structures are obtained. Herein, the demonstration of the monolithic integration of high power devices with ferroelectric materials presents possibilities to control LiNbO 3 modulators on compact optoelectronic/electronic chips

  6. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    Energy Technology Data Exchange (ETDEWEB)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.; Yamada, S.; Hamaya, K. [Graduate School of Engineering Science, Osaka University, Machkaneyama 1-3, Toyonaka, Osaka 560-8531 (Japan); Nohira, H. [Tokyo City University, 1-28-1 Tamazutumi, Setagaya-ku, Tokyo 158-8557 (Japan)

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we find that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.

  7. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  8. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  9. Graphene-based hybrid plasmonic modulator

    International Nuclear Information System (INIS)

    Shin, Jin-Soo; Kim, Jin-Soo; Tae Kim, Jin

    2015-01-01

    A graphene-based hybrid plasmonic modulator is designed based on an asymmetric double-electrode plasmonic waveguide structure. The photonic device consists of a monolayer graphene, a thin metal strip, and a thin dielectric layer that is inserted between the grapheme and the metal strip. By electrically tuning the graphene’s refractive index, the propagation loss of the hybrid long-range surface plasmon polariton strip mode in the proposed graphene-based hybrid plasmonic waveguide is switchable, and hence the intensity of the guided modes is modulated. The highest modulation depth is observed at the graphene’s epsilon-near-zero region. The device characteristics are characterized over the entire C-band (1.530–1.565 μm). (paper)

  10. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  11. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  12. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  13. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  14. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  15. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  16. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  17. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  18. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  19. Additive Manufacturing of Nickel-Base Superalloy IN100 Through Scanning Laser Epitaxy

    Science.gov (United States)

    Basak, Amrita; Das, Suman

    2018-01-01

    Scanning laser epitaxy (SLE) is a laser powder bed fusion (LPBF)-based additive manufacturing process that uses a high-power laser to consolidate metal powders facilitating the fabrication of three-dimensional objects. In the present study, SLE is used to produce samples of IN100, a high-γ' non-weldable nickel-base superalloy on similar chemistry substrates. A thorough analysis is performed using various advanced material characterization techniques such as high-resolution optical microscopy, scanning electron microscopy, energy dispersive x-ray spectroscopy, and Vickers microhardness measurements to characterize and compare the quality of the SLE-fabricated IN100 deposits with the investment cast IN100 substrates. The results show that the IN100 deposits have a finer γ/γ' microstructure, weaker elemental segregation, and higher microhardness compared with the substrate. Through this study, it is demonstrated that the SLE process has tremendous potential in the repair and manufacture of gas turbine hot-section components.

  20. Detection of defects on the metal surface using the modulated microwave

    International Nuclear Information System (INIS)

    Joo, Gwang Tae; Jeong, Sung Hae; Song, Ki Young; Kim, Jin Ouk

    1996-01-01

    The defects on the metal surface, like as ended circular pressed hole, penetrated circular drilled hole and linear hollow lane(ended linear crack), are tested by method of reflection, transmission, fixed carrier frequency and mod-demodulation techniques using microwave horn antenna and rectangular waveguide on 9.2 GHz carrier and 3 kHz modulation frequency. In the cases of ended circular hole and penetrated hole defects, the magnitude of reflection signals changed extremely, and the results on the defects' sizes are enlarge d by about 2.5 times at the ended hole and decreased by about 75% at the penetrate d hole. And in the cases of linear hollow lane, depths are 0.45 mm, 1.2 mm and 2.4 mm, the measured results on average increasing rate of detected reflection signals according to crack widths are 0.46 mV/mm, 0.32 mV/mm and 0.23 mV/mm each, for length of lane 150 mm.

  1. Mesoscopic quantum effects in a bad metal, hydrogen-doped vanadium dioxide

    Science.gov (United States)

    Hardy, Will J.; Ji, Heng; Paik, Hanjong; Schlom, Darrell G.; Natelson, Douglas

    2017-05-01

    The standard treatment of quantum corrections to semiclassical electronic conduction assumes that charge carriers propagate many wavelengths between scattering events, and succeeds in explaining multiple phenomena (weak localization magnetoresistance (WLMR), universal conductance fluctuations, Aharonov-Bohm oscillations) observed in polycrystalline metals and doped semiconductors in various dimensionalities. We report apparent WLMR and conductance fluctuations in H x VO2, a poor metal (in violation of the Mott-Ioffe-Regel limit) stabilized by the suppression of the VO2 metal-insulator transition through atomic hydrogen doping. Epitaxial thin films, single-crystal nanobeams, and nanosheets show similar phenomenology, though the details of the apparent WLMR seem to depend on the combined effects of the strain environment and presumed doping level. Self-consistent quantitative analysis of the WLMR is challenging given this and the high resistivity of the material, since the quantitative expressions for WLMR are derived assuming good metallicity. These observations raise the issue of how to assess and analyze mesoscopic quantum effects in poor metals.

  2. Ultralow contact resistance at an epitaxial metal/oxide heterojunction through interstitial site doping.

    Science.gov (United States)

    Chambers, Scott A; Gu, Meng; Sushko, Peter V; Yang, Hao; Wang, Chongmin; Browning, Nigel D

    2013-08-07

    Heteroepitaxial growth of Cr metal on Nb-doped SrTiO₃(001) is accompanied by Cr diffusion to interstitial sites within the first few atomic planes, an anchoring of the Cr film to the substrate, charge transfer from Cr to Ti, and metallization of the near-surface region, as depicted in the figure. The contact resistance of the resulting interface is exceedingly low. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Approach to Multifunctional Device Platform with Epitaxial Graphene on Transition Metal Oxide (Postprint)

    Science.gov (United States)

    2015-09-23

    layers, respectively. 15. SUBJECT TERMS Heterostructures, two-dimensional materials, van der Waals interaction , 2D graphene, metal oxide (TiO2...sample holder with a 10.6 μ m CO2 IR laser . The laser output power was adjusted until the target temperature was reached. The temperature of the sample... Laser Deposited Transition- Metal Carbides for Field-Emission Cathode Coatings. ACS Appl. Mater. Interfaces 5, 9241–9246 (2013). 13. Swift, G. A

  4. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  5. kW-class direct diode laser for sheet metal cutting based on commercial pump modules

    Science.gov (United States)

    Witte, U.; Schneider, F.; Holly, C.; Di Meo, A.; Rubel, D.; Boergmann, F.; Traub, M.; Hoffmann, D.; Drovs, S.; Brand, T.; Unger, A.

    2017-02-01

    We present a direct diode laser with an optical output power of more than 800 W ex 100 μm with an NA of 0.17. The system is based on 6 commercial pump modules that are wavelength stabilized by use of VBGs. Dielectric filters are used for coarse and dense wavelength multiplexing. Metal sheet cutting tests were performed in order to prove system performance and reliability. Based on a detailed analysis of loss mechanisms, we show that the design can be easily scaled to output powers in the range of 2 kW and to an optical efficiency of 80%.

  6. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  7. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  8. Study on Mitigation Method of Solder Corrosion for Crystalline Silicon Photovoltaic Modules

    Directory of Open Access Journals (Sweden)

    Ju-Hee Kim

    2014-01-01

    Full Text Available The corrosion of 62Sn36Pb2Ag solder connections poses serious difficulties for outdoor-exposed photovoltaic (PV modules, as connection degradation contributes to the increase in series resistance (RS of PV modules. In this study, we investigated a corrosion mitigation method based on the corrosion mechanism. The effect of added sacrificial metal on the reliability of PV modules was evaluated using the oxidation-reduction (redox reaction under damp heat (DH conditions. Experimental results after exposure to DH show that the main reason for the decrease in power was a drop in the module’s fill factor. This drop was attributed to the increase of RS. The drop in output power of the PV module without added sacrificial metal is greater than that of the sample with sacrificial metal. Electroluminescence and current-voltage mapping analysis also show that the PV module with sacrificial metal experienced less degradation than the sample without sacrificial metal.

  9. Reversal of the lattice structure in SrCoO(x) epitaxial thin films studied by real-time optical spectroscopy and first-principles calculations.

    Science.gov (United States)

    Choi, Woo Seok; Jeen, Hyoungjeen; Lee, Jun Hee; Seo, S S Ambrose; Cooper, Valentino R; Rabe, Karin M; Lee, Ho Nyung

    2013-08-30

    Using real-time spectroscopic ellipsometry, we directly observed a reversible lattice and electronic structure evolution in SrCoO(x) (x=2.5-3) epitaxial thin films. Drastically different electronic ground states, which are extremely susceptible to the oxygen content x, are found in the two topotactic phases: i.e., the brownmillerite SrCoO2.5 and the perovskite SrCoO3. First-principles calculations confirmed substantial differences in the electronic structure, including a metal-insulator transition, which originate from the modification in the Co valence states and crystallographic structures. More interestingly, the two phases can be reversibly controlled by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides.

  10. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  11. Analogue demonstration of a high temperature superconducting sigma-delta modulator with 27 GHz sampling

    Energy Technology Data Exchange (ETDEWEB)

    Forrester, M.G.; Hunt, B.D.; Miller, D.L.; Talvacchio, J.; Young, R.M. [Northrop Grumman Science and Technology Center, Pittsburgh, PA 15235-5098 (United States)

    1999-11-01

    We have successfully fabricated and tested a high temperature superconducting (HTS) sigma-delta modulator for analogue-to-digital conversion. This is the first demonstration of a GHz sampling A-to-D in HTS. The 15-junction single-flux-quantum (SFQ) circuit, fabricated using an epitaxial multilayer HTS process with YBCO/Co-YBCO/YBCO edge junctions, was internally clocked at 27 GHz and used to convert a 5.01 MHz signal. The modulator demonstrated a spur-free dynamic range of more than 75 dB. Two-tone measurements with 5.01 MHz and 5.51 MHz signals demonstrated third-order intermodulation products to be lower than -59 dBc. Demonstration of a functional HTS modulator represents a significant milestone in the development of high dynamic range ADCs suitable for such applications as surveillance radar. (author)

  12. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    OpenAIRE

    Hyoungjeen Jeen; Ho Nyung Lee

    2015-01-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model syste...

  13. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  14. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  15. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  16. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  17. Ultra-compact plasmonic waveguide modulators

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia

    of developing new material platforms for integrated plasmonic devices. Furthermore, novel plasmonic materials such as transparent conductive oxides and transition metal nitrides can offer a variety of new opportunities. In particular, they offer adjustable/tailorable and nonlinear optical properties, dynamic...... modulators based on ultra-compact waveguides with different active cores. Plasmonic modulators with the active core such as indium phosphides or ferroelectrics sandwiched between metal plates have promising characteristics. Apart from the speed and dimensions advantages, the metal plates can serve...... as electrodes for electrical pumping of the active material making it easier to integrate. Including an additional layer in the plasmonic waveguide, in particular an ultrathin transparent conductive oxide film, allows the control of the dispersive properties of the waveguide and thus the higher efficiency...

  18. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  19. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  20. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  1. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  2. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    Science.gov (United States)

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  3. Optical properties of spontaneous lateral composition modulation in AlAs/InAs short-period superlattices

    International Nuclear Information System (INIS)

    Francoeur, S.; Zhang, Yong; Norman, A. G.; Alsina, F.; Mascarenhas, A.; Reno, J. L.; Jones, E. D.; Lee, S. R.; Follstaedt, D. M.

    2000-01-01

    The effect of lateral composition modulation, spontaneously generated during the epitaxial growth of an AlAs/InAs short-period superlattice, on the electronic band structure is investigated using phototransmission and photoluminescence spectroscopy. Compared with uniform layers of identical average composition, the presence of the composition modulation considerably reduces the band-gap energy and produces strongly polarized emission and absorption spectra. We demonstrate that the dominant polarization direction can selectively be aligned along the [1(bar sign)10] or [010] crystallographic directions. In compressively strained samples, the use of (001) InP substrates slightly miscut toward (111)A or (101) resulted in modulation directions along [110] or [100], respectively, and dominant polarization directions along a direction orthogonal to the respective composition modulation. Band-gap reductions as high as 350 and 310 meV are obtained for samples with composition modulation along [110] and [100], respectively. Ratios of polarized intensities up to 26 are observed in transmission spectra. (c) 2000 American Institute of Physics

  4. A critical discussion of the vacancy diffusion model of ion beam induced epitaxial crystallization

    International Nuclear Information System (INIS)

    Heera, V.

    1989-01-01

    A simple vacancy diffusion model of ion beam induced epitaxial crystallization of silicon including divacancy formation is developed. The model reproduces some of the experimental findings, as e.g. the dose rate dependence of the crystallization rate. However, the measured activation energy of the ion beam induced epitaxial crystallization cannot be accounted for by vacancy diffusion alone. (author)

  5. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  6. Photoluminescence and surface photovoltage spectroscopy characterization of highly strained InGaAs/GaAs quantum well structures grown by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Chan, C.H.; Wu, J.D.; Huang, Y.S.; Hsu, H.P.; Tiong, K.K.; Su, Y.K.

    2010-01-01

    Photoluminescence (PL) and surface photovoltage spectroscopy (SPS) are used to characterize a series of highly strained In x Ga 1-x As/GaAs quantum well (QW) structures grown by metal organic vapor phase epitaxy with different indium compositions (0.395 ≤ x ≤ 0.44) in the temperature range of 20 K ≤ T ≤ 300 K. The PL features show redshift in peak positions and broadened lineshape with increasing indium composition. The S-shaped temperature dependent PL spectra have been attributed to carrier localization effect resulting from the presence of indium clusters at QW interfaces. A lineshape fit of features in the differential surface photovoltage (SPV) spectra has been used to determine the transition energies accurately. At temperature below 100 K, the light-hole (LH) related feature shows a significant phase difference as compared to that of heavy-hole (HH) related features. The phase change of the LH feature can be explained by the existence of type-II configuration for the LH valence band and the process of separation of carriers within the QWs together with possible capture by the interface defect traps. A detailed analysis of the observed phenomena enables the identification of spectral features and to evaluate the band lineup of the QWs. The results demonstrate the usefulness of PL and SPS for the contactless and nondestructive characterization of highly strained InGaAs/GaAs QW structures.

  7. Strain induced ionic conductivity enhancement in epitaxial Ce0.9Gd0.1O22d

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Esposito, Vincenzo; Pryds, Nini

    2012-01-01

    -plane ionic conductivity in CGO epitaxial thin films. The ionic conductivity is found to increase with decrease in buffer layer thickness. The tailored ionic conductivity enhancement is explained in terms of close relationships among epitaxy, strain, and ionic conductivity....

  8. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  9. Metal Contacts to Gallium Arsenide.

    Science.gov (United States)

    Ren, Fan

    1991-07-01

    While various high performance devices fabricated from the gallium arsenide (GaAs) and related materials have generated considerable interest, metallization are fundamental components to all semiconductor devices and integrated circuits. The essential roles of metallization systems are providing the desired electrical paths between the active region of the semiconductor and the external circuits through the metal interconnections and contacts. In this work, in-situ clean of native oxide, high temperature n-type, low temperature n-type and low temperature p-type ohmic metal systems have been studied. Argon ion mill was used to remove the native oxide prior to metal deposition. For high temperature process n-type GaAs ohmic contacts, Tungsten (W) and Tungsten Silicide (WSi) were used with an epitaxial grown graded Indium Gallium Arsenide (InGaAs) layer (0.2 eV) on GaAs. In addition, refractory metals, Molybdenum (Mo), was incorporated in the Gold-Germanium (AuGe) based on n-type GaAs ohmic contacts to replace conventional silver as barrier to prevent the reaction between ohmic metal and chlorine based plasma as well as the ohmic metallization intermixing which degrades the device performance. Finally, Indium/Gold-Beryllium (In/Au-Be) alloy has been developed as an ohmic contact for p-type GaAs to reduce the contact resistance. The Fermi-level pinning of GaAs has been dominated by the surface states. The Schottky barrier height of metal contacts are about 0.8 V regardless of the metal systems. By using p-n junction approach, barrier height of pulsed C-doped layers was achieved as high as 1.4 V. Arsenic implantation into GaAs method was also used to enhance the barrier height of 1.6 V.

  10. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  11. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  12. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  13. Processing and characterization of device solder interconnection and module attachment for power electronics modules

    Science.gov (United States)

    Haque, Shatil

    This research is focused on the processing of an innovative three-dimensional packaging architecture for power electronics building blocks with soldered device interconnections and subsequent characterization of the module's critical interfaces. A low-cost approach termed metal posts interconnected parallel plate structure (MPIPPS) was developed for packaging high-performance modules of power electronics building blocks (PEBB). The new concept implemented direct bonding of copper posts, not wire bonding of fine aluminum wires, to interconnect power devices as well as joining the different circuit planes together. We have demonstrated the feasibility of this packaging approach by constructing PEBB modules (consisting of Insulated Gate Bipolar Transistors (IGBTs), diodes, and a few gate driver elements and passive components). In the 1st phase of module fabrication with IGBTs with Si3N 4 passivation, we had successfully fabricated packaged devices and modules using the MPIPPS technique. These modules were tested electrically and thermally, and they operated at pulse-switch and high power stages up to 6kW. However, in the 2nd phase of module fabrication with polyimide passivated devices, we experienced significant yield problems due to metallization difficulties of these devices. The under-bump metallurgy scheme for the development of a solderable interface involved sputtering of Ti-Ni-Cu and Cr-Cu, and an electroless deposition of Zn-Ni-Au metallization. The metallization process produced excellent yield in the case of Si3N4 passivated devices. However, under the same metallization schemes, devices with a polyimide passivation exhibited inconsistent electrical contact resistance. We found that organic contaminants such as hydrocarbons remain in the form of thin monolayers on the surface, even in the case of as-received devices from the manufacturer. Moreover, in the case of polyimide passivated devices, plasma cleaning introduced a few carbon constituents on the

  14. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  15. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  16. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  17. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  18. Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers

    International Nuclear Information System (INIS)

    Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng

    2015-01-01

    Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG

  19. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  20. Electron scattering rate in epitaxial YBa2Cu3O7 superconducting films

    Science.gov (United States)

    Flik, M. I.; Zhang, Z. M.; Goodson, K. E.; Siegal, M. P.; Phillips, Julia M.

    1992-09-01

    This work determines the electron scattering rate in the a-b plane of epitaxial YBa2Cu3O7 films using two techniques. Infrared spectroscopy yields the scattering rate at temperatures of 10, 78, and 300 K by fitting reflectance data using thin-film optics and a model for the free-carrier conductivity. The scattering rate is also obtained using kinetic theory and an extrapolation of normal-state electrical resistivity data to superconducting temperatures based on the Bloch theory for the phonon-limited electrical resistivity of metals. The scattering rates determined using both techniques are in agreement and show that the electron mean free path in the a-b plane of YBa2Cu3O7 superconducting films is three to four times the coherence length. Hence YBa2Cu3O7 is pure but not in the extreme pure limit. An average defect interaction range of 4 nm is obtained using the defect density resulting from flux-pinning considerations.