WorldWideScience

Sample records for metal ion implantation

  1. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  2. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  3. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  4. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  5. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  6. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  7. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  8. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  9. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  10. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  11. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  12. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  13. Analysis of metal ion release from biomedical implants

    Directory of Open Access Journals (Sweden)

    Ivana Dimić

    2013-06-01

    Full Text Available Metallic biomaterials are commonly used for fixation or replacement of damaged bones in the human body due to their good combination of mechanical properties. The disadvantage of metals as implant materials is their susceptibility to corrosion and metal ion release, which can cause serious health problems. In certain concentrations metals and metal ions are toxic and their presence can cause diverse inflammatory reactions, genetic mutations or even cancer. In this paper, different approaches to metal ion release examination, from biometallic materials sample preparation to research results interpretation, will be presented. An overview of the analytical techniques, used for determination of the type and concentration of released ions from implants in simulated biofluids, is also given in the paper.

  14. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  15. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  16. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  17. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  18. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  19. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  20. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  1. Defect-impurity interactions in ion-implanted metals

    International Nuclear Information System (INIS)

    Turos, A.

    1986-01-01

    An overview of defect-impurity interactions in metals is presented. When point defects become mobile they migrate towards the sinks and on the way can be captured by impurity atoms forming stable associations so-called complexes. In some metallic systems complexes can also be formed athermally during ion implantation by trapping point defects already in the collision cascade. An association of a point defect with an impurity atom leads to its displacement from the lattice site. The structure and stability of complexes are strongly temperature dependent. With increasing temperature they dissociate or grow by multiple defect trapping. The appearance of freely migrating point defects at elevated temperatures, due to ion bombardment or thermal annealing, causes via coupling with defect fluxes, important impurity redistribution. Because of the sensitivity of many metal-in-metal implanted systems to radiation damage the understanding of this processes is essential for a proper interpretation of the lattice occupancy measurements and the optimization of implantation conditions. (author)

  2. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  3. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  4. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  5. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  6. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    International Nuclear Information System (INIS)

    Resta, V.; Quarta, G.; Farella, I.; Maruccio, L.; Cola, A.; Calcagnile, L.

    2014-01-01

    The implantation of 1 MeV metal ( 63 Cu + , 107 Ag + , 197 Au + ) and non-metal ( 4 He + , 12 C + ) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10 13 ions cm −2 , the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10 17 ions cm −2 , the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C 0x clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C 0x cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10 7 Ω/sq has been measured for implantation with metals at doses higher than 5 × 10 16 ions cm −2 , being 10 17 Ω/sq the corresponding sheet resistance for pristine PC

  7. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Resta, V., E-mail: vincenzo.resta@le.infn.it [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Quarta, G. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Farella, I. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Maruccio, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Cola, A. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Calcagnile, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy)

    2014-07-15

    The implantation of 1 MeV metal ({sup 63}Cu{sup +}, {sup 107}Ag{sup +}, {sup 197}Au{sup +}) and non-metal ({sup 4}He{sup +}, {sup 12}C{sup +}) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10{sup 13} ions cm{sup −2}, the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10{sup 17} ions cm{sup −2}, the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C{sub 0x} clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C{sub 0x} cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10{sup 7} Ω/sq has been measured for implantation with metals at doses higher than 5 × 10{sup 16} ions cm{sup −2}, being 10{sup 17} Ω/sq the corresponding sheet resistance for pristine PC.

  8. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  9. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  10. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  11. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  12. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  13. Surface metal standards produced by ion implantation through a removable layer

    International Nuclear Information System (INIS)

    Schueler, B.W.; Granger, C.N.; McCaig, L.; McKinley, J.M.; Metz, J.; Mowat, I.; Reich, D.F.; Smith, S.; Stevie, F.A.; Yang, M.H.

    2003-01-01

    Surface metal concentration standards were produced by ion implantation and investigated for their suitability to calibrate surface metal measurements by secondary ion mass spectrometry (SIMS). Single isotope implants were made through a 100 nm oxide layer on silicon. The implant energies were chosen to place the peak of the implanted species at a depth of 100 nm. Subsequent removal of the oxide layer was used to expose the implant peak and to produce controlled surface metal concentrations. Surface metal concentration measurements by time-of-flight SIMS (TOF-SIMS) with an analysis depth of 1 nm agreed with the expected surface concentrations of the implant standards with a relative mean standard deviation of 20%. Since the TOF-SIMS relative sensitivity factors (RSFs) were originally derived from surface metal measurements of surface contaminated silicon wafers, the agreement implies that the implant standards can be used to measure RSF values. The homogeneity of the surface metal concentration was typically <10%. The dopant dose remaining in silicon after oxide removal was measured using the surface-SIMS protocol. The measured implant dose agreed with the expected dose with a mean relative standard deviation of 25%

  14. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  15. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  16. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  17. Surface modification by metal ion implantation forming metallic nanoparticles in an insulating matrix

    International Nuclear Information System (INIS)

    Salvadori, M.C.; Teixeira, F.S.; Sgubin, L.G.; Cattani, M.; Brown, I.G.

    2014-01-01

    Highlights: • Metal nanoparticles can be produced through metallic ion implantation in insulating substrate, where the implanted metal self-assembles into nanoparticles. • The nanoparticles nucleate near the maximum of the implantation depth profile, that can be estimated by computer simulation using the TRIDYN. • Nanocomposites, obtained by this way, can be produced in different insulator materials. More specifically we have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. • The nanocomposites were characterized by measuring the resistivity of the composite layer as function of the dose implanted, reaching the percolation threshold. • Excellent agreement was found between the experimental results and the predictions of the theory. - Abstract: There is special interest in the incorporation of metallic nanoparticles in a surrounding dielectric matrix for obtaining composites with desirable characteristics such as for surface plasmon resonance, which can be used in photonics and sensing, and controlled surface electrical conductivity. We have investigated nanocomposites produced by metal ion implantation into insulating substrates, where the implanted metal self-assembles into nanoparticles. The nanoparticles nucleate near the maximum of the implantation depth profile (projected range), which can be estimated by computer simulation using the TRIDYN code. TRIDYN is a Monte Carlo simulation program based on the TRIM (Transport and Range of Ions in Matter) code that takes into account compositional changes in the substrate due to two factors: previously implanted dopant atoms, and sputtering of the substrate surface. Our study show that the nanoparticles form a bidimentional array buried a few nanometers below the substrate surface. We have studied Au/PMMA (polymethylmethacrylate), Pt/PMMA, Ti/alumina and Au/alumina systems. Transmission electron microscopy of the implanted samples show that metallic nanoparticles form in

  18. Depth concentrations of deuterium ions implanted into some pure metals and alloys

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; Wisniewski, R.; Kitowski, K.; Wilczynska, T.; Hofman, A.; Kulikauskas, V.; Shiryaev, A.A.; Zubavichyus, Ya.V.

    2011-01-01

    Pure metals (Cu, Ti, Zr, V, Pd) and diluted Pd alloys (Pd-Ag, Pd-Pt, Pd-Ru, Pd-Rh) were implanted by 25-keV deuterium ions at fluences in the range (1.2-2.3) x 10 22 D + /m 2 . The post-treatment depth distributions of deuterium ions were measured 10 days and three months after the implantation by using Elastic Recoil Detection Analysis (ERDA) and Rutherford Backscattering (RBS). Comparison of the obtained results allowed us to make conclusions about relative stability of deuterium and hydrogen gases in pure metals and diluted Pd alloys. Very high diffusion rates of implanted deuterium ions from V and Pd pure metals and Pd alloys were observed. Small-angle X-ray scattering revealed formation of nanosized defects in implanted corundum and titanium

  19. Improved oxidation resistance of group VB refractory metals by Al+ ion implantation

    International Nuclear Information System (INIS)

    Hampikian, J.M.

    1996-01-01

    Aluminum ion implantation of vanadium, niobium, and tantalum improved the metals' oxidation resistances at 500 C and 735 C. Implanted vanadium oxidized only to one-third the extent of unimplanted vanadium when exposed at 500 C to air. The oxidative weight gains of implanted niobium and tantalum proved negligible when measured at 500 C and for times sufficient to fully convert the untreated metals to their pentoxides. At 735 C, implantation of vanadium only slightly retarded its oxidation, while oxidative weight gains of niobium and tantalum were reduced by factors of 3 or more. Implanted niobium exhibited weight gain in direct proportion to oxidation time squared at 735 C. Microstructural examination of the metals implanted with selected fluences of the 180 kV aluminum ions showed the following. The solubility limit of aluminum is extended by implantation, the body centered cubic (bcc) phases being retained to ∼60 at. pct Al in all three metals. The highest fluence investigated, 2.4 x 10 22 ions/m 2 , produced an ∼400-nm layer of VAl 3 beneath the surface of vanadium, and ∼300-nm layers of an amorphous phase containing ∼70 at. pct Al beneath the niobium and tantalum surfaces. All three metals, implanted to this fluence and annealed at 600 C, contained tri-aluminides, intermetallic compounds known for their oxidation resistances. Specimens implanted to this fluence were thus selected for the oxidation measurements

  20. The fabrication of metal silicide nanodot arrays using localized ion implantation

    International Nuclear Information System (INIS)

    Han, Jin; Kim, Tae-Gon; Min, Byung-Kwon; Lee, Sang Jo

    2010-01-01

    We propose a process for fabricating nanodot arrays with a pitch size of less than 25 nm. The process consists of localized ion implantation in a metal thin film on a Si wafer using a focused ion beam (FIB), followed by chemical etching. This process utilizes the etching resistivity changes of the ion beam irradiated region that result from metal silicide formation by ion implantation. To control the nanodot diameter, a threshold ion dose model is proposed using the Gaussian distribution of the ion beam intensities. The process is verified by fabricating nanodots with various diameters. The mechanism of etching resistivity is investigated via x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES).

  1. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  2. 1/f Fluctuations in ion implanted metal semiconductor contacts

    International Nuclear Information System (INIS)

    Stojanovic, M.; Marjanovic, N.; Radojevic, B.

    1998-01-01

    Ion implanted Metal-Semiconductor contacts is the most widely used structures in electrical devices. Weather complete devices or some parts are of interest, properties of metal-semiconductor junction strongly influence the quality and external characteristic of electronic devices. That is the reason why special attention is paid to the investigation of factor (noise for example) that could influence given junction. Low frequency 1/f fluctuations (noise) are constantly present in metal-semiconductor junction, so measurement of their level as well as the dependence on factors such as temperature must be taken into account in detailed analysis of electrical characteristics of devices such as contact, nuclear detector with surface barrier etc. In this paper we present the results of low frequency noise level measurements on TiN-Ti-Si structures produced by As + ion implantation. (author)

  3. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  4. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  5. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  6. Systemic levels of metallic ions released from orthodontic mini-implants.

    Science.gov (United States)

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  7. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  8. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  9. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  10. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  11. Metal ion concentrations in body fluids after implantation of hip replacements with metal-on-metal bearing--systematic review of clinical and epidemiological studies.

    Directory of Open Access Journals (Sweden)

    Albrecht Hartmann

    Full Text Available INTRODUCTION: The use of metal-on-metal (MoM total hip arthroplasty (THA increased in the last decades. A release of metal products (i.e. particles, ions, metallo-organic compounds in these implants may cause local and/or systemic adverse reactions. Metal ion concentrations in body fluids are surrogate measures of metal exposure. OBJECTIVE: To systematically summarize and critically appraise published studies concerning metal ion concentrations after MoM THA. METHODS: Systematic review of clinical trials (RCTs and epidemiological studies with assessment of metal ion levels (cobalt, chromium, titanium, nickel, molybdenum in body fluids after implantation of metalliferous hip replacements. Systematic search in PubMed and Embase in January 2012 supplemented by hand search. Standardized abstraction of pre- and postoperative metal ion concentrations stratified by type of bearing (primary explanatory factor, patient characteristics as well as study quality characteristics (secondary explanatory factors. RESULTS: Overall, 104 studies (11 RCTs, 93 epidemiological studies totaling 9.957 patients with measurement of metal ions in body fluids were identified and analyzed. Consistently, median metal ion concentrations were persistently elevated after implantation of MoM-bearings in all investigated mediums (whole blood, serum, plasma, erythrocytes, urine irrespective of patient characteristics and study characteristics. In several studies very high serum cobalt concentrations above 50 µg/L were measured (detection limit typically 0.3 µg/L. Highest metal ion concentrations were observed after treatment with stemmed large-head MoM-implants and hip resurfacing arthroplasty. DISCUSSION: Due to the risk of local and systemic accumulation of metallic products after treatment with MoM-bearing, risk and benefits should be carefully balanced preoperatively. The authors support a proposed "time out" for stemmed large-head MoM-THA and recommend a restricted

  12. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  13. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  14. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  15. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  16. Metal Ion Concentrations in Body Fluids after Implantation of Hip Replacements with Metal-on-Metal Bearing – Systematic Review of Clinical and Epidemiological Studies

    Science.gov (United States)

    Hartmann, Albrecht; Hannemann, Franziska; Lützner, Jörg; Seidler, Andreas; Drexler, Hans; Günther, Klaus-Peter; Schmitt, Jochen

    2013-01-01

    Introduction The use of metal-on-metal (MoM) total hip arthroplasty (THA) increased in the last decades. A release of metal products (i.e. particles, ions, metallo-organic compounds) in these implants may cause local and/or systemic adverse reactions. Metal ion concentrations in body fluids are surrogate measures of metal exposure. Objective To systematically summarize and critically appraise published studies concerning metal ion concentrations after MoM THA. Methods Systematic review of clinical trials (RCTs) and epidemiological studies with assessment of metal ion levels (cobalt, chromium, titanium, nickel, molybdenum) in body fluids after implantation of metalliferous hip replacements. Systematic search in PubMed and Embase in January 2012 supplemented by hand search. Standardized abstraction of pre- and postoperative metal ion concentrations stratified by type of bearing (primary explanatory factor), patient characteristics as well as study quality characteristics (secondary explanatory factors). Results Overall, 104 studies (11 RCTs, 93 epidemiological studies) totaling 9.957 patients with measurement of metal ions in body fluids were identified and analyzed. Consistently, median metal ion concentrations were persistently elevated after implantation of MoM-bearings in all investigated mediums (whole blood, serum, plasma, erythrocytes, urine) irrespective of patient characteristics and study characteristics. In several studies very high serum cobalt concentrations above 50 µg/L were measured (detection limit typically 0.3 µg/L). Highest metal ion concentrations were observed after treatment with stemmed large-head MoM-implants and hip resurfacing arthroplasty. Discussion Due to the risk of local and systemic accumulation of metallic products after treatment with MoM-bearing, risk and benefits should be carefully balanced preoperatively. The authors support a proposed „time out“ for stemmed large-head MoM-THA and recommend a restricted indication for hip

  17. Magnetic properties of thin films obtained by ion implantation of 3d metals in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Petukhov, V.Yu.; Ibragimova, M.I.; Khabibullina, N.R; Zheglov, E.P.; Muller, R.

    2002-01-01

    Polymer films containing small metal particles have been attracting particular interest because of their unique properties. Implantation of metal ions in polymers is one of the methods to synthesize metal-polymer nano-composite materials. Ion implantation makes possible the magnetic nano-structures with controlled parameters. Previously, we showed that 3d-metal implantation into numerous polymers (polymethylmethacrylate, phosphorus containing polymethylmethacrylate) resulted in the formation of a composite film consisting of metal nanoparticles buried in an implanted layer. The particles are usually found to be distributed randomly in the surface layer. It has been established that structural peculiarities, phase composition, and magnetic properties of synthesized metal-polymer systems depend on the type of the initial polymer matrix, ion types, as well as conditions of ion implantation. In the present study we have been prepared thin metal-polymer composite films by ion-beam implantation of Fe + and Co + ions in polyethylene terephthalate. The implantation of 40 keV ions at room temperature with doses from 2·10 1 6 to 3·10 17 cm -2 have been performed, with the ion current density not exceeding 10 μA/cm 2 . The magnetic properties have been investigated both by ferromagnetic resonance (FMR) and vibrating sample magnetometry (VSM). FMR spectra were recorded using magnetic radio spectrometer Varian E-12 with frequency of 9.5 GHz at room temperature. The dependencies of FMR spectra on orientation have been measured for all samples. Measurements were carried out for two orientations of the sample, normal direction of the films being either parallel or perpendicular to dc magnetic field. The values of the effective magnetization were calculated from orientation dependencies. Thin ferromagnetic films (TFF) have been shown to form for samples with both implanted ions. For samples implanted with Co + ions, the appearance of FMR lines occurs at doses markedly greater

  18. Applications of ion implantation for modifying the interactions between metals and hydrogen gas

    Science.gov (United States)

    Musket, R. G.

    1989-04-01

    Ion implantations into metals have been shown recently to either reduce or enhance interactions with gaseous hydrogen. Published studies concerned with modifications of these interactions are reviewed and discussed in terms of the mechanisms postulated to explain the observed changes. The interactions are hydrogenation, hydrogen permeation, and hydrogen embrittlement. In particular, the results of the reviewed studies are (a) uranium hydriding suppressed by implantation of oxygen and carbon, (b) hydrogen gettered in iron and nickel using implantation of titanium, (c) hydriding of titanium catalyzed by implanted palladium, (d) tritium permeation of 304L stainless steel reduced using selective oxidation of implanted aluminum, and (e) hydrogen attack of a low-alloy steel accelerated by implantation of helium. These studies revealed ion implantation to be an effective method for modifying the interactions of hydrogen gas with metals.

  19. Examining metallic glass formation in LaCe:Nb by ion implantation

    Directory of Open Access Journals (Sweden)

    Sisson Richard

    2017-01-01

    Full Text Available In order to combine niobium (Nb with lanthanum (La and cerium (Ce, Nb ions were deposited within a thin film of these two elements. According to the Hume-Rothery rules, these elements cannot be combined into a traditional crystalline metallic solid. The creation of an amorphous metallic glass consisting of Nb, La, and Ce is then investigated. Amorphous metallic glasses are traditionally made using fast cooling of a solution of molten metals. In this paper, we show the results of an experiment carried out to form a metallic glass by implanting 9 MeV Nb 3+ atoms into a thin film of La and Ce. Prior to implantation, the ion volume distribution is calculated by Monte Carlo simulation using the SRIM tool suite. Using multiple methods of electron microscopy and material characterization, small quantities of amorphous metallic glass are indeed identified.

  20. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  1. The alteration of oxidation and related properties of metals by ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    A review is given of the various ways in which ion implanted additives can affect the thermal oxidation of metals, for example by blocking diffusion paths for ions, by catalytic effects or by altering the plasticity of the oxide. The versatility of the process has already proved useful in the study of oxidation mechanisms. Ways of achieving a long-lasting protection are discussed in relation to the dominant mechanisms of oxidation inhibition. In many practical applications at elevated temperatures oxidation and mechanical stresses co-exist. In steels the process of oxidative wear is important under conditions of poor lubrication and implanted metallic ions have been shown to be effective. In titanium alloys fatigue cracks probably initiate at dislocation sites at which oxidation proceeds most rapidly, and hence the same implanted species can improve both types of behaviour. Successful implantation treatments for fretting fatigue in titanium and a corrosion-erosion problem in steel are described. (orig.)

  2. Applications of ion implantation for modifying the interactions between metals and hydrogen gas

    International Nuclear Information System (INIS)

    Musket, R.G.

    1989-01-01

    Ion implantations into metals have been shown recently to either reduce or enhance interactions with gaseous hydrogen. Published studies concerned with modifications of these interactions are reviewed and discussed in terms of the mechanisms postulated to explain the observed changes. The interactions are hydrogenation, hydrogen permeation and hydrogen embrittlement. In particular, the results of the reviewed studies are 1. uranium hydriding suppressed by implantation of oxygen and carbon, 2. hydrogen gettered in iron and nickel using implantation of titanium, 3. hydriding of titanium catalyzed by implanted palladium, 4. tritium permeation of 304L stainless steel reduced using selective oxidation of implanted aluminum, and 5. hydrogen attack of a low-alloy steel accelerated by implantation of helium. These studies revealed ion implantation to be an effective method for modifying the interactions of hydrogen gas with metals. (orig.)

  3. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  4. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  5. High dose, heavy ion implantation into metals: the use of sacrificial surface layers to enhance retention

    International Nuclear Information System (INIS)

    Clapham, L.

    1994-01-01

    While of considerable interest for the production of metallic alloys, high dose, heavy ion implantation is highly problematical, since the process is limited by sputtering effects. Sputtering is less significant, however, for light target materials, such as C and Al. This paper summarizes studies involving the use of light materials (such as C and Al) which act as slowly sputtering ''sacrificial layers'' when deposited on metallic targets prior to heavy ion implantation. The use of C and Al sacrificial coatings has enabled implanted ion retentions of 100% to be obtained in a number of ion-metal target systems, where the retentions in uncoated samples were as low as 20%. Ion implantation invariably leads to mixing at the sacrificial layer-metal target interface. This mixing may be detrimental in certain systems, so it is useful to be able to minimize or remove this mixed region. To achieve this, a number of techniques have been investigated: (1) removal of the mixed region in the latter stages of the implant; (2) using a barrier layer or chemical effects to minimize mixing at the sacrificial layer-metal interface; (3) choosing a sacrificial layer material which forms a mixed region which has desirable properties. The results of these investigations, for a number of different ion-target systems, are outlined in this paper. (orig.)

  6. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  7. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  8. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted $^{163}$Ho ions

    CERN Document Server

    Gastaldo, L.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of $^{163}$Ho using MMCs having the radioactive $^{163}$Ho ions implanted in the absorber. The implantation of $^{163}$Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. In addition an optimized detector design for future $^{163}$Ho experiments is presented.

  9. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  10. Structural-chemical characteristics of implanted metals

    International Nuclear Information System (INIS)

    Kozejkin, B.V.; Pavlov, P.V.; Pitirimova, E.A.; Frolov, A.I.

    1988-01-01

    Corrosion and structural characteristics of metallic layers implanted by ions of chemically active impurities and noble gases are studied. Dependence of experimental results on parameters of initial materials and technological conditions of implantation is established. In studying corrosion characteristics of implanted metals a strong dependence of chemical passivation effect on technological conditions of ion-implantation and structure of initial material is stated. On the basis of developed mathematical model of chemical passivation effect it is shown that increase of corrosion characteristics of implanted metals is defined by superposition of surface and volumetric mechanisms

  11. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  12. Analysis of Accumulating Ability of Heavy Metals in Lotus (Nelumbo nucifera) Improved by Ion Implantation

    International Nuclear Information System (INIS)

    Zhang Jianhua; Wang Naiyan; Zhang Fengshou

    2012-01-01

    Heavy metals have seriously contaminated soil and water, and done harm to public health. Academician WANG Naiyan proposed that ion-implantation technique should be exploited for environmental bioremediation by mutating and breeding plants or microbes. By implanting N + into Taikonglian No.1, we have selected and bred two lotus cultivars, Jingguang No.1 and Jingguang No.2. The present study aims at analyzing the feasibility that irradiation can be used for remediation of soil and water from heavy metals. Compared with parent Taikonglian No.1, the uptaking and accumulating ability of heavy metals in two mutated cultivars was obviously improved. So ion implantation technique can indeed be used in bioremediation of heavy metals in soil and water, but it is hard to select and breed a cultivar which can remedy the soil and water from all the heavy metals.

  13. Potential release of in vivo trace metals from metallic medical implants in the human body: from ions to nanoparticles--a systematic analytical review.

    Science.gov (United States)

    Matusiewicz, Henryk

    2014-06-01

    Metal ion release from metallic materials, e.g. metallic alloys and pure metals, implanted into the human body in dental and orthopedic surgery is becoming a major cause for concern. This review briefly provides an overview of both metallic alloys and pure metals used in implant materials in dental and orthopedic surgery. Additionally, a short section is dedicated to important biomaterials and their corrosive behavior in both real solutions and various types of media that model human biological fluids and tissues. The present review gives an overview of analytical methods, techniques and different approaches applied to the measurement of in vivo trace metals released into body fluids and tissues from patients carrying metal-on-metal prostheses and metal dental implants. Reference levels of ion concentrations in body fluids and tissues that have been determined by a host of studies are compiled, reviewed and presented in this paper. Finally, a collection of published clinical data on in vivo released trace metals from metallic medical implants is included. Copyright © 2014 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  14. Modification of WC-Co Hard Metal by Ion Implantation with Ti+, AI+, N+, C+ and B+

    International Nuclear Information System (INIS)

    Rassoul, El.M.A.; Saleh, Z.A.; Waheed, A.F.; Abdel- Samad, S.M.; EI- Awadi, G.A.

    2010-01-01

    WC/Co hard metal was implanted by Ti + , AI + , N + , C + , and B + ions at a dose of 5x 10 17 ions/cm 2 at different energies ranging from 50 keV to 200 keV. The implanted layers were investigated by means of nano indentation, calotte measurements, SEM, X-ray diffraction XRD, tribometer and EDX. The maximum implanted zone was about 0.13 μm. The hardness of WC-Co was increased by a factor of 140% after its implantation by Ti, AI, and N and increased by a factor of 170 % after implantation by Ti + , AI + , C + , N + and B + ions as compared to the original value. Also friction coefficient of WC/Co was improved after ion implantation.

  15. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  16. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  17. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  18. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    Science.gov (United States)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  19. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    International Nuclear Information System (INIS)

    Gastaldo, L.; Ranitzsch, P.C.-O.; Seggern, F. von; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163 Ho using MMCs having the radioactive 163 Ho ions implanted in the absorber. The isotope 163 Ho decays through electron capture to 163 Dy and features the smallest known Q EC value. This peculiarity makes 163 Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163 Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163 Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163 Ho experiments is presented

  20. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M.V. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A.J. [Australian National Univ., Canberra, ACT (Australia); Treglio, J.R.

    1996-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  1. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M V [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A J [Australian National Univ., Canberra, ACT (Australia); Treglio, J R

    1997-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  2. A study of enhanced diffusion during high dose high flux pulsed metal ion implantation into steel and aluminium

    International Nuclear Information System (INIS)

    Zhang Tonghe; Ji Chengzhou; Shen Jinghua; Chen Jun

    1992-01-01

    The depth profiles of metal ions implanted into steel and aluminium were measured by Rutherford backscattering (RBS). The ions of Mo, W and Y, produced by a metal vapour vacuum are ion source (MEVVA) were implanted at an energy range from 25 to 50 keV for doses of (2-5)x10 17 cm -2 into H13 steel and aluminium. Beam currents were from 0.5 to 1.0 A. The beam flux is in the range of 25 to 75 μAcm -2 . In order to simulate the profiles, a formula which includes the sputtering yield, diffusion coefficients and reaction rate was obtained. The results demonstrate that the penetration depth and retained dose increase with increasing beam flux for Mo implanted into aluminium. The peak concentration of Mo implanted H13 steel increases with increasing ion flux. In contrast to this for Y implantation into steel, the peak concentration of Y decreases with increasing ion flux. For an ion flux of 25 μAcm -2 for Mo, Y and W implantation into steel, the penetration depth and retained dose are 3-5 times greater than the theoretical values. The diffusion coefficients are about 10 -16 to 10 -15 s -1 . If the ion flux is greater than 47 μAcm -2 , the penetration depth and retained dose are 5 to 10 times greater than the theoretical values for Mo implanted aluminium. The diffusion coefficients increase with increasing ion flux for Mo implanted aluminium. The diffusion coefficients hardly change with increasing ion flux for Y and Mo implanted H13 steel. The retained dose increases 0.43 to 1.16 times for Y implanted steel for an ion flux of 25 μAcm -2 . Finally, the influence of phases precipitates, reaction rate and diffusion on retained dose, diffusion coefficient and penetration depth are discussed. (orig.)

  3. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  4. RIKEN 200 kV high current implanter for metal surface modification

    International Nuclear Information System (INIS)

    Iwaki, M.; Yoshida, K.; Sakudo, N.

    1985-01-01

    A high current, metal ion implanter was constructed in order to aid the formation of a new metastable surface alloy. This implanter, called a RIKEN 200 kV high current implanter, is a modified Lintott high current machine (Series III), which has the advantages of having its own microwave ion source and an extra target chamber. The microwave discharge ion source without a hot-filament has a comparatively long lifetime because the chloride ions and radicals in a plasma during discharge of metal chlorides might prevent metal to deposit on the inner walls of the discharge chamber by bombarding and chemically cleaning them. An extra target chamber for metal modification is able to control the surface composition by utilizing the sputtering effect of the ion beam during ion implantation. The use of this ion source and the extra target chamber is suggested to be suitable for the production of metallic ions and for the implantation into metals. The case study will be introduced for TI implantation into Fe. (orig.)

  5. A 60 keV implanter for metals

    International Nuclear Information System (INIS)

    Leutenecker, R.; Ryssel, H.; Zeller, K.-H.; Spoehrle, H.P.

    1985-01-01

    The design and preliminary performance data of a 60 keV high current implanter for the implantation of non-separated ion beams into metals are described. The target chamber accepts samples up to 70 cm in diameter and is equipped with holders to implant ball bearings, axles and other components which have to be rotated during implantation. Samples are mounted on a rugged table which can move up to 35 kg for +-35 cm in the x and y directions. The implanter, including the vacuum system, is completely computer controlled. The ion source is equipped with an oven having a maximum temperature of 1200 0 C and can deliver ion beams of nitrogen as well as different metal ions such as tin and silver with currents up to 10 mA. (Auth.)

  6. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  7. Theoretical examination of the trapping of ion-implanted hydrogen in metals

    International Nuclear Information System (INIS)

    Myers, S.M.; Nordlander, P.; Besenbacher, F.; Norskov, J.K.

    1986-01-01

    Theoretical analysis of the defect trapping of ion-implanted hydrogen in metals has been extended in two respects. A new transport formalism has been developed which takes account not only of the diffusion, trapping, and surface release of the hydrogen, which were included in earlier treatments, but also the diffusion, recombination, agglomeration, and surface annihilation of the vacancy and interstitial traps. In addition, effective-medium theory has been used to examine multiple hydrogen occupancy of the vacancy, and, for the fcc structure, appreciable binding enthalpies relative to the solution site have been found for occupancies of up to six. These extensions have been employed to model the depth distribution of ion-implanted hydrogen in Ni and Al during linear ramping of temperature, and the results have been used to interpret previously published data from these metals. The agreement between theory and experiment is good for both systems. In the case of Ni, the two experimentally observed hydrogen-release stages are both accounted for in terms of trapping at vacancies with a binding enthalpy that depends upon occupancy in accord with effective-medium theory

  8. Combined transmission electron microscope and ion channeling study of metastable metal alloys formed by ion implantation

    International Nuclear Information System (INIS)

    Cullis, A.G.; Borders, J.A.; Hirvonen, J.K.; Poate, J.M.

    1977-01-01

    Recently, ion implantation has been used to produce metastable alloy layers with a range of structures from crystalline substitutional solid solutions to amorphous. The technique offers the possibility of producing metastable metal layers with unique physical properties. Its application in the formation of alloys exhibiting different although complementary types of metastability is described. The metal combinations chosen (Ag-Cu and Ta-Cu) show little mutual solubility under equilibrium conditions

  9. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  10. Metallic and/or oxygen ion implantation into AlN ceramics as a method of preparation for its direct bonding with copper

    International Nuclear Information System (INIS)

    Barlak, M.; Borkowska, K.; Olesinska, W.; Kalinski, D.; Piekoszewski, J.; Werner, Z.; Jagielski, J.; Sartowska, B.

    2006-01-01

    Direct bonding (DB) process is recently getting an increasing interest as a method for producing high quality joints between aluminum nitride (AlN) ceramics and copper. The metallic ions were implanted using an MEVVA type TITAN implanter with unseparated beam. Oxygen ions were implanted using a semi-industrial ion implanter without mass separation equipped with a gaseous ion source. The substrate temperature did not exceed 200 o C. Ions were implanted at two acceleration voltages, i.e. 15 and 70 kV. The fluence range was between 1·E16 and 1·E18 cm -2 . After implantation, some of the samples were characterized by the Rutherford backscattering (RBS) method. In conclusion: (a) The investigations performed in the present work confirm an assumption that ion implantation is a very promising technique as a pretreatment of AlN ceramics for the formation of the joints with copper in direct bonding process. (b) It has been shown that titanium implantation gives the best results in comparison to other metals examined (Fe, Cr, Cu) but also in comparison to double Ti+O and O+Ti implantations

  11. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  12. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  13. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  14. The controlled incorporation of foreign elements in metal surfaces by means of quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1977-01-01

    Quantitative ion implantation is a powerful new method for the doping of metal surfaces with accurately known quantities of an element or one of its isotopes. It can be applied for the preparation of standards for various uses in instrumental methods of surface and bulk analysis. This paper provides selected information on some theoretical and practical aspects of quantitative ion implantation with the object of promoting the application of the method and stimulating further purposeful research on the subject. (Auth.)

  15. Nano-size metallic oxide particle synthesis in Fe-Cr alloys by ion implantation

    Science.gov (United States)

    Zheng, C.; Gentils, A.; Ribis, J.; Borodin, V. A.; Delauche, L.; Arnal, B.

    2017-10-01

    Oxide Dispersion Strengthened (ODS) steels reinforced with metal oxide nanoparticles are advanced structural materials for nuclear and thermonuclear reactors. The understanding of the mechanisms involved in the precipitation of nano-oxides can help in improving mechanical properties of ODS steels, with a strong impact for their commercialization. A perfect tool to study these mechanisms is ion implantation, where various precipitate synthesis parameters are under control. In the framework of this approach, high-purity Fe-10Cr alloy samples were consecutively implanted with Al and O ions at room temperature and demonstrated a number of unexpected features. For example, oxide particles of a few nm in diameter could be identified in the samples already after ion implantation at room temperature. This is very unusual for ion beam synthesis, which commonly requires post-implantation high-temperature annealing to launch precipitation. The observed particles were composed of aluminium and oxygen, but additionally contained one of the matrix elements (chromium). The crystal structure of aluminium oxide compound corresponds to non-equilibrium cubic γ-Al2O3 phase rather than to more common corundum. The obtained experimental results together with the existing literature data give insight into the physical mechanisms involved in the precipitation of nano-oxides in ODS alloys.

  16. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  17. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  18. Effect of carbon ion implantation on the tribology of metal-on-metal bearings for artificial joints

    Directory of Open Access Journals (Sweden)

    Koseki H

    2017-05-01

    Full Text Available Hironobu Koseki,1 Masato Tomita,2 Akihiko Yonekura,2 Takashi Higuchi,1 Sinya Sunagawa,2 Koumei Baba,3,4 Makoto Osaki2 1Department of Locomotive Rehabilitation Science, Unit of Rehabilitation Sciences, 2Department of Orthopedic Surgery, Nagasaki University Graduate School of Biomedical Sciences, Sakamoto, Nagasaki, Japan; 3Industrial Technology Center of Nagasaki, Ikeda, Omura, Nagasaki, Japan; 4Affiliated Division, Nagasaki University School of Engineering, Bunkyo, Nagasaki, Japan Abstract: Metal-on-metal (MoM bearings have become popular due to a major advantage over metal-on-polymer bearings for total hip arthroplasty in that the larger femoral head and hydrodynamic lubrication of the former reduce the rate of wear. However, concerns remain regarding adverse reactions to metal debris including metallosis caused by metal wear generated at the taper-head interface and another modular junction. Our group has hypothesized that carbon ion implantation (CII may improve metal wear properties. The purpose of this study was to investigate the wear properties and friction coefficients of CII surfaces with an aim to ultimately apply these surfaces to MoM bearings in artificial joints. CII was applied to cobalt-chromium-molybdenum (Co-Cr-Mo alloy substrates by plasma source ion implantation. The substrates were characterized using scanning electron microscopy and a 3D measuring laser microscope. Sliding contact tests were performed with a simple geometry pin-on-plate wear tester at a load of 2.5 N, a calculated contact pressure of 38.5 MPa (max: 57.8 MPa, a reciprocating velocity of 30 mm/s, a stroke length of 60 mm, and a reciprocating cycle count of 172,800 cycles. The surfaces of the CII substrates were generally featureless with a smooth surface topography at the same level as untreated Co-Cr-Mo alloy. Compared to the untreated Co-Cr-Mo alloy, the CII-treated bearings had lower friction coefficients, higher resistance to catastrophic damage, and

  19. Novel metal ion surface modification technique

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.; Yu, K.M.

    1990-10-01

    We describe a method for applying metal ions to the near-surface region of solid materials. The added species can be energetically implanted below the surface or built up as a surface film with an atomically mixed interface with the substrate; the metal ion species can be the same as the substrate species or different from it, and more than one kind of metal species can be applied, either simultaneously or sequentially. Surface structures can be fabricated, including coatings and thin films of single metals, tailored alloys, or metallic multilayers, and they can be implanted or added onto the surface and ion beam mixed. We report two simple demonstrations of the method: implantation of yttrium into a silicon substrate at a mean energy of 70 keV and a dose of 1 x 10 16 atoms/cm 2 , and the formation of a titanium-yttrium multilayer structure with ion beam mixing to the substrate. 17 refs., 3 figs

  20. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  1. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  2. Effects of noble-metal ion implantation on corrosion inhibition and charge injection capability of surgical Ti and Ti-6Al-4V

    International Nuclear Information System (INIS)

    Lee, I.S.

    1989-01-01

    Studies are described involving effects of noble-metal ion implantation on corrosion inhibition and charge injection capabilities of surgical Ti and Ti-6Al-4V. With surgical alloys, harmful biological responses are principally due to the type and quantity of metal ions released by the corrosion process. One approach to improve long-term biological performance involves surface modifications to significantly reduce degradation rates. With regard to surface-modifications, one of the most effective methods is through ion implantation. Results are presented for ion-implanted Au, Rh, and Ir. For the static in vitro corrosion properties, the noble-metal ion implanted Ti-6Al-4V and commercially-pure (CP) Ti were investigated in non-passivating acid and passivating saline solutions. It was postulated that during the early stages of corrosion (or during a corrosion pretreatment) the implanted noble-metal would enrich at the surface and significantly reduce subsequent corrosion rates. The observed behavior for the Ir and Rh implanted materials appeared to follow the postulated mechanism, with both initial and time-dependent improvements in corrosion resistance. However, the Au implanted material yielded early benefits, but the enhanced corrosion resistance deteriorated with time. X-ray photoelectron spectroscopy (XPS) analysis indicated that the implanted Au atoms remained as pure metallic Au, while the Ir and Rh atoms were in some oxide state, which gave the good adhesion of the Ir or Rh enriched surface to the Ti substrate. For a stimulating neural electrode, the charge density should be as large as possible to provide adequate stimulation of the nervous system while allowing for miniaturization of the electrode. Activated Ir has been known as having the highest charge injection capability of any material known

  3. Surface modification of the hard metal tungsten carbide-cobalt by boron ion implantation

    International Nuclear Information System (INIS)

    Mrotchek, I.

    2007-01-01

    In the present thesis ion beam implantation of boron is studied as method for the increasement of the hardness and for the improvement of the operational characteristics of cutting tools on the tungsten carbide-cobalt base. For the boron implantation with 40 keV energy and ∼5.10 17 ions/cm 2 fluence following topics were shown: The incoerporation of boron leads to a deformation and remaining strain of the WC lattice, which possesses different stregth in the different directions of the elementary cell. The maximum of the deformation is reached at an implantation temperature of 450 C. The segregation of the new phases CoWB and Co 3 W was detected at 900 C implantation temperature. At lower temperatures now new phases were found. The tribological characteristics of WC-Co are improved. Hereby the maxiaml effect was measured for implantation temperatures from 450 C to 700 C: Improvement of the microhardness by the factor 2..2.5, improvement of the wear resistance by the factor 4. The tribological effects extend to larger depths than the penetration depth of the boron implantation profile. The detected property improvements of the hard metal H3 show the possibility of a practical application of boron ion implantation in industry. The effects essential for a wer decreasement are a hardening of the carbide phase by deformation of the lattice, a hardening of the cobalt binding material and the phase boundaries because of the formation of a solid solution of the implanted boron atoms in Co and by this a blocking of the dislocation movement and the rupture spreading under load

  4. The structural and optical properties of metal ion-implanted GaN

    Czech Academy of Sciences Publication Activity Database

    Macková, Anna; Malinský, Petr; Sofer, Z.; Šimek, P.; Sedmidubský, D.; Veselý, M.; Bottger, R.

    2016-01-01

    Roč. 371, MAR (2016), s. 254-257 ISSN 0168-583X. [22nd International conference on Ion Beam Analysis (IBA). Opatija, 14.06.2015-19.06.2015] R&D Projects: GA MŠk(CZ) LM2011019; GA ČR GA15-01602S Institutional support: RVO:61389005 Keywords : RBS channelling * metal-implanted GaN * structural changes Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.109, year: 2016

  5. Peculiarities of the electrontransport properties of polyimide films implanted with copper and cobalt ions

    International Nuclear Information System (INIS)

    Nazhim, F.A.; Odzhaev, V.B.; Lukashevich, M.G.; Nuzhdin, V.I.; Khajbullin, R.I.

    2010-01-01

    Thin polyimide foils were implanted with 40 keV Co + and Cu + ions at fluencies of 2,5·1016-1,251017 cm 2 and at ion current densities of 4, 8 and 12 mA cm 2 . Surface dc electric resistance of the implanted polymer samples have been measured in the temperature range 40-300 K. Metal implantation results in decreasing polymer resistance with the dose and current density increasing for the both kinds of metal ions. The decrease of dc electric resistance is caused by radiation-induced carbonization and metal nanoparticle formation in the implanted region of polymer. The transition from the insulating to metallic regime of conductivity is observed in cobalt implanted samples for critical doses above Dc = 1,25?1017 cm 2 at an ion current density of 8 mA cm 2 . In the contrary, high-fluence implantation in the polymer with Cu + ions for the same regimes does not result in the transition. The dominating mechanisms of charge carrier transport and the origin of insulator-to-metal transition in the metal implanted polymer are discussed. (authors)

  6. Transition Metal Ion Implantation into Diamond-Like Carbon Coatings: Development of a Base Material for Gas Sensing Applications

    Directory of Open Access Journals (Sweden)

    Andreas Markwitz

    2015-01-01

    Full Text Available Micrometre thick diamond-like carbon (DLC coatings produced by direct ion deposition were implanted with 30 keV Ar+ and transition metal ions in the lower percentage (<10 at.% range. Theoretical calculations showed that the ions are implanted just beneath the surface, which was confirmed with RBS measurements. Atomic force microscope scans revealed that the surface roughness increases when implanted with Ar+ and Cu+ ions, whereas a smoothing of the surface from 5.2 to 2.7 nm and a grain size reduction from 175 to 93 nm are measured for Ag+ implanted coatings with a fluence of 1.24×1016 at. cm−2. Calculated hydrogen and carbon depth profiles showed surprisingly significant changes in concentrations in the near-surface region of the DLC coatings, particularly when implanted with Ag+ ions. Hydrogen accumulates up to 32 at.% and the minimum of the carbon distribution is shifted towards the surface which may be the cause of the surface smoothing effect. The ion implantations caused an increase in electrical conductivity of the DLC coatings, which is important for the development of solid-state gas sensors based on DLC coatings.

  7. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    International Nuclear Information System (INIS)

    Ward, L.P.; Purushotham, K.P.; Manory, R.R.

    2016-01-01

    Highlights: • Reduced surface roughness was observed after ion implantation. • W implantation increased residual stress. • Reduced friction and wear accompanied Mo implantation. • Mo implanted layer was more resistant to breakdown during wear testing. • Ion implantation effects can be complex on various implanting species properties. - Abstract: Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 10 16 ions cm −2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti 2 N phase was observed with Cr implantation.

  8. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  9. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  10. Production of amorphous alloys by ion implantation

    International Nuclear Information System (INIS)

    Grant, W.A.; Chadderton, L.T.; Johnson, E.

    1978-01-01

    Recent data are reported on the use of ion implantation to produce amorphous metallic alloys. In particular data on the dose dependence of the crystalline to amorphous transition induced by P + implantation of nickel is presented. (Auth.)

  11. High energy metal ion implantation using 'Magis', a novel, broad-beam, Marx-generator-based ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; Dickinson, M.R.; MacGill, R.A.

    1996-08-01

    Ion energy of the beam formed by an ion source is proportional to extractor voltage and ion charge state. Increasing the voltage is difficult and costly for extraction voltage over 100 kV. Here we explore the possibility of increasing the charge states of metal ions to facilitate high-energy, broad beam ion implantation at a moderate voltage level. Strategies to enhance the ion charge state include operating in the regimes of high-current vacuum sparks and short pulses. Using a time-of-flight technique we have measured charge states as high as 7+ (73 kA vacuum spark discharge) and 4+ (14 kA short pulse arc discharge), both for copper, with the mean ion charge states about 6.0 and 2.5, respectively. Pulsed discharges can conveniently be driven by a modified Marx generator, allowing operation of ''Magis'' with a single power supply (at ground potential) for both plasma production and ion extraction

  12. The saturation phenomenon in Kr85 ion implantation in metallic targets

    International Nuclear Information System (INIS)

    Baptista Junior, V. de P.

    1978-01-01

    Noble gases, as Krypton containing the radioative isotope Kr 85 , can be stably incorporated into a wide variety of solids and used as tracers or a kind of sensitive probe to measure chemical and physical phenomena. A general review is presented about the methods of incorporation with emphasis on ion bombardment and saturation. The problem of saturation of metal targets was correlated to certain properties in order to get a mathematical approach. Six properties were chosen as more significative to produce a simple model of saturation on experiments of ion implantation with Kr 85 at 45 KeV. The accuracy of the model is limited by the experimental error, the available data and its own simplicity. (Author) [pt

  13. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  14. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  15. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  16. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  17. Metal is not inert: role of metal ions released by biocorrosion in aseptic loosening--current concepts.

    Science.gov (United States)

    Cadosch, Dieter; Chan, Erwin; Gautschi, Oliver P; Filgueira, Luis

    2009-12-15

    Metal implants are essential therapeutic tools for the treatment of bone fractures and joint replacements. The metals and metal alloys used in contemporary orthopedic and trauma surgery are well tolerated by the majority of patients. However, complications resulting from inflammatory and immune reactions to metal implants have been well documented. This review briefly discusses the different mechanisms of metal implant corrosion in the human body, which lead to the release of significant levels of metal ions into the peri-implant tissues and the systemic blood circulation. Additionally, this article reviews the effects of the released ions on bone metabolism and the immune system and discusses their involvement in the pathophysiological mechanisms of aseptic loosening and metal hypersensitivity in patients with metal implants.

  18. Metallic oxide nano-clusters synthesis by ion implantation in high purity Fe10Cr alloy

    International Nuclear Information System (INIS)

    Zheng, Ce

    2015-01-01

    ODS (Oxide Dispersed Strengthened) steels, which are reinforced with metal dispersions of nano-oxides (based on Y, Ti and O elements), are promising materials for future nuclear reactors. The detailed understanding of the mechanisms involved in the precipitation of these nano-oxides would improve manufacturing and mechanical properties of these ODS steels, with a strong economic impact for their industrialization. To experimentally study these mechanisms, an analytical approach by ion implantation is used, to control various parameters of synthesis of these precipitates as the temperature and concentration. This study demonstrated the feasibility of this method and concerned the behaviour of alloys models (based on aluminium oxide) under thermal annealing. High purity Fe-10Cr alloys were implanted with Al and O ions at room temperature. Transmission electron microscopy observations showed that the nano-oxides appear in the Fe-10Cr matrix upon ion implantation at room temperature without subsequent annealing. The mobility of implanted elements is caused by the defects created during ion implantation, allowing the nucleation of these nanoparticles, of a few nm in diameter. These nanoparticles are composed of aluminium and oxygen, and also chromium. The high-resolution experiments show that their crystallographic structure is that of a non-equilibrium compound of aluminium oxide (cubic γ-Al 2 O 3 type). The heat treatment performed after implantation induces the growth of the nano-sized oxides, and a phase change that tends to balance to the equilibrium structure (hexagonal α-Al 2 O 3 type). These results on model alloys are fully applicable to industrial materials: indeed ion implantation reproduces the conditions of milling and heat treatments are at equivalent temperatures to those of thermo-mechanical treatments. A mechanism involving the precipitation of nano-oxide dispersed in ODS alloys is proposed in this manuscript based on the obtained experimental results

  19. Employment of an ion implantation technique for catalyst coating on various substrates

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Chattha, J.A.; Zlobin, V.N.; Vasilve, I.P.; Cherkasov, J.A.; Gawrilenko, P.N.

    2001-01-01

    Catalysts are widely used in the chemical industry as well as in the production of vehicle catalytic converters. Precious metals are employed increasingly as catalytic materials. Traditional methods of coating, such as impregnation, are thought to reduce the porosity and specific area of catalyst thus reducing the catalytic efficiency. Apart from that, impregnation technology leads to the high expense of precious metals. To reduce the content of noble metals in catalysts the ion implantation method of coating has been investigated. Several samples of catalysts on various substrates were prepared by ion implantation technique and tested. New catalysts have shown high nitric oxides (NO/sub x/) and carbon monoxide (CO) conversion efficiency, with the content of noble metals reduced substantially. Experiment has also shown that specific area of substrates coated by an ion implantation had not decreased. Schematic of an ion implanter and experimental results are provided. (author)

  20. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  1. Chromium and cobalt ion concentrations in blood and serum following various types of metal-on-metal hip arthroplasties

    DEFF Research Database (Denmark)

    Jantzen, Christopher; Jørgensen, Henrik L; Duus, Benn R

    2013-01-01

    Widely different metal ion concentrations in blood and serum have been reported with metal-on-metal (MoM) implants. We reviewed the literature on blood and serum ion concentrations of chromium (Cr) and cobalt (Co) following various MoM hip arthroplasties.......Widely different metal ion concentrations in blood and serum have been reported with metal-on-metal (MoM) implants. We reviewed the literature on blood and serum ion concentrations of chromium (Cr) and cobalt (Co) following various MoM hip arthroplasties....

  2. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  3. Effect of carbon ion implantation on the tribology of metal-on-metal bearings for artificial joints.

    Science.gov (United States)

    Koseki, Hironobu; Tomita, Masato; Yonekura, Akihiko; Higuchi, Takashi; Sunagawa, Sinya; Baba, Koumei; Osaki, Makoto

    2017-01-01

    Metal-on-metal (MoM) bearings have become popular due to a major advantage over metal-on-polymer bearings for total hip arthroplasty in that the larger femoral head and hydrodynamic lubrication of the former reduce the rate of wear. However, concerns remain regarding adverse reactions to metal debris including metallosis caused by metal wear generated at the taper-head interface and another modular junction. Our group has hypothesized that carbon ion implantation (CII) may improve metal wear properties. The purpose of this study was to investigate the wear properties and friction coefficients of CII surfaces with an aim to ultimately apply these surfaces to MoM bearings in artificial joints. CII was applied to cobalt-chromium-molybdenum (Co-Cr-Mo) alloy substrates by plasma source ion implantation. The substrates were characterized using scanning electron microscopy and a 3D measuring laser microscope. Sliding contact tests were performed with a simple geometry pin-on-plate wear tester at a load of 2.5 N, a calculated contact pressure of 38.5 MPa (max: 57.8 MPa), a reciprocating velocity of 30 mm/s, a stroke length of 60 mm, and a reciprocating cycle count of 172,800 cycles. The surfaces of the CII substrates were generally featureless with a smooth surface topography at the same level as untreated Co-Cr-Mo alloy. Compared to the untreated Co-Cr-Mo alloy, the CII-treated bearings had lower friction coefficients, higher resistance to catastrophic damage, and prevented the adhesion of wear debris. The results of this study suggest that the CII surface stabilizes the wear status due to the low friction coefficient and low infiltration of partner materials, and these properties also prevent the adhesion of wear debris and inhibit excessive wear. Carbon is considered to be biologically inert; therefore, CII is anticipated to be applicable to the bearing surfaces of MoM prostheses.

  4. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  5. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  6. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  7. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  8. Upgraded vacuum arc ion source for metal ion implantation

    International Nuclear Information System (INIS)

    Nikolaev, A. G.; Oks, E. M.; Savkin, K. P.; Yushkov, G. Yu.; Brown, I. G.

    2012-01-01

    Vacuum arc ion sources have been made and used by a large number of research groups around the world over the past twenty years. The first generation of vacuum arc ion sources (dubbed ''Mevva,'' for metal vapor vacuum arc) was developed at Lawrence Berkeley National Laboratory in the 1980s. This paper considers the design, performance parameters, and some applications of a new modified version of this kind of source which we have called Mevva-V.Ru. The source produces broad beams of metal ions at an extraction voltage of up to 60 kV and a time-averaged ion beam current in the milliampere range. Here, we describe the Mevva-V.Ru vacuum arc ion source that we have developed at Tomsk and summarize its beam characteristics along with some of the applications to which we have put it. We also describe the source performance using compound cathodes.

  9. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  10. Characterization of ion-implanted aluminum and iron by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Brodkin, J.S.; Franzen, W.; Culbertson, R.J.

    1990-01-01

    The change in the optical constants of aluminum alloy and iron samples caused by implantation with nitrogen and chromium ions has been investigated by spectroscopic ellipsometry. The objective is to develop a method for simple, non-destructive characterization of ion-implanted metals. 5 refs., 6 figs

  11. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  12. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  13. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    Science.gov (United States)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  14. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    International Nuclear Information System (INIS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-01-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 10 17 ions/cm 2 . The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, Cr x C y phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties

  15. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  16. Ultrafast optical phase modulation with metallic nanoparticles in ion-implanted bilayer silica

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Torres, C [Seccion de Estudios de Posgrado e Investigacion, ESIME-Z, Instituto Politecnico Nacional, Mexico, DF, 07738 (Mexico); Tamayo-Rivera, L; Silva-Pereyra, H G; Reyes-Esqueda, J A; Rodriguez-Fernandez, L; Crespo-Sosa, A; Cheang-Wong, J C; Oliver, A [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, 04510, Mexico, DF (Mexico); Rangel-Rojo, R [Departamento de Optica, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada Apartado Postal 360, Ensenada, BC, 22860 (Mexico); Torres-Martinez, R, E-mail: crstorres@yahoo.com.mx [Centro de Investigacion en Ciencia Aplicada y TecnologIa Avanzada Unidad Queretaro, Instituto Politecnico Nacional, Santiago de Queretaro, Queretaro, 76090 (Mexico)

    2011-09-02

    The nonlinear optical response of metallic-nanoparticle-containing composites was studied with picosecond and femtosecond pulses. Two different types of nanocomposites were prepared by an ion-implantation process, one containing Au nanoparticles (NPs) and the other Ag NPs. In order to measure the optical nonlinearities, we used a picosecond self-diffraction experiment and the femtosecond time-resolved optical Kerr gate technique. In both cases, electronic polarization and saturated absorption were identified as the physical mechanisms responsible for the picosecond third-order nonlinear response for a near-resonant 532 nm excitation. In contrast, a purely electronic nonlinearity was detected at 830 nm with non-resonant 80 fs pulses. Regarding the nonlinear optical refractive behavior, the Au nanocomposite presented a self-defocusing effect, while the Ag one presented the opposite, that is, a self-focusing response. But, when evaluating the simultaneous contributions when the samples are tested as a multilayer sample (silica-Au NPs-silica-Ag NPs-silica), we were able to obtain optical phase modulation of ultra-short laser pulses, as a result of a significant optical Kerr effect present in these nanocomposites. This allowed us to implement an ultrafast all-optical phase modulator device by using a combination of two different metallic ion-implanted silica samples. This control of the optical phase is a consequence of the separate excitation of the nonlinear refracting phenomena exhibited by the separate Au and Ag nanocomposites.

  17. Ultrafast optical phase modulation with metallic nanoparticles in ion-implanted bilayer silica

    International Nuclear Information System (INIS)

    Torres-Torres, C; Tamayo-Rivera, L; Silva-Pereyra, H G; Reyes-Esqueda, J A; Rodriguez-Fernandez, L; Crespo-Sosa, A; Cheang-Wong, J C; Oliver, A; Rangel-Rojo, R; Torres-Martinez, R

    2011-01-01

    The nonlinear optical response of metallic-nanoparticle-containing composites was studied with picosecond and femtosecond pulses. Two different types of nanocomposites were prepared by an ion-implantation process, one containing Au nanoparticles (NPs) and the other Ag NPs. In order to measure the optical nonlinearities, we used a picosecond self-diffraction experiment and the femtosecond time-resolved optical Kerr gate technique. In both cases, electronic polarization and saturated absorption were identified as the physical mechanisms responsible for the picosecond third-order nonlinear response for a near-resonant 532 nm excitation. In contrast, a purely electronic nonlinearity was detected at 830 nm with non-resonant 80 fs pulses. Regarding the nonlinear optical refractive behavior, the Au nanocomposite presented a self-defocusing effect, while the Ag one presented the opposite, that is, a self-focusing response. But, when evaluating the simultaneous contributions when the samples are tested as a multilayer sample (silica-Au NPs-silica-Ag NPs-silica), we were able to obtain optical phase modulation of ultra-short laser pulses, as a result of a significant optical Kerr effect present in these nanocomposites. This allowed us to implement an ultrafast all-optical phase modulator device by using a combination of two different metallic ion-implanted silica samples. This control of the optical phase is a consequence of the separate excitation of the nonlinear refracting phenomena exhibited by the separate Au and Ag nanocomposites.

  18. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  19. Thin hydroxyapatite surface layers on titanium produced by ion implantation

    CERN Document Server

    Baumann, H; Bilger, G; Jones, D; Symietz, I

    2002-01-01

    In medicine metallic implants are widely used as hip replacement protheses or artificial teeth. The biocompatibility is in all cases the most important requirement. Hydroxyapatite (HAp) is frequently used as coating on metallic implants because of its high acceptance by the human body. In this paper a process is described by which a HAp surface layer is produced by ion implantation with a continuous transition to the bulk material. Calcium and phosphorus ions are successively implanted into titanium under different vacuum conditions by backfilling oxygen into the implantation chamber. Afterwards the implanted samples are thermally treated. The elemental composition inside the implanted region was determined by nuclear analysis methods as (alpha,alpha) backscattering and the resonant nuclear reaction sup 1 H( sup 1 sup 5 N,alpha gamma) sup 1 sup 2 C. The results of X-ray photoelectron spectroscopy indicate the formation of HAp. In addition a first biocompatibility test was performed to compare the growing of m...

  20. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  1. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    International Nuclear Information System (INIS)

    Nikolaev, A.G.; Yushkov, G.Yu.; Oks, E.M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E.S.; Brown, I.G.

    2014-01-01

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material

  2. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    Energy Technology Data Exchange (ETDEWEB)

    Nikolaev, A.G., E-mail: nik@opee.hcei.tsc.ru [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Yushkov, G.Yu.; Oks, E.M. [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Oztarhan, A. [Izmir University, Izmir 35140 (Turkey); Akpek, A.; Hames-Kocabas, E.; Urkac, E.S. [Bioengineering Department, Ege University, Bornova 35100, Izmir (Turkey); Brown, I.G. [Lawrence Berkeley National Laboratory, Berkeley, CA 94708 (United States)

    2014-08-15

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  3. Fabrication and characterisation of embedded metal nanostructures by ion implantation with nanoporous anodic alumina masks

    Energy Technology Data Exchange (ETDEWEB)

    Guan, Wei [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physics and Astronomy, University of Edinburgh, Mayfield Road, Edinburgh EH9 3JZ (United Kingdom); Peng, Nianhua, E-mail: n.peng@surrey.ac.uk [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Jeynes, Christopher [Surrey Ion Beam Centre, Surrey University, Guildford GU2 7XH (United Kingdom); Ghatak, Jay [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Peng, Yong [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); School of Physical Science and Technology, Lanzhou University, 222 Tianshui Road, Lanzhou 730000 (China); Ross, Ian M. [Department of Electronic and Electric Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Bhatta, Umananda M.; Inkson, Beverley J.; Möbus, Günter [NanoLAB, Department of Materials Science and Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom)

    2013-07-15

    Lateral ordered Co, Pt and Co/Pt nanostructures were fabricated in SiO{sub 2} and Si{sub 3}N{sub 4} substrates by high fluence metal ion implantation through periodic nanochannel membrane masks based on anodic aluminium oxides (AAO). The quality of nanopatterning transfer defined by various AAO masks in different substrates was examined by transmission electron microscopy (TEM) in both imaging and spectroscopy modes.

  4. Implantation of titanium, chromium, yttrium, molybdenum, silver, hafnium, tantalum, tungsten and platinum ions generated by a metal vapor vacuum ion source into 440C stainless steel

    International Nuclear Information System (INIS)

    Sasaki, Jun; Hayashi, Kazunori; Sugiyama, Kenji; Ichiko, Osami; Hashiguchi, Yoshihiro

    1992-01-01

    Titanium, yttrium, molybdenum, silver, chromium, hafnium, tantalum, tungsten and platinum ions generated by a metal vapor vacuum arc (MEVVA) ion source were implanted into 440C stainless steel in the dose region 10 17 ions cm -2 with extraction voltages of up to 70 kV. Glow discharge spectroscopy (GDS), friction coefficient, and Vickers microhardness of the specimens were studied. Grooves made by friction tests were investigated by electron probe microanalysis (EPMA). GDS showed incorporation of carbon in the yttrium, hafnium, tantalum, tungsten and platinum implanted specimens, as well as titanium implanted samples. A large amount of oxygen was observed in the yttrium implanted specimen. The friction coefficient was measured by reciprocating sliding of an unimplanted 440C ball without lubricant at a load of 0.245 N. The friction decreased and achieved a stable state after implantation of titanium, hafnium and tantalum. The friction coefficient of the platinum implanted specimen showed a gradual decrease after several cycles of sliding at high friction coefficient. The yttrium implanted sample exhibited a decreased but slightly unstable friction coefficient. Results from EPMA showed that the implanted elements, which gave decreased friction, remained even after sliding of 200 cycles. Implantation of chromium, molybdenum, silver and tungsten did not provide a decrease in friction and the implants were gone from the wear grooves after the sliding tests. (orig.)

  5. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  6. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  7. Assessing for Cardiotoxicity from Metal-on-Metal Hip Implants with Advanced Multimodality Imaging Techniques.

    Science.gov (United States)

    Berber, Reshid; Abdel-Gadir, Amna; Rosmini, Stefania; Captur, Gabriella; Nordin, Sabrina; Culotta, Veronica; Palla, Luigi; Kellman, Peter; Lloyd, Guy W; Skinner, John A; Moon, James C; Manisty, Charlotte; Hart, Alister J

    2017-11-01

    High failure rates of metal-on-metal (MoM) hip implants prompted regulatory authorities to issue worldwide safety alerts. Circulating cobalt from these implants causes rare but fatal autopsy-diagnosed cardiotoxicity. There is concern that milder cardiotoxicity may be common and underrecognized. Although blood metal ion levels are easily measured and can be used to track local toxicity, there are no noninvasive tests for organ deposition. We sought to detect correlation between blood metal ions and a comprehensive panel of established markers of early cardiotoxicity. Ninety patients were recruited into this prospective single-center blinded study. Patients were divided into 3 age and sex-matched groups according to implant type and whole-blood metal ion levels. Group-A patients had a ceramic-on-ceramic [CoC] bearing; Group B, an MoM bearing and low blood metal ion levels; and Group C, an MoM bearing and high blood metal-ion levels. All patients underwent detailed cardiovascular phenotyping using cardiac magnetic resonance imaging (CMR) with T2*, T1, and extracellular volume mapping; echocardiography; and cardiac blood biomarker sampling. T2* is a novel CMR biomarker of tissue metal loading. Blood cobalt levels differed significantly among groups A, B, and C (mean and standard deviation [SD], 0.17 ± 0.08, 2.47 ± 1.81, and 30.0 ± 29.1 ppb, respectively) and between group A and groups B and C combined. No significant between-group differences were found in the left atrial or ventricle size, ejection fraction (on CMR or echocardiography), T1 or T2* values, extracellular volume, B-type natriuretic peptide level, or troponin level, and all values were within normal ranges. There was no relationship between cobalt levels and ejection fraction (R = 0.022, 95% confidence interval [CI] = -0.185 to 0.229) or T2* values (R = 0.108, 95% CI = -0.105 to 0.312). Using the best available technologies, we did not find that high (but not extreme) blood cobalt and chromium levels

  8. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  9. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  10. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  11. Studies of hyperfine magnetic fields in transition metals by radioactive ion implantation

    International Nuclear Information System (INIS)

    Kawase, Yoichi; Uehara, Shin-ichi; Nasu, Saburo; Ni Xinbo.

    1994-01-01

    In order to investigate hyperfine magnetic fields in transition metals by a time-differential perturbed angular correlation (TDPAC) technique, radioactive probes of 140 Cs obtained by KUR-ISOL have been implanted on transition metals of Fe, Ni and Co. Lamor precessions of 140 Ce used as a probe nucleus have been observed clearly and the hyperfine fields have been determined precisely corresponding to implanted sites in host metal. The irradiation effects caused by implantation have been examined by annealing the irradiated specimen at about 723 K. Some of the Lamor precessions have disappeared by the annealing. Discussions have been made on the occupied sites after implantation and the recovery process of induced damages by annealing. (author)

  12. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  13. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  14. Carbon and metal-carbon implantations into tool steels for improved tribological performance

    Science.gov (United States)

    Hirvonen, J.-P.; Harskamp, F.; Torri, P.; Willers, H.; Fusari, A.; Gibson, N.; Haupt, J.

    1997-05-01

    The high-fluence implantation of carbon and dual implantations of metal-metalloid pairs into steels with different microstructures are briefly reviewed. A previously unexamined system, the implantation of Si and C into two kinds of tool steels, M3 and D2, have been studied in terms of microstructure and tribological performance. In both cases ion implantation transfers a surface into an amorphous layer. However, the tribological behavior of these two materials differs remarkably: in the case of ion-implanted M3 a reduction of wear in a steel pin is observed even at high pin loads, whereas in the case of ion-implanted D2 the beneficial effects of ion implantation were limited to the lowest pin load. The importance of an initial phase at the onset of sliding is emphasized and a number of peculiarities observed in ion-implanted M3 steel are discussed.

  15. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  16. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  17. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  18. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  19. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  20. Application of micro beam PIXE to detection of titanium ion release from dental and orthopaedic implants

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Otsuka, T.; Tsuboi, Y.; Yokoyama, K.; Albrektsson, T.; Sennerby, L.; Johansson, C.

    1994-01-01

    In the past two decades the utilization of dental and orthopaedic implants in reconstructive surgery has been spread widely. Most of these implants are inserted in the corrosive environment of the human body for long periods of time. The level of dissolution, release, and transport of metal ions as a result of corrosion of these materials are not fully known at present. We report the results of application of micro ion beam PIXE spectroscopy to detect release of titanium from titanium and titanium alloy implants inserted in the tibiae of rabbits for three months. It was found that titanium ions could be detected in the surrounding tissues, with high precision, as a gradient from the implant surface and in higher amounts in the bone tissue as compared with the soft tissues. It is concluded that application of micro ion beam PIXE spectroscopy for detection of metal ion release, and distribution of the released material around the implants with high special resolution and accuracy may be used to further investigate the mechanism of metal release, and the relation between surface micromorphology and corrosion resistance of the implant materials. (author)

  1. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  2. Immobilisation of krypton in a metallic matrix by combined ion implantation and sputtering

    International Nuclear Information System (INIS)

    Whitmell, D.S.

    1980-01-01

    With the increase in nuclear power, it may be necessary to contain for 100 to 200 years the radioactive 85 krypton released during fuel reprocessing. The ideal method of immobilising radioactive materials is in the form of stable, monolithic solids which are resistant to the effects of the environment or accidents, and which can retain the radioactivity under all envisaged conditions. Since krypton is a rare gas, not forming thermally stable compounds, conventional methods of storage are not possible. A process is being developed to immobilise the krypton in the form of small gas bubbles in a metal matrix by implanting the gas into a metal layer from a glow discharge and then burying the implanted layer by sputter deposition. By repeating the process, a thick layer of deposit is built up with the krypton dispersed throughout the matrix as bubbles of diameter less than 20 A. This process offers an ideal form of storage since gas in bubbles is not thermally released until the temperature of the matrix is close to the melting point, and also leakage of gas by corrosion or mechanical damage will be small. A pilot plant is being built in order to demonstrate the process on a scale comparable with that required for a reprocessing plant. The efficiency of the process is dependent upon the amount of gas which can be implanted at low energy into a thin layer and its subsequent retention. More information is required on the processes occurring when krypton ions are implanted close to the surface, in particular, the retention and re-emission of the gas, and the formation of clusters and bubbles. (author)

  3. Structure carbon materials: clusters, nanotubes, ion-implant polymers and diamonds

    International Nuclear Information System (INIS)

    Lapchuk, N.M.; Odzhaev, V.B.; Poklonskij, N.A.; Sviridov, D.V.

    2009-01-01

    The paper summarizes the series of research works dealing with the physics of nanostructured carbon materials, which were awarded a Sevchenko Prize in 2008. The paper considers the mechanism of synthesis of 3D carbon nanospecies and their nanomechanics, magnetic properties of ion-implanted diamonds, as well as the regularities of formation of novel forms of amorphous hydrogenated carbon and metal-carbon nanocomposites via ion bombardment of polymers, as well as electronic, magnetic, and structural properties of ion-implanted polymers an their possible applications in micro- and nanoelectronics. (authors)

  4. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  5. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Zhao, J.; Chu, Paul K.

    2007-01-01

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has three layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO 2 with some Mg(OH) 2 . The middle layer that is 50 nm thick comprises predominantly TiO 2 and MgO with minor contributions from MgAl 2 O 4 and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti 3 Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37±1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased β-Mg 12 Al 17 phase

  6. Cutaneous and systemic hypersensitivity reactions to metallic implants.

    Science.gov (United States)

    Basko-Plluska, Juliana L; Thyssen, Jacob P; Schalock, Peter C

    2011-01-01

    Cutaneous reactions to metal implants, orthopedic or otherwise, are well documented in the literature. The first case of a dermatitis reaction over a stainless steel fracture plate was described in 1966. Most skin reactions are eczematous and allergic in nature, although urticarial, bullous, and vasculitic eruptions may occur. Also, more complex immune reactions may develop around the implants, resulting in pain, inflammation, and loosening. Nickel, cobalt, and chromium are the three most common metals that elicit both cutaneous and extracutaneous allergic reactions from chronic internal exposure. However, other metal ions as well as bone cement components can cause such hypersensitivity reactions. To complicate things, patients may also develop delayed-type hypersensitivity reactions to metals (ie, in-stent restenosis, prosthesis loosening, inflammation, pain, or allergic contact dermatitis) following the insertion of intravascular stents, dental implants, cardiac pacemakers, or implanted gynecologic devices. Despite repeated attempts by researchers and clinicians to further understand this difficult area of medicine, the association between metal sensitivity and cutaneous allergic reactions remains to be fully understood. This review provides an update of the current knowledge in this field and should be valuable to health care providers who manage patients with conditions related to this field.

  7. Production of Endohedral Fullerenes by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Diener, M.D.; Alford, J. M.; Mirzadeh, S.

    2007-05-31

    The empty interior cavity of fullerenes has long been touted for containment of radionuclides during in vivo transport, during radioimmunotherapy (RIT) and radioimaging for example. As the chemistry required to open a hole in fullerene is complex and exceedingly unlikely to occur in vivo, and conformational stability of the fullerene cage is absolute, atoms trapped within fullerenes can only be released during extremely energetic events. Encapsulating radionuclides in fullerenes could therefore potentially eliminate undesired toxicity resulting from leakage and catabolism of radionuclides administered with other techniques. At the start of this project however, methods for production of transition metal and p-electron metal endohedral fullerenes were completely unknown, and only one method for production of endohedral radiofullerenes was known. They therefore investigated three different methods for the production of therapeutically useful endohedral metallofullerenes: (1) implantation of ions using the high intensity ion beam at the Oak Ridge National Laboratory (ORNL) Surface Modification and Characterization Research Center (SMAC) and fullerenes as the target; (2) implantation of ions using the recoil energy following alpha decay; and (3) implantation of ions using the recoil energy following neutron capture, using ORNL's High Flux Isotope Reactor (HFIR) as a thermal neutron source. While they were unable to obtain evidence of successful implantation using the ion beam at SMAC, recoil following alpha decay and neutron capture were both found to be economically viable methods for the production of therapeutically useful radiofullerenes. In this report, the procedures for preparing fullerenes containing the isotopes {sup 212}Pb, {sup 212}Bi, {sup 213}Bi, and {sup 177}Lu are described. None of these endohedral fullerenes had ever previously been prepared, and all of these radioisotopes are actively under investigation for RIT. Additionally, the chemistry for

  8. Ion implantation - a useful tool for the preparation of new materials

    International Nuclear Information System (INIS)

    Buckel, W.

    1975-01-01

    The following experimental results on ion implantation in superconductors are discussed: 1) Implantation of paramagnetic manganese ions into the superconductors Sn, Pb, Hg lowers the transition temperature. 2) Sn implanted with Mn exhibits the Kondo effect, a minimum in the resistivity versus temperature immediately above Tsub(c). 3) Pd may become superconducting, when charged with H at ratios H/Pd > 0.8. Tsub(c) first increases with concentration and then drops again. The increase in Tsub(c) is still larger for Pd-noble metal alloys charged with H(D). (WBU) [de

  9. Implantation of D+ ions in niobium and deuterium gas reemission

    International Nuclear Information System (INIS)

    Pisarev, A.A.; Tel'kovskij, V.G.

    1975-01-01

    This is a study of the implanting and reflex gasoisolation of D ions in niobium. It has been discovered that deutrium scope and gasoisolation are defined by several processes. An assumption is made that in ion bombarding conditions the implanting solutions are possible to exist and that deutrium can be replaced on the basis of niobium and hydrid compounds NbxDy. The portion of the particles entrained in the metal in one or another way depends on the ion energy. The dependence of the scope coefficient of n D + ions from the target temperature in the range of 290-1500 K was registered. An increase of the scope coefficient of the ions at high temperature with an increase of the ion energy was discovered

  10. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  11. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  12. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  13. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  14. Making Sense of Metal Allergy and Hypersensitivity to Metallic Implants in Relation to Hand Surgery.

    Science.gov (United States)

    Christensen, Thomas J; Samant, Shefali A; Shin, Alexander Y

    2017-09-01

    All metals implanted into a biological system undergo some degree of corrosion depending upon its composition. The electrochemical process of corrosion produces free metal ions, which may activate the host's immune system through a variety of mechanisms. Whereas dermal metal hypersensitivity is common, affecting 10% to 15% of the population, the immune reaction from implanted metals is much less common (allergy and hypersensitivity producing a multitude of patient symptoms. Superficial symptoms may be mild to severe forms of dermatitis, urticaria, pruritus, and vasculitis, whereas deep sequelae include metallosis-related pseudotumor, implant loosening, and joint stiffness. Currently, there are clinical tests to evaluate patients for metal hypersensitivity, but there is little agreement regarding the ideal timing and clinical situation prompting the work-up of a patient for a metal allergy or hypersensitivity. An understanding of the epidemiology, etiology, basic science, diagnostic testing, and treatment of patients with suspected metal allergy, as it pertains to the current literature, will aid orthopedic and plastic surgeons of all subspecialties in the management of patients requiring metallic implants. Copyright © 2017 American Society for Surgery of the Hand. Published by Elsevier Inc. All rights reserved.

  15. Failure of total hip implants: metals and metal release in 52 cases

    DEFF Research Database (Denmark)

    Jakobsen, Stig Storgaard; Lidén, Carola; Søballe, Kjeld

    2014-01-01

    Background . The pathogenesis of total joint replacement failure is multifactorial. One hypothesis suggests that corrosion and wear of alloys result in metal ion release, which may then cause sensitization and even implant failure, owing to the acquired immune reactivity. Objectives . To assess c...

  16. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  17. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  18. The effect of ion implantation on the fatigue behavior of metals and alloys

    International Nuclear Information System (INIS)

    Chakrabortty, S.B.; Kujore, A.; Legg, K.O.; Starke, E.A.

    1981-01-01

    The effect of ion implantation on the strain and stress controlled fatigue behavior of polycrystalline copper has been investigated. The cyclic stress-strain response, strain-life and stress-life relationships and fatigue crack nucleation behavior have been studied. The results from the non-implanted materials have been compared with those from the implanted materials. Four implant species, one with a positive misfit, one with a negative misfit, one with a zero misfit, and one insoluble under equilibrium conditions have been used. Most of the fatigue tests were performed in laboratory air. Ion implantation changes the surface deformation behavior for both monotonic and cyclic loading with a corresponding change in hardening rate. Larger changes are observed for the cyclic loading. Implantations which lead to a more homogeneous deformation (fine slip) near the surface, improves the resistance to fatigue crack initiation. Surface compressive residual stresses, induced from implanting a positive misfit species, have a major influence on crack initiation in the stress-life regime

  19. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  20. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  1. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  2. Lattice location of platinum ions implanted into single crystal zirconia and their annealing behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Cao, D X [Royal Melbourne Inst. of Tech., VIC (Australia); Sood, D K [Academia Sinica, Shanghai, SH (China). Shanghai Inst. of Nuclear Research; Brown, I G [Lawrence Berkeley Lab., CA (United States)

    1994-12-31

    Single crystal samples of (100) oriented cubic zirconia stabilised with 9.5 mol % yttria were implanted with platinum ions, using a metal vapour vacuum arc (MEVVA) high current ion implanter, to a nominal dose of 1x10{sup 17} ions/cm{sup 2}. The implanted samples were annealed isothermally in air ambient at 1200 deg C, from 1-24 hours. Rutherford Backscattering Spectrometry and Channeling (RBSC) of 2 MeV He ions are employed to determine depth distributions of ion damage, Pt ions and substitutionality of Pt ions before and after annealing. The damage behaviour, Pt migration and lattice location are discussed in terms of metastable phase formation and solid solubility considerations. 7 refs., 3 figs.

  3. Lattice location of platinum ions implanted into single crystal zirconia and their annealing behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Cao, D.X. [Royal Melbourne Inst. of Tech., VIC (Australia); Sood, D.K. [Academia Sinica, Shanghai, SH (China). Shanghai Inst. of Nuclear Research; Brown, I.G. [Lawrence Berkeley Lab., CA (United States)

    1993-12-31

    Single crystal samples of (100) oriented cubic zirconia stabilised with 9.5 mol % yttria were implanted with platinum ions, using a metal vapour vacuum arc (MEVVA) high current ion implanter, to a nominal dose of 1x10{sup 17} ions/cm{sup 2}. The implanted samples were annealed isothermally in air ambient at 1200 deg C, from 1-24 hours. Rutherford Backscattering Spectrometry and Channeling (RBSC) of 2 MeV He ions are employed to determine depth distributions of ion damage, Pt ions and substitutionality of Pt ions before and after annealing. The damage behaviour, Pt migration and lattice location are discussed in terms of metastable phase formation and solid solubility considerations. 7 refs., 3 figs.

  4. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  5. Electrical enhancement of direct methanol fuel cells by metal-plasma ion implantation Pt-Ru/C multilayer catalysts.

    Science.gov (United States)

    Weng, Ko-Wei; Chen, Yung-Lin; Chen, Ya-Chi; Lin, Tai-Nan

    2009-02-01

    Direct methanol fuel cells (DMFC) have been widely studied owing to their simple cell configuration, high volume energy density, short start-up time, high operational reliability and other favorable characteristics. However, major limitations include high production cost, poisoning of the catalyst and methanol crossover. This study adopts a simple technique for preparing Pt-Ru/C multilayer catalysts, including magnetron sputtering (MS) and metal-plasma ion implantation (MPII). The Pt catalysts were sputtered onto the gas diffusion layer (GDL), followed by the implantation of Ru catalysts using MPII (at an accelerating voltage of 20 kV and an implantation dose of 1 x 10(16) ions/cm2). Pt-Ru is repeatedly processed to prepare Pt-Ru/C multilayer catalysts. The catalyst film structure and microstructure were analyzed by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and scanning electronic microscopy (SEM), respectively. The cell performance was tested using a potential stat/galvano-stat. The results reveal that the membrane electrode assembly (MEA) of four multilayer structures enhances the cell performance of DMFC. The measured power density is 2.2 mW/cm2 at a methanol concentration of 2 M, with an OCV of 0.493 V.

  6. Influence of the ion implantation on the nanoscale intermetallic phases formation in Ni-Ti system

    International Nuclear Information System (INIS)

    Kalashnikov, M.P.; Kurzina, I.A.; Bozhko, I.A.; Kozlov, E.V.; Fortuna, S.V.; Sivin, D.O.; Stepanov, I.B.; Sharkeev, Yu.P.

    2005-01-01

    Full text: The ion implantation at a high intensity mode is an effective method for modification of the surface properties of metals and alloys. Improvement of mechanical and tribological properties of irradiated materials using the high intensity implantation is connected with an element composition and microstructure modification of the surface and subsurface layers. One shows a great interest in intermetallic phase's synthesis by ion implantation, because of unique physical-mechanical properties of the intermetallic compounds. The influence of the irradiation conditions on the structural state and surface properties of implanted materials is not clear enough. The study of the factors influencing on the formation of the surface ion - alloyed layers of metal targets having the high tribological and mechanical properties by high intensity ion implantation is actual. The aim of the present work is a study of the microstructure, phase composition, physical and mechanical properties of the ion-alloyed Ni surfaces formed at high intensity implantation of Ti ions. The implantation Ti ions into Ni samples at high intensity mode was realized using ion source 'Raduga - 5'. The implantation Ti ions into Ni was carried out at accelerating voltage 20 kV for 2 h. The regimes were differed in the samples temperature (580 - 700 K), the distance from the ion implanted samples to the ion source (0.43-0.93 m) and the dose of irradiated ions (0.3·10 18 -2.9·10 18 ion/cm -2 ). The element composition of the implanted samples was analyzed by the electron spectroscopy. The structural-phase state of the Ni ion-modified layers was investigated by the transmission electron microscopy and X-ray diffraction methods. Additionally, the investigation of mechanical and tribological properties of the implanted Ni samples was carried out. It was established that the maximum thickness of the ion-alloyed nickel layers at high intensity mode allows forming the nanoscale intermetallic phases (Ni

  7. Non-destructive study of the ion-implantation-affected zone (the long-range effect) in titanium nitride

    International Nuclear Information System (INIS)

    Perry, A.J.; Treglio, J.R.; Schaffer, J.P.; Brunner, J.; Valvoda, V.; Rafaja, D.

    1994-01-01

    The depth to which metal ion implantation can change the structure of titanium nitride coatings is studied using two techniques - positron annihilation spectroscopy (PAS) and glancing-angle X-ray diffraction (GA-XRD) -which are normally applied to the study of bulk materials. The PAS results indicate that the depth to which vacancies are found greatly exceeds the depth at which the implanted material resides. In addition, the concentration of vacancies continues to increase with the dose of implanted ions. The GA-XRD data show that the implantation does not change the residual stress - it remains slightly tensile. Furthermore, there is an increase in the diffraction peak broadening, which is attributed to an increase in the local strain distribution resulting from the generation of a dislocation network at depths of up to several tenths of a micrometer below the implanted zone. The data support the view of a long-range effect, where metal ion implantation causes lattice defect generation within an implantation-affected zone (IAZ) to depths well beyond the implanted zone. The defective nature of the IAZ depends on the implanted dose and the acceleration voltage, as well as on the nature of the ions implanted. In the present work, there is no residual stress in the samples, so this cannot induce the IAZ. ((orig.))

  8. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  9. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  10. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  11. Metal vapor vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-06-01

    We have developed a family of metal vapor vacuum are (MEVVA) high current metal ion sources. The sources were initially developed for the production of high current beams of metal ions for heavy ion synchrotron injection for basic nuclear physics research; more recently they have also been used for metal ion implantation. A number of different embodiments of the source have been developed for these specific applications. Presently the sources operate in a pulsed mode, with pulse width of order 1 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, and since the ions produced in the vacuum arc plasma are in general multiply ionized the ion energy is up to several hundred keV. Beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Nearly all of the solid metals of the Periodic Table have been use to produce beam. A number of novel features have been incorporated into the sources, including multiple cathodes and the ability to switch between up to 18 separate cathode materials simply and quickly, and a broad beam source version as well as miniature versions. here we review the source designs and their performance. 45 refs., 7 figs

  12. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    International Nuclear Information System (INIS)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu; Iz, Sultan Gulce; Tihminlioglu, Funda; Oks, Efim; Nikolaev, Alexey; Ila, Daryush

    2009-01-01

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Ag and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.

  13. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  14. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  15. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  16. Metallic ion release from biocompatible cobalt-based alloy

    Directory of Open Access Journals (Sweden)

    Dimić Ivana D.

    2014-01-01

    Full Text Available Metallic biomaterials, which are mainly used for the damaged hard tissue replacements, are materials with high strength, excellent toughness and good wear resistance. The disadvantages of metals as implant materials are their susceptibility to corrosion, the elastic modulus mismatch between metals and human hard tissues, relatively high density and metallic ion release which can cause serious health problems. The aim of this study was to examine metallic ion release from Co-Cr-Mo alloy in artificial saliva. In that purpose, alloy samples were immersed into artificial saliva with different pH values (4.0, 5.5 and 7.5. After a certain immersion period (1, 3 and 6 weeks the concentrations of released ions were determined using Inductively Coupled Plasma - Mass Spectrophotometer (ICP-MS. The research findings were used in order to define the dependence between the concentration of released metallic ions, artificial saliva pH values and immersion time. The determined released metallic ions concentrations were compared with literature data in order to describe and better understand the phenomenon of metallic ion release from the biocompatible cobalt-based alloy. [Projekat Ministarstva nauke Republike Srbije, br. III 46010 i br. ON 174004

  17. Critical microstructure for ion-implantation gettering effects in silicon

    International Nuclear Information System (INIS)

    Geipel, H.J.; Tice, W.K.

    1977-01-01

    The nature of residual ion-implantation damage responsible for gettering deleterious impurities from active semiconductor device regions in Si has been studied. A propensity for dislocations of the type b=1/2 to gather metallic contaminant (e.g., Cu), as compared to Frank partials b=1/3 , is established. Transmission electron microscopy and pulsed leakage measurements are used to demonstrate that the density of 1/2 dislocations introduced by Xe implantation greatly influences gettering efficiency

  18. Annealing behavior and selected applications of ion-implanted alloys

    International Nuclear Information System (INIS)

    Myers, S.M.

    Thermally activated processes cause ion-implanted metals to evolve from the initial state toward thermodynamic equilibrium. The degree of equilibration is strongly dependent upon temperature and is considered for three temperature regimes which are distinguished by the varying mobilities of interstitial and substitutional atoms. In addition, perturbations resulting from the irradiation environment are discussed. Examples are given of the use of implanted and annealed alloys in studies of diffusion, phase diagrams, and solute trapping

  19. Irradiation effects in Fe-30%Ni alloy during Ar ion implantation

    International Nuclear Information System (INIS)

    Soukieh, Mohamad; Al-Mohamad, Ali

    1993-12-01

    The use of metallic thin films for studying the processes which take place during ion irradiation has recently increased. For example, ion implantation is widely used to study the structural defects in transition metallic thin films such as (Fe, Ni, Co), because it can simulate the effects occurring in nuclear reactors during neutron irradiation especially the swelling of reactor materials. The swelling of metals and alloys is strongly related to the material structure and to the irradiation conditions. The general feature of formation of structural defects as a function of irradiation dosage and annealing temperature is well known. However, the detailed mechanisms are still not well understood. For example, the swelling of iron alloy with 30-35% nickel is very small in comparison with other Ni concentrations, and there is no clear information on the possibility of phase transitions in fe-Ni alloys during irradiation. The aim of this work is to study the phase-structural changes in Fe-30% Ni implanted by high dose of argon ions. The effect of irradiation with low energy argon ions (40 KeV, and fluences of 10.E15 to 10.E17 ions/cm) on the deposited thin films of Fe-30% Ni alloy was investigated using RBS and TEM techniques. The thicknesses of these films were about 65+-10 nm deposited on ceramic, KBr, and Be fiols substrates. Gas bubble formation and profile distribution of the implanted argon ions were investigated. Formation of an ordered phase Fe 3 Ni during irradiation appears to inhibit gas bubble formations in the film structure. (author). 17 refs., 15 figs., 7 tabs

  20. Cutaneous and systemic hypersensitivity reactions to metallic implants

    DEFF Research Database (Denmark)

    Basko-Plluska, Juliana L; Thyssen, Jacob P; Schalock, Peter C

    2011-01-01

    Cutaneous reactions to metal implants, orthopedic or otherwise, are well documented in the literature. The first case of a dermatitis reaction over a stainless steel fracture plate was described in 1966. Most skin reactions are eczematous and allergic in nature, although urticarial, bullous....... However, other metal ions as well as bone cement components can cause such hypersensitivity reactions. To complicate things, patients may also develop delayed-type hypersensitivity reactions to metals (ie, in-stent restenosis, prosthesis loosening, inflammation, pain, or allergic contact dermatitis...

  1. Optical properties of multicomponent antimony-silver nanoclusters formed in silica by sequential ion implantation

    International Nuclear Information System (INIS)

    Zuhr, R.A.

    1995-11-01

    The linear and nonlinear optical properties of nanometer dimension metal colloids embedded in a dielectric depend explicitly on the electronic structure of the metal nanoclusters. The ability to control the electronic structure of the nanoclusters may make it possible to tailor the optical properties for enhanced performance. By sequential implantation of different metal ion species multi-component nanoclusters can be formed with significantly different optical properties than single element metal nanoclusters. The authors report the formation of multi-component Sb/Ag nanoclusters in silica by sequential implantation of Sb and Ag. Samples were implanted with relative ratios of Sb to Ag of 1:1 and 3:1. A second set of samples was made by single element implantations of Ag and Sb at the same energies and doses used to make the sequentially implanted samples. All samples were characterized using RBS and both linear and nonlinear optical measurements. The presence of both ions significantly modifies the optical properties of the composites compared to the single element nanocluster glass composites. In the sequentially implanted samples the optical density is lower, and the strong surface plasmon resonance absorption observed in the Ag implanted samples is not present. At the same time the nonlinear response of the these samples is larger than for the samples implanted with Sb alone, suggesting that the addition of Ag can increase the nonlinear response of the Sb particles formed. The results are consistent with the formation of multi-component Sb/Ag colloids

  2. The effect of MEVVA ion implantation on the tribological properties of PVD-TiN films

    International Nuclear Information System (INIS)

    Manory, R.; Mollica, S.

    1998-01-01

    The present work is the first study in which the effects of metal evaporation vacuum (MEVVA) implantation are studied on TiN of the PVD type which is commercially available in Australia. The MEVVA ion implanter differs from the 'conventional' type of ion implanter in the fact that it has a high throughput of metal ions which are not mass analysed and therefore has more potential for industrial non-electronic applications. TiN-coated steel samples have been implanted with two types of species - one light and one heavy - C + and W + respectively. The samples were analysed by Rutherford backscattering (RBS) and x-ray diffraction (XRD). The tribological performance was assessed by pin-on-disc and microhardness. The results show that carbon implantation was very effective in improving the friction coefficient by the formation of a carbonaceous layer on the surface. XRD also shows formation of TiC in the near surface region. W implantation does not improve the friction coefficient but improves the lifetime of the coating. Unimplanted films fail in the pin-on-disk test after 7000 cycles, whereas implanted films are still well adhered after 18000 cycles

  3. The examination of calcium ion implanted alumina with energy filtered transmission electron microscopy

    International Nuclear Information System (INIS)

    Hunt, E.M.; Hampikian, J.M.

    1997-01-01

    Ion implantation can be used to alter in the optical response of insulators through the formation of embedded nano-sized particles. Single crystal alumina has been implanted at ambient temperature with 50 keV Ca + to a fluence of 5 x 10 16 ions/cm 2 . Ion channeling, Knoop microhardness measurements, and transmission electron microscopy (TEM) indicate that the alumina surface layer was amorphized by the implant. TEM also revealed nano-sized crystals ∼7--8 nm in diameter. These nanocrystals are randomly oriented, and exhibit a face-centered cubic structure (FCC) with a lattice parameter of 0.409 nm ± 0.002 nm. The similarity between this crystallography and that of pure aluminum suggests that they are metallic aluminum nanocrystals with a slightly dilated lattice parameter, possibly due to the incorporation of a small amount of calcium. Energy-filtered transmission electron microscopy (EFTEM) provides an avenue by which to confirm the metallic nature of the aluminum involved in the nanocrystals. EFTEM has confirmed that the aluminum present in the particles is metallic in nature, that the particles are oxygen deficient in comparison with the matrix material and that the particles are deficient in calcium, and therefore not likely to be calcia. The particles thus appear to be FCC Al (possibly alloyed with a few percent Ca) with a lattice parameter of 0.409nm. A similar result was obtained for yttrium ion implantation into alumina

  4. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  5. Ion beam system for implanting industrial products of various shapes

    International Nuclear Information System (INIS)

    Denholm, A.S.; Wittkower, A.

    1985-01-01

    Implantation of metals and ceramics with ions of nitrogen and other species has improved surface properties such as friction, wear and corrosion in numerous industrial applications. Zymet has built a production machine to take advantage of this process which can implant a 2 x 10 17 ions/cm 2 dose of nitrogen ions into a 20 cm x 20 cm area in about 30 min using a 100 keV beam. Treatment is accomplished by mounting the product on a cooled, tiltable, turntable which rotates continuously, or is indexed in 15 0 steps to expose different surfaces in fixed position. Product cooling is accomplished by using a chilled eutectic metal to mount and grip the variously shaped objects. A high voltage supply capable of 10 mA at 100 kV is used, and the equipment is microcomputer controlled via serial light links. All important machine parameters are presented in sequenced displays on a CRT. Uniformity of treatment and accumulated dose are monitored by a Faraday cup system which provides the microprocessor with data for display of time to completion on the process screen. For routine implants the operator requires only two buttons; one for chamber vacuum control, and the other for process start and stop. (orig.)

  6. Surface modification of the hard metal tungsten carbide-cobalt by boron ion implantation; Oberflaechenmodifikation des Hartmetalls Wolframkarbid-Kobalt durch Bor-Ionenimplantation

    Energy Technology Data Exchange (ETDEWEB)

    Mrotchek, I.

    2007-09-07

    In the present thesis ion beam implantation of boron is studied as method for the increasement of the hardness and for the improvement of the operational characteristics of cutting tools on the tungsten carbide-cobalt base. For the boron implantation with 40 keV energy and {approx}5.10{sup 17} ions/cm{sup 2} fluence following topics were shown: The incoerporation of boron leads to a deformation and remaining strain of the WC lattice, which possesses different stregth in the different directions of the elementary cell. The maximum of the deformation is reached at an implantation temperature of 450 C. The segregation of the new phases CoWB and Co{sub 3}W was detected at 900 C implantation temperature. At lower temperatures now new phases were found. The tribological characteristics of WC-Co are improved. Hereby the maxiaml effect was measured for implantation temperatures from 450 C to 700 C: Improvement of the microhardness by the factor 2..2.5, improvement of the wear resistance by the factor 4. The tribological effects extend to larger depths than the penetration depth of the boron implantation profile. The detected property improvements of the hard metal H3 show the possibility of a practical application of boron ion implantation in industry. The effects essential for a wer decreasement are a hardening of the carbide phase by deformation of the lattice, a hardening of the cobalt binding material and the phase boundaries because of the formation of a solid solution of the implanted boron atoms in Co and by this a blocking of the dislocation movement and the rupture spreading under load.

  7. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  8. Plasma immersion ion implantation (and deposition) inside metallic tubes of different dimensions and configurations

    Science.gov (United States)

    Ueda, M.; Silva, C.; Santos, N. M.; Souza, G. B.

    2017-10-01

    There is a strong need for developing methods to coat or implant ions inside metallic tubes for many practical contemporary applications, both for industry and science. Therefore, stainless steel tubes with practical diameters of 4, 11 and 16 cm, but short lengths of 20 cm, were internally treated by nitrogen plasma immersion ion implantation (PIII). Different configurations as tube with lid in one of the ends or both sides open were tested for better PIII performance, in the case of smallest diameter tube. Among these PIII tests in tubes, using the 4 cm diameter one with a lid, it was possible to achieve tube temperatures of more than 700 °C in 15 min and maintain it during the whole treatment time (typically 2 h). Samples made of different materials were placed at the interior of the tube, as the monitors for posterior analysis, and the tube was solely pulsed by high voltage pulser producing high voltage glow discharge and hollow cathode discharge both driven by a moderate power source. In this experiment, samples of SS 304, pure Ti, Ti6Al4V and Si were used for the tests of the above methods. Results on the analysis of the surface of these nitrogen PIII treated materials, as well as on their processing methods, are presented and discussed in the paper.

  9. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    Science.gov (United States)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  10. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  11. Breast milk metal ion levels in a young and active patient with a metal-on-metal hip prosthesis.

    Science.gov (United States)

    Nelis, Raymond; de Waal Malefijt, Jan; Gosens, Taco

    2013-01-01

    Metal-on-metal resurfacing arthroplasty of the hip has been used increasingly over the last 10 years in younger active patients. The dissolution of the metal wear particles results in measurable increases in cobalt and chromium ions in the serum and urine of patients with a metal-on-metal bearing. We measured the cobalt, chromium, and molybdenum ion levels in urine; serum; and breast milk in a young and active patient with a metal-on-metal hip prosthesis after a pathologic fracture of the femoral neck. Metal-on-metal hip prosthesis leads to increasing levels of molybdenum in breast milk in the short-term follow-up. There are no increasing levels of chromium and cobalt ions in breast milk. Besides the already known elevated concentrations in serum of chromium and cobalt after implantation of a metal-on-metal hip prosthesis, we found no increasing levels of chromium and cobalt in urine. Copyright © 2013 Elsevier Inc. All rights reserved.

  12. Cluster Ion Implantation in Graphite and Diamond

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2014-01-01

    Cluster ion beam technique is a versatile tool which can be used for controllable formation of nanosize objects as well as modification and processing of surfaces and shallow layers on an atomic scale. The current paper present an overview and analysis of data obtained on a few sets of graphite...... and diamond samples implanted by keV-energy size-selected cobalt and argon clusters. One of the emphases is put on pinning of metal clusters on graphite with a possibility of following selective etching of graphene layers. The other topic of concern is related to the development of scaling law for cluster...... implantation. Implantation of cobalt and argon clusters into two different allotropic forms of carbon, namely, graphite and diamond is analysed and compared in order to approach universal theory of cluster stopping in matter....

  13. Cobalt-alloy implant debris induce HIF-1α hypoxia associated responses: a mechanism for metal-specific orthopedic implant failure.

    Directory of Open Access Journals (Sweden)

    Lauryn Samelko

    Full Text Available The historical success of orthopedic implants has been recently tempered by unexpected pathologies and early failures of some types of Cobalt-Chromium-Molybdenum alloy containing artificial hip implants. Hypoxia-associated responses to Cobalt-alloy metal debris were suspected as mediating this untoward reactivity at least in part. Hypoxia Inducible Factor-1α is a major transcription factor involved in hypoxia, and is a potent coping mechanism for cells to rapidly respond to changing metabolic demands. We measured signature hypoxia associated responses (i.e. HIF-1α, VEGF and TNF-α to Cobalt-alloy implant debris both in vitro (using a human THP-1 macrophage cell line and primary human monocytes/macrophages and in vivo. HIF-1α in peri-implant tissues of failed metal-on-metal implants were compared to similar tissues from people with metal-on-polymer hip arthroplasties, immunohistochemically. Increasing concentrations of cobalt ions significantly up-regulated HIF-1α with a maximal response at 0.3 mM. Cobalt-alloy particles (1 um-diameter, 10 particles/cell induced significantly elevated HIF-1α, VEGF, TNF-α and ROS expression in human primary macrophages whereas Titanium-alloy particles did not. Elevated expression of HIF-1α was found in peri-implant tissues and synovial fluid of people with failing Metal-on-Metal hips (n = 5 compared to failed Metal-on-Polymer articulating hip arthroplasties (n = 10. This evidence suggests that Cobalt-alloy, more than other metal implant debris (e.g. Titanium alloy, can elicit hypoxia-like responses that if unchecked can lead to unusual peri-implant pathologies, such as lymphocyte infiltration, necrosis and excessive fibrous tissue growths.

  14. Corrosion behaviour of ion implanted aluminium alloy in 0.1 M NaCl electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J.W.; Evans, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Aluminum and its alloys are widely used in industry because of their light weight, high strength and good corrosion resistance which is due to the formation of a protective oxide layer. However, under saline conditions such as those encountered in marine environments, this group of metals are vulnerable to localised degradation in the form of pitting corrosion. This type of corrosion involves the adsorption of an anion, such as chlorine, at the oxide solution interface. Ion implantation of metal ions has been shown to improve the corrosion resistance of a variety of materials. This effect occurs : when the implanted species reduces anion adsorption thereby decreasing the corrosion rate. In this paper we report on the pitting behavior of Ti implanted 2011 Al alloy in dilute sodium chloride solution. The Ti implanted surfaces exhibited an increased pitting potential and a reduced oxygen uptake. 5 refs., 3 figs.

  15. Corrosion behaviour of ion implanted aluminium alloy in 0.1 M NaCl electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J W; Evans, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Aluminum and its alloys are widely used in industry because of their light weight, high strength and good corrosion resistance which is due to the formation of a protective oxide layer. However, under saline conditions such as those encountered in marine environments, this group of metals are vulnerable to localised degradation in the form of pitting corrosion. This type of corrosion involves the adsorption of an anion, such as chlorine, at the oxide solution interface. Ion implantation of metal ions has been shown to improve the corrosion resistance of a variety of materials. This effect occurs : when the implanted species reduces anion adsorption thereby decreasing the corrosion rate. In this paper we report on the pitting behavior of Ti implanted 2011 Al alloy in dilute sodium chloride solution. The Ti implanted surfaces exhibited an increased pitting potential and a reduced oxygen uptake. 5 refs., 3 figs.

  16. Modifications of the hydriding kinetics of a metallic surface, using ion implantation

    International Nuclear Information System (INIS)

    Crusset, D.

    1992-10-01

    Uranium reacts with hydrogen to form an hydride: this reaction leads to the total destruction of the material. To modify the reactivity of an uranium surface towards hydrogen, ion implantation was selected, among surface treatments techniques. Four elements (carbon, nitrogen, oxygen, sulfur) were implanted to different doses. The results show a modification of the hydriding mechanism and a significant increase in the reaction induction times, notably at high implantation doses. Several techniques (SIMS, X-rays phases analysis and residual stresses determination) were used to characterize the samples and understand the different mechanisms involved

  17. High charge state metal ion production in vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1994-01-01

    The vacuum arc is a rich source of highly ionized metal plasma that can be used to make a high current metal ion source. Vacuum arc ion sources have been developed for a range of applications including ion implantation for materials surface modification, particle accelerator injection for fundamental nuclear physics research, and other fundamental and applied purposes. Typically the source is repetitively pulsed with pulse length of order a millisecond and duty cycle or order 1% and operation of a dc embodiment has been demonstrated also. Beams have been produced from over 50 of the solid metals of the periodic table, with mean ion energy up to several hundred keV and with peak (pulsed) beam current up to several amperes. The ion charge state distribution has been extensively studied. Ion spectra have been measured for a wide range of metallic cathode materials, including Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Sb, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Tm, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th and U, as well as compound and alloy cathode materials such as TiC, SiC, UC, PbS, brass, and stainless steel. The ions generated are in general multiply-stripped with a mean charge state of from 1 to 3, depending on the particular metal species, and the charge state distribution can have components from Q = 1+ to 6+. Here the authors review the characteristics of vacuum arc ion sources from the perspective of their high charge state metal ion production

  18. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  19. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  20. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  1. Metal ion levels and lymphocyte counts

    DEFF Research Database (Denmark)

    Penny, Jeannette Ø; Varmarken, Jens-Erik; Ovesen, Ole

    2013-01-01

    BACKGROUND AND PURPOSE: Wear particles from metal-on-metal arthroplasties are under suspicion for adverse effects both locally and systemically, and the DePuy ASR Hip Resurfacing System (RHA) has above-average failure rates. We compared lymphocyte counts in RHA and total hip arthroplasty (THA) an....../ppb. INTERPRETATION: Circulating T-lymphocyte levels may decline after surgery, regardless of implant type. Metal ions-particularly cobalt-may have a general depressive effect on T- and B-lymphocyte levels. Registered with ClinicalTrials.gov under # NCT01113762.......BACKGROUND AND PURPOSE: Wear particles from metal-on-metal arthroplasties are under suspicion for adverse effects both locally and systemically, and the DePuy ASR Hip Resurfacing System (RHA) has above-average failure rates. We compared lymphocyte counts in RHA and total hip arthroplasty (THA....... RESULTS: The T-lymphocyte counts for both implant types declined over the 2-year period. This decline was statistically significant for CD3(+)CD8(+) in the THA group, with a regression coefficient of -0.04 × 10(9)cells/year (95% CI: -0.08 to -0.01). Regression analysis indicated a depressive effect...

  2. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  3. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  4. The influence of ion implantation on the oxidation of nickel

    International Nuclear Information System (INIS)

    Goode, P.D.

    1975-11-01

    The effects of ion implantation on the oxidation of polycrystalline nickel have been studied for a range of implanted species: viz. He, Li, Ne, Ca, Ti, Ni, Co, Xe, Ce and Bi. The oxides were grown in dry oxygen at 630 0 C and the 16 O(d,p) 17 O nuclear reaction technique used to determine the amount of oxygen taken up. The influence of atomic and ionic size, valency and electronegativity of the implanted impurities was studied as also were the effects of ion bombardment damage and the influence of sputtering during implantation. Atomic size and the annealing of disorder were found to have a marked influence on oxide growth rate. The dependence of oxidation on annealing was further studied by implanting polycrystalline specimens with self ions and observing the oxide growth rate as a function of annealing temperature. A peak in the curve was found at 400 0 C and a similar peak observed at a somewhat higher temperature for oxidised single crystals. It is concluded that the oxidation rate will be influenced by those factors which alter the epitaxial relationship between metal and growing oxide. Such factors include atomic size of the implanted species, surface strain induced by implantation and changes in surface topography as a result of sputtering. In addition a model based on vacancy assisted cation migration is proposed to explain enhanced oxidation observed over a limited temperature range. (author)

  5. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  6. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  7. Approaches to reducing photon dose calculation errors near metal implants

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Jessie Y.; Followill, David S.; Howell, Rebecca M.; Mirkovic, Dragan; Kry, Stephen F., E-mail: sfkry@mdanderson.org [Department of Radiation Physics, The University of Texas MD Anderson Cancer Center, 1515 Holcombe Boulevard, Houston, Texas 77030 and Graduate School of Biomedical Sciences, The University of Texas Health Science Center Houston, Houston, Texas 77030 (United States); Liu, Xinming [Department of Imaging Physics, The University of Texas MD Anderson Cancer Center, 1515 Holcombe Boulevard, Houston, Texas 77030 and Graduate School of Biomedical Sciences, The University of Texas Health Science Center Houston, Houston, Texas 77030 (United States); Stingo, Francesco C. [Department of Biostatistics, The University of Texas MD Anderson Cancer Center, 1515 Holcombe Boulevard, Houston, Texas 77030 and Graduate School of Biomedical Sciences, The University of Texas Health Science Center Houston, Houston, Texas 77030 (United States)

    2016-09-15

    Purpose: Dose calculation errors near metal implants are caused by limitations of the dose calculation algorithm in modeling tissue/metal interface effects as well as density assignment errors caused by imaging artifacts. The purpose of this study was to investigate two strategies for reducing dose calculation errors near metal implants: implementation of metal-based energy deposition kernels in the convolution/superposition (C/S) dose calculation method and use of metal artifact reduction methods for computed tomography (CT) imaging. Methods: Both error reduction strategies were investigated using a simple geometric slab phantom with a rectangular metal insert (composed of titanium or Cerrobend), as well as two anthropomorphic phantoms (one with spinal hardware and one with dental fillings), designed to mimic relevant clinical scenarios. To assess the dosimetric impact of metal kernels, the authors implemented titanium and silver kernels in a commercial collapsed cone C/S algorithm. To assess the impact of CT metal artifact reduction methods, the authors performed dose calculations using baseline imaging techniques (uncorrected 120 kVp imaging) and three commercial metal artifact reduction methods: Philips Healthcare’s O-MAR, GE Healthcare’s monochromatic gemstone spectral imaging (GSI) using dual-energy CT, and GSI with metal artifact reduction software (MARS) applied. For the simple geometric phantom, radiochromic film was used to measure dose upstream and downstream of metal inserts. For the anthropomorphic phantoms, ion chambers and radiochromic film were used to quantify the benefit of the error reduction strategies. Results: Metal kernels did not universally improve accuracy but rather resulted in better accuracy upstream of metal implants and decreased accuracy directly downstream. For the clinical cases (spinal hardware and dental fillings), metal kernels had very little impact on the dose calculation accuracy (<1.0%). Of the commercial CT artifact

  8. Approaches to reducing photon dose calculation errors near metal implants

    International Nuclear Information System (INIS)

    Huang, Jessie Y.; Followill, David S.; Howell, Rebecca M.; Mirkovic, Dragan; Kry, Stephen F.; Liu, Xinming; Stingo, Francesco C.

    2016-01-01

    Purpose: Dose calculation errors near metal implants are caused by limitations of the dose calculation algorithm in modeling tissue/metal interface effects as well as density assignment errors caused by imaging artifacts. The purpose of this study was to investigate two strategies for reducing dose calculation errors near metal implants: implementation of metal-based energy deposition kernels in the convolution/superposition (C/S) dose calculation method and use of metal artifact reduction methods for computed tomography (CT) imaging. Methods: Both error reduction strategies were investigated using a simple geometric slab phantom with a rectangular metal insert (composed of titanium or Cerrobend), as well as two anthropomorphic phantoms (one with spinal hardware and one with dental fillings), designed to mimic relevant clinical scenarios. To assess the dosimetric impact of metal kernels, the authors implemented titanium and silver kernels in a commercial collapsed cone C/S algorithm. To assess the impact of CT metal artifact reduction methods, the authors performed dose calculations using baseline imaging techniques (uncorrected 120 kVp imaging) and three commercial metal artifact reduction methods: Philips Healthcare’s O-MAR, GE Healthcare’s monochromatic gemstone spectral imaging (GSI) using dual-energy CT, and GSI with metal artifact reduction software (MARS) applied. For the simple geometric phantom, radiochromic film was used to measure dose upstream and downstream of metal inserts. For the anthropomorphic phantoms, ion chambers and radiochromic film were used to quantify the benefit of the error reduction strategies. Results: Metal kernels did not universally improve accuracy but rather resulted in better accuracy upstream of metal implants and decreased accuracy directly downstream. For the clinical cases (spinal hardware and dental fillings), metal kernels had very little impact on the dose calculation accuracy (<1.0%). Of the commercial CT artifact

  9. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  10. Effect of Mo Ion Implantation on Stability of Nanocrystalline Copper Surface Layers

    Directory of Open Access Journals (Sweden)

    XI Yang

    2016-08-01

    Full Text Available The surface of pure copper was modified using the surface mechanical attrition treatment (SMAT method, and molybdenum ions were implanted in the nanosurface using a metal vapor vacuum arc (MEVVA. The results of the SMAT were observed by optical microscopy (OM, X-ray diffraction (XRD and scanning electron microscopy (SEM. An obvious nanocrystalline layer and a deformation region exist on the surface. The size of the nanocrystalline layer was characterized using atomic force microscopy (AFM. The results indicate remarkable suppression on grain size, the nanocrystalline layer grows to 163nm after annealing and reduces to only 72nm due to the Mo ion implantation. In addition, the hardness of the topmost surface of the material is 3.5 times that of the SMATed copper, which is about 7 times of the value of the matrix. The above improvements most likely result from the dispersion of the Mo ions and the reactions of the crystal defects due to the SMAT and ion implantation.

  11. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  12. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  13. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    Science.gov (United States)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  14. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    International Nuclear Information System (INIS)

    Wu Guosong; Xu Ruizhen; Feng Kai; Wu Shuilin; Wu Zhengwei; Sun Guangyong; Zheng Gang; Li Guangyao; Chu, Paul K.

    2012-01-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  15. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  16. Nonlinear optical properties of Sn+ ion-implanted silica glass

    International Nuclear Information System (INIS)

    Takeda, Y.; Hioki, T.; Motohiro, T.; Noda, S.; Kurauchi, T.

    1994-01-01

    The absolute value of the third-order nonlinear optical susceptibility, vertical stroke χ (3) vertical stroke , of Sn + ion-implanted silica glass was found to be similar 10 -6 esu. This value is as large as those reported for semiconductor-doped glasses. Silica glass substrates were implanted with Sn + ions at an acceleration energy of 400 keV to a dose of 2x10 17 ions/cm 2 at room temperature. Metallic Sn microcrystallites of 4-20 nm in diameter were found to be embedded in the silica glass matrix. The average volume fraction of the Sn microcrystallites was evaluated to be 28%. vertical stroke χ (3) vertical stroke and the imaginary part of the dielectric function, Im ε, had peaks at the same wavelength of 500 nm owing to surface plasmon resonance. The peak width of vertical stroke χ (3) vertical stroke was nearly half of that of Im ε, which can be explained by an effective medium theory. ((orig.))

  17. Electrochemical and corrosion behaviour of ion and laser-beam modified metal surfaces

    International Nuclear Information System (INIS)

    Bonora, P.L.

    1989-01-01

    Ion implantation may improve the resistance of metals to wear and corrosion both: by the formation of stable or metastable chemical compounds localized in a thin external layer; or by the so-called 'radiation damage' which is mainly active in lowering the potential gradients between different grains and between grain and grain boundaries as an effect of subdivision into substructures, up to a quasi-amorphous inert surface. The changes in the corrosion fatigue behaviour of iron as well as in its catalytic properties as a consequence of ion implantation are considered. The electrochemical behaviour of laser irradiated and ion mixed metals in terms of changed corrosion rate, corrosion morphology, passivability or breakdown of passivity is also explained. 27 refs.; 12 figs.; 5 tabs

  18. Porous metal for orthopedics implants

    OpenAIRE

    Matassi, Fabrizio; Botti, Alessandra; Sirleo, Luigi; Carulli, Christian; Innocenti, Massimo

    2013-01-01

    Porous metal has been introduced to obtain biological fixation and improve longevity of orthopedic implants. The new generation of porous metal has intriguing characteristics that allows bone healing and high osteointegration of the metallic implants. This article gives an overview about biomaterials properties of the contemporary class of highly porous metals and about the clinical use in orthopaedic surgery.

  19. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  20. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  1. Experimental and numerical optical characterization of plasmonic copper nanoparticles embedded in ZnO fabricated by ion implantation and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Le, Khai Q. [Faculty of Science and Technology, Hoa Sen University, Ho Chi Minh City (Viet Nam); Department of Physics, Faculty of Science, Jazan University, P.O. Box 114, 45142 Jazan (Saudi Arabia); Nguyen, Hieu P.T. [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, NJ 07102 (United States); Ngo, Quang Minh [Institute of Material Sciences, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay, Hanoi (Viet Nam); Canimoglu, Adil [Nigde University, Faculty of Arts and Sciences, Physics Department, Nigde (Turkey); Can, Nurdogan, E-mail: cannurdogan@yahoo.com [Celal Bayar University, Faculty of Arts and Sciences, Department of Physics, Muradiye, Manisa (Turkey); Department of Physics, Faculty of Science, Jazan University, P.O. Box 114, 45142 Jazan (Saudi Arabia)

    2016-06-05

    Here we describe the successfully fabrication of metal nanoparticle crystals by implanting copper (Cu) ions into single zinc oxide (ZnO) crystals with ion energy of 400 keV at ion doses of 1 × 10{sup 16} to 1 × 10{sup 17} ions/cm{sup 2}. After implantation and post-annealing treatment, the Cu implanted ZnO produces a broad range of luminescence emissions, ranging from green to yellow. A green luminescence peak at 550 nm could be ascribed to the isolated Cu ions. The changes in luminescence emission bands between the initial implant and annealed suggest that the implants give rise to clustering Cu nanoparticles in the host matrix but that the annealing process dissociates these. Numerical modelling of the Cu nanoparticles was employed to simulate their optical properties including the extinction cross section, electron energy loss spectroscopy and cathodoluminescence. We demonstrate that the clustering of nanoparticles generates Fano resonances corresponding to the generation of multiple resonances, while the isolation of nanoparticles results in intensity amplification. - Highlights: • We present the fabrication of metal nanoparticle crystals by implanting Cu into ZnO. • The luminescence properties were studied at different annealing temperature. • Numerical modelling of the Cu nanoparticles was employed. • We demonstrate that the clustering of nanoparticles generates Fano resonances.

  2. AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with reduced leakage current and enhanced breakdown voltage using aluminum ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Shichuang [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Fu, Kai, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn; Yu, Guohao; Zhang, Zhili; Song, Liang; Deng, Xuguang; Li, Shuiming; Sun, Qian; Cai, Yong; Zhang, Baoshun [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Qi, Zhiqiang; Dai, Jiangnan; Chen, Changqing, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-01-04

    This letter has studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors on silicon substrate with GaN buffer treated by aluminum ion implantation for insulating followed by a channel regrown by metal–organic chemical vapor deposition. For samples with Al ion implantation of multiple energies of 140 keV (dose: 1.4 × 10{sup 14} cm{sup −2}) and 90 keV (dose: 1 × 10{sup 14} cm{sup −2}), the OFF-state leakage current is decreased by more than 3 orders and the breakdown voltage is enhanced by nearly 6 times compared to the samples without Al ion implantation. Besides, little degradation of electrical properties of the 2D electron gas channel is observed where the maximum drain current I{sub DSmax} at a gate voltage of 3 V was 701 mA/mm and the maximum transconductance g{sub mmax} was 83 mS/mm.

  3. A heavy ion pre-injector for the ICT-ion implanter

    International Nuclear Information System (INIS)

    Bhattacharya, P.K.; Gaonkar, S.; Wagh, A.G.; Hattangadi, V.A.; Sarma, N.

    1976-01-01

    A cheap and versatile hollow cathode electron bombardment ion source system including its ion extraction-cum-focussing assembly for obtaining intense heavy ion beams of solids and gases is described. The extractor region is designed to include more than 15deg total beam angle of extracted beam for producing focused ion current densities upto 60mA/cm 2 to serve as a pre-injector for the ICT(insulated core transformer) type ion implanter. The extraction-cum-focussing lens is a low aberration strong Einzel lens system of all araldite and metal construction with optical elements of proper quality and location to suit low voltage injection and subsequent ion analysis. The injection can be selected anywhere between 2 to 10 keV for singly charged ions with typical extraction currents of 500/μ, using a ring anode and a source aperture of 20 mil. Einzel lens focussing assembly allows continuous adjustment of the beam convergence to about 5deg and the beam size to approximately 5mm in diameter with about 10 KV central electrode potential. Test results of source characteristics for both the accelerating and decelerating model of beam formation have been made. (author)

  4. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  5. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  6. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    Science.gov (United States)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  7. The formation of silver metal nanoparticles by ion implantation in silicate glasses

    Czech Academy of Sciences Publication Activity Database

    Vytykačová, S.; Švecová, B.; Nekvindová, P.; Špirková, J.; Macková, Anna; Mikšová, Romana; Bottger, R.

    2016-01-01

    Roč. 371, MAR (2016), s. 245-255 ISSN 0168-583X. [22nd International conference on Ion Beam Analysis (IBA). Opatija, 14.06.2015-19.06.2015] R&D Projects: GA MŠk(CZ) LM2011019; GA ČR GA15-01602S Institutional support: RVO:61389005 Keywords : silicate glasses * silver nanoparticles * ion implantation Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.109, year: 2016

  8. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  9. Modification of metallic surfaces by positive ion bombardment

    International Nuclear Information System (INIS)

    Rickards C, J.

    1989-01-01

    Reported are the fundamentals and recent advances in the use of ion implantation techniques and gaseous emissions to modify metal surfaces. The physical phenomena involved, the necessary equipment and some applications which have been successful on an industrial scale are described. (Author). 13 refs, 1 fig

  10. Au{sup 3+} ion implantation on FTO coated glasses: Effect on structural, electrical, optical and phonon properties

    Energy Technology Data Exchange (ETDEWEB)

    Sahu, Bindu; Dey, Ranajit; Bajpai, P.K., E-mail: bajpai.pk1@gmail.com

    2017-06-01

    Highlights: • Effects of 11.00 MeV Au{sup 3+} ions implanted in FTO coated (thickness ≈300 nm) silicate glasses at varying fluence. • Metal clustering near the surface and subsurface region below glass-FTO interface changes electrical and optical properties significantly. • Ion implantation does not affect the crystalline structure of the coated films; however, the tetragonal distortion increases with increasing ion fluence. • Significant surface reconstruction takes place with ion beam fluence; The average roughness also decreases with increasing fluence. • The sheet resistivity increases with increasing fluence. • Raman analysis also corroborates the re-crystallization process inducing due to ion implantation. • Optical properties of the implanted surfaces changes significantly. - Abstract: Effects of 11.00 MeV Au{sup 3+} ions implanted in FTO coated (thickness ≈300 nm) silicate glasses on structural, electrical optical and phonon behavior have been explored. It has been observed that metal clustering near the surface and sub-surface region below glass-FTO interface changes electrical and optical properties significantly. Ion implantation does not affect the crystalline structure of the coated films; however, the unit cell volume decreases with increase in fluence and the tetragonal distortion (c/a ratio) also decreases systematically in the implanted samples. The sheet resistivity of the films increases from 11 × 10{sup −5} ohm-cm (in pristine) to 7.5 × 10{sup −4} ohm-cm for highest ion beam fluence ≈10{sup 15} ions/cm{sup 2}. The optical absorption decreases with increasing fluence whereas, the optical transmittance as well as reflectance increases with increasing fluence. The Raman spectra are observed at ∼530 cm{sup −1} and ∼1103 cm{sup −1} in pristine sample. The broad band at 530 cm{sup −1} shifts towards higher wave number in the irradiated samples. This may be correlated with increased disorder and strain relaxation in

  11. Residual stress in ion implanted titanium nitride studied by parallel beam glancing incidence x-ray diffraction

    International Nuclear Information System (INIS)

    Geist, D.E.; Perry, A.J.; Treglio, J.R.; Valvoda, V.; Rafaja, D.

    1995-01-01

    Ion implantation is known to increase the lifetime of cutting tools. Current theories are the increase in lifetime is caused by an increase in the residual stress, or by work hardening of the surface associated with the implantation. In this work the effect of ion implantation on the residual stress in titanium nitride coatings made by the standard industrial methods of chemical and physical vapor deposition (CVD and PVD) is studied. It is found in the as-received condition (unimplanted), the residual stress levels are near zero for CVD materials and highly compressive, of the order of 6 GPa, for PVD materials. Ion implantation has no effect on the residual stress in the coatings made by CVD. Nitrogen does increase the compressive residual stress by some 10% in the near surface regions of PVD coatings, while nickel-titanium dual metal ion implantation does not have any effect. It appears that the lifetime increase is not associated with residual stress effects

  12. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  13. Optical transmission of silica glass during swift-heavy-ion implantation

    International Nuclear Information System (INIS)

    Plaksin, Oleg; Okubo, Nariaki; Takeda, Yoshihiko; Amekura, Hiroshi; Kono, Kenichiro; Kishimoto, Naoki

    2004-01-01

    Metal nanoparticles fabricated by heavy-ion implantation of insulators are promising for non-linear optical applications. Spectra of optical transmission of silica glass in the visible region were measured during and after implantation of 3 MeV Cu 2+ ions. Three absorption bands contribute to the spectra: transient absorption (TA) at 2.34 eV, a surface plasmon resonance (SPR) peak at 2.21 eV and a tail of residual absorption (RA), which increases when the photon energy is increased from 2.2 to 2.6 eV. The TA and a change of the SPR peak strongly contribute to the total transient absorption obtained as the difference in absorption during and after irradiation. The effect of RA shows up as a decrease of absorption after switching on the ion beam. The TA provides a means for selective electronic excitation by a laser during implantation of silica glass. The precipitation of Cu atoms and the growth of Cu nanoparticles are well distinguishable stages of nanoparticle formation. The SPR peak appears at a fluence of 3.3 x 10 16 ions/cm 2 , corresponding to the onset of precipitation. At fluences higher than 3.4 x 10 16 ions/cm 2 , when the growth of nanoparticles predominates, the fluence dependence of the SPR peak is linear

  14. Titanium and aluminium ions implanted by plasma on polyethylene

    International Nuclear Information System (INIS)

    Cruz, G.J.; Olayo, M.G.; Lopez, R.; Granda, E.; Munoz, A.; Valencia, R.; Morales, J.

    2007-01-01

    The ion implantation by plasma of titanium and aluminum on polyethylene thin films (PE) is presented. The results indicate that the polymers reacted firstly with the oxygen and/or nitrogen carrying gases, and later its received the metallic particles that formed thin films. The stainless steel and the titanium formed a single phase. The metallic layers grew in the interval of 1 to 2 nm/min, its are thin, but enough to change the hardness of the polymer that it is increased in more of 20 times. (Author)

  15. Field isolation for GaN MOSFETs on AlGaN/GaN heterostructure with boron ion implantation

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Wang, D J; Tamai, K; Li, L A; Ao, J-P; Ohno, Y; Shinkai, S; Miyashita, T; Motoyama, S-I

    2014-01-01

    We report the investigation of boron ion implantation as a device field isolation process for GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure. In the mesa isolation region of a bar-type MOSFET, a parasitic MOS-channel existed and widened the designed channel width, which would result in an overestimated mobility compared with a ring-type MOSFET. After boron ions implantation in the isolation region, the overestimation of field-effect mobility of bar-type MOSFETs was eliminated. The sub-threshold characteristics and on-state drain current of the bar-type MOSFETs coincide with the ring-type devices. Long-channel ring-type MOSFETs, with and without ion implantation, were fabricated on the recess region to evaluate the sub-threshold characteristics. The MOSFETs with boron ions implanted into the recess region showed a low drain current up to the gate bias of 10V. The result indicated that boron ion implantation prevented the formation of parasitic MOS-channel in the isolation region and achieved field isolation. The current–voltage characteristics of MOSFETs with the normal recess condition demonstrated no degradation of device performance after boron ions implanted into the isolation region. Boron ion implantation by further optimization can be a field isolation method for GaN MOSFETs. (paper)

  16. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  17. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  18. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  19. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  20. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  1. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  2. Co+ -ion implantation induced doping of nanocrystalline CdS thin films: structural, optical, and vibrational properties

    International Nuclear Information System (INIS)

    Chandramohan, S.; Sarangi, S.N.; Majumder, S.; Som, T.; Kanjilal, A.; Sathyamoorthy, R.

    2009-01-01

    Full text: Transition metal (Mn, Fe, Co and Ni) doped CdS nanostructures and nanocrystalline thin films have attracted much attention due to their anticipated applications in magneto-optical, non-volatile memory and future spintronics devices. Introduction of impurities in substitutional positions is highly desirable for such applications. Ion implantation is known to provide many advantages over conventional methods for efficient doping and possibility of its seamless integration with device processing steps. It is not governed by equilibrium thermodynamics and offers the advantages of high spatial selectivity and to overcome the solubility limits. In this communication, we report on modifications of structural morphological, optical, and vibrational properties of 90 keV Co + -ion implanted CdS thin films grown by thermal evaporation. Co + -ion implantation was performed in the fluence range of 0.1-3.6x10 16 ions cm -2 These fluences correspond to Co concentration in the range of 0.34-10.8 at % at the peak position of profile. Implantation was done at an elevated temperature of 573 K in order to avoid amorphization and to enhance the solubility of Co ions in the CdS lattice. Films were characterized by glancing angle X-ray diffraction (GAXRD), atomic force microscopy (AFM), optical absorption, and micro-Raman spectroscopy. Implantation does not lead to any secondary phase formation either in the form of impurity or the metallic clusters. However, implantation improves the crystalline quality of the samples and leads to supersaturation of Co ions in the CdS lattice. Thus, nanocrystalline CdS thin films can be considered as a good radiation- resistant material, which can be employed for prolonged use in solar cells for space applications. The optical band gap is found to decrease systematically with increasing ion fluence from 2.39 to 2.28 eV. Implantation leads to agglomeration of grains and a systematic increase in the surface roughness. Both GAXRD and micro

  3. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  4. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  5. Computational stochastic model of ions implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zmievskaya, Galina I., E-mail: zmi@gmail.ru; Bondareva, Anna L., E-mail: bal310775@yandex.ru [M.V. Keldysh Institute of Applied Mathematics RAS, 4,Miusskaya sq., 125047 Moscow (Russian Federation); Levchenko, Tatiana V., E-mail: tatlevchenko@mail.ru [VNII Geosystem Russian Federal Center, Varshavskoye roadway, 8, Moscow (Russian Federation); Maino, Giuseppe, E-mail: giuseppe.maino@enea.it [Scuola di Lettere e BeniCulturali, University di Bologna, sede di Ravenna, via Mariani 5, 48100 Ravenna (Italy)

    2015-03-10

    Implantation flux ions into crystal leads to phase transition /PT/ 1-st kind. Damaging lattice is associated with processes clustering vacancies and gaseous bubbles as well their brownian motion. System of stochastic differential equations /SDEs/ Ito for evolution stochastic dynamical variables corresponds to the superposition Wiener processes. The kinetic equations in partial derivatives /KE/, Kolmogorov-Feller and Einstein-Smolukhovskii, were formulated for nucleation into lattice of weakly soluble gases. According theory, coefficients of stochastic and kinetic equations uniquely related. Radiation stimulated phase transition are characterized by kinetic distribution functions /DFs/ of implanted clusters versus their sizes and depth of gas penetration into lattice. Macroscopic parameters of kinetics such as the porosity and stress calculated in thin layers metal/dielectric due to Xe{sup ++} irradiation are attracted as example. Predictions of porosity, important for validation accumulation stresses in surfaces, can be applied at restoring of objects the cultural heritage.

  6. Interaction of power pulses of laser radiation with glasses containing implanted metal nanoparticles

    CERN Document Server

    Stepanov, A L; Hole, D E; Bukharaev, A A

    2001-01-01

    The sodium-calcium silicate glasses, implanted by the Ag sup + ions with the energy of 60 keV and the dose of 7 x 10 sup 1 sup 6 cm sup - sup 2 by the ion current flux density of 10 mu A/cm sup 2 , are studied. The ion implantation makes it possible to synthesize in the near-the-surface glass area the composite layer, including the silver nanoparticles. The effect of the powerful pulse excimer laser on the obtained composite layer is investigated. It is established that the laser radiation leads to decrease in the silver nanoparticles size in the implanted layer. However nonuniform distribution of particles by size remains though not so wide as before the irradiation. The experimental results are explained by the effect of glass and metallic particles melting in the nanosecond period of time

  7. Additive manufacturing technologies of porous metal implants

    Directory of Open Access Journals (Sweden)

    Yang Quanzhan

    2014-06-01

    Full Text Available Biomedical metal materials with good corrosion resistance and mechanical properties are widely used in orthopedic surgery and dental implant materials, but they can easily cause stress shielding due to the significant difference in elastic modulus between the implant and human bones. The elastic modulus of porous metals is lower than that of dense metals. Therefore, it is possible to adjust the pore parameters to make the elastic modulus of porous metals match or be comparable with that of the bone tissue. At the same time, the open porous metals with pores connected to each other could provide the structural condition for bone ingrowth, which is helpful in strengthening the biological combination of bone tissue with the implants. Therefore, the preparation technologies of porous metal implants and related research have been drawing more and more attention due to the excellent features of porous metals. Selective laser melting (SLM and electron beam melting technology (EBM are important research fields of additive manufacturing. They have the advantages of directly forming arbitrarily complex shaped metal parts which are suitable for the preparation of porous metal implants with complex shape and fine structure. As new manufacturing technologies, the applications of SLM and EBM for porous metal implants have just begun. This paper aims to understand the technology status of SLM and EBM, the research progress of porous metal implants preparation by using SLM and EBM, and the biological compatibility of the materials, individual design and manufacturing requirements. The existing problems and future research directions for porous metal implants prepared by SLM and EBM methods are discussed in the last paragraph.

  8. Production of solid deuterium targets by ion implantation

    International Nuclear Information System (INIS)

    Csikai, J.; Szegedi, S.; Olah, L.; El-Megrab, A.M.; Molla, N.I.; Rahman, M.M.; Miah, R.U.; Habbani, F.; Shaddad, I.

    1997-01-01

    Solid metal, semiconductor and metallic glass samples were irradiated with deuteron atomic ions between 60 and 180 keV incident energies. Accumulation rates of deuterons in different targets were recorded by the detection of protons and neutrons via the 2 H(d,p) and 2 H(d,n) reactions. A simple analytical expression is given to describe the kinetics of the accumulation. The dependence of the reaction rate on the deuteron energy gives information on the concentration profile in addition to the neutron flux density spectra. A varying distortion of the implanted deuteron profiles by a change in the beam energy were also observed for different targets. (orig.)

  9. Study of defects, radiation damage and implanted gases in solids by field-ion and atom-probe microscopy

    International Nuclear Information System (INIS)

    Seidman, D.N.; Amano, J.; Wagner, A.

    1980-10-01

    The ability of the field-ion microscope to image individual atoms has been applied, at Cornell University, to the study of fundamental properties of point defects in irradiated or quenched metals. The capability of the atom probe field-ion microscope to determine the chemistry - that is, the mass-to-charge ratio - of a single ion has been used to investigate the behavior of different implanted species in metals. A brief review is presented of: (1) the basic physical principles of the field-ion and atom-probe microscopes; (2) the many applications of these instruments to the study of defects and radiation damage in solids; and (3) the application of the atom-probe field-ion microscope to the study of the behavior of implanted 3 He and 4 He atoms in tungsten. The paper is heavily referenced so that the reader can pursue his specific research interests in detail

  10. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  11. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  12. Metals for bone implants. Part 1. Powder metallurgy and implant rendering.

    Science.gov (United States)

    Andani, Mohsen Taheri; Shayesteh Moghaddam, Narges; Haberland, Christoph; Dean, David; Miller, Michael J; Elahinia, Mohammad

    2014-10-01

    New metal alloys and metal fabrication strategies are likely to benefit future skeletal implant strategies. These metals and fabrication strategies were looked at from the point of view of standard-of-care implants for the mandible. These implants are used as part of the treatment for segmental resection due to oropharyngeal cancer, injury or correction of deformity due to pathology or congenital defect. The focus of this two-part review is the issues associated with the failure of existing mandibular implants that are due to mismatched material properties. Potential directions for future research are also studied. To mitigate these issues, the use of low-stiffness metallic alloys has been highlighted. To this end, the development, processing and biocompatibility of superelastic NiTi as well as resorbable magnesium-based alloys are discussed. Additionally, engineered porosity is reviewed as it can be an effective way of matching the stiffness of an implant with the surrounding tissue. These porosities and the overall geometry of the implant can be optimized for strain transduction and with a tailored stiffness profile. Rendering patient-specific, site-specific, morphology-specific and function-specific implants can now be achieved using these and other metals with bone-like material properties by additive manufacturing. The biocompatibility of implants prepared from superelastic and resorbable alloys is also reviewed. Copyright © 2014 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  13. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  14. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  15. Nanocavity formation processes in MgO(1 0 0) by light ion (D, He, Li) and heavy ion (Kr, Cu, Au) implantation

    International Nuclear Information System (INIS)

    Veen, A. van; Huis, M.A. van; Fedorov, A.V.; Schut, H.; Labohm, F.; Kooi, B.J.; Hosson, J.Th.M. de

    2002-01-01

    In studies on the controlled growth of metallic precipitates in MgO it is attempted to use nanometer size cavities as precursors for formation of metallic precipitates. In MgO nanocavities can easily be generated by light gas ion bombardment at room temperature with typically 30 keV ion energy to a dose of 10 16 cm -2 , followed by annealing to 1300 K. It has been shown earlier by transmission electron microscopy (TEM) that the cavities (thickness 2-3 nm and length/width 5-10 nm) have a perfectly rectangular shape bounded by {1 0 0} faces. The majority of the gas has been released at this temperature and the cavities are stable until annealing at 1500 K. The depth location of the cavities and the implanted ions is monitored by positron beam analysis, neutron depth profiling, RBS/channeling and energy dispersive spectroscopy. The presence of metallic nanoprecipitates is detected by optical absorption measurements and by high-resolution XTEM. Surprisingly, all the metallic implants induce, in addition to metallic precipitates in a band at the mean ion range, small rectangular and cubic nanocavities. These are most clearly observed at a depth shallower than the precipitate band. In the case of gold the cavities are produced in close proximity to the crystal surface. The results indicate that in MgO vacancy clustering dominates over Frenkel-pair recombination. Results of molecular dynamics calculations will be used to discuss the observed defect recovery and clustering processes in MgO

  16. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  17. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  18. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  19. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    Science.gov (United States)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  20. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  1. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  2. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  3. Urinary catheter with polyurethane coating modified by ion implantation

    International Nuclear Information System (INIS)

    Kondyurina, I.; Nechitailo, G.S.; Svistkov, A.L.; Kondyurin, A.; Bilek, M.

    2015-01-01

    A low friction urinary catheter that could be used without a lubricant is proposed in this work. A polyurethane coating was synthesised on the surface of a metal guide wire catheter. Ion implantation was applied to surface modify the polyurethane coating. FTIR ATR, wetting angle, AFM and friction tests were used for analysis. Low friction was found to be provided by the formation of a hard carbonised layer on the polyurethane surface

  4. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  5. Effects of ion implantation on the microstructure and residual stress of filter arc CrN films

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Han Sheng; Hsu, C.-S.; Chen, Y.-L.; Wang, D.-Y.

    2008-01-01

    Chromium nitride coatings were deposited using a hybrid physical vapor deposition (PVD) system containing a filter arc deposition (FAD) and a metal plasma ion implantation source (MPII). Exactly how surface residual stress affects film characteristics is investigated using glancing incident X-ray diffraction (GIXRD) and pole figure analyses. Compared with unimplanted CrN, implanted carbon typically increases compressive residual stress and hardness. Wear resistance was also improved by implanted carbon

  6. Determination of metallic traces in kidneys, livers, lungs and spleens of rats with metallic implants after a long implantation time.

    Science.gov (United States)

    Rubio, Juan Carlos; Garcia-Alonso, Maria Cristina; Alonso, Concepcion; Alobera, Miguel Angel; Clemente, Celia; Munuera, Luis; Escudero, Maria Lorenza

    2008-01-01

    Metallic transfer from implants does not stop at surrounding tissues, and metallic elements may be transferred by proteins to become lodged in organs far from the implant. This work presents an in vivo study of metallic implant corrosion to measure metallic element accumulation in organs located far from the implant, such as kidneys, livers, lungs and spleens. The studied metallic implant materials were CoCr alloy, Ti, and the experimental alloy MA956 coated with alpha-alumina. The implants were inserted in the hind legs of Wistar rats. Analysis for Co, Cr, Ti and Al metallic traces was performed after a long exposure time of 12 months by Inductively Coupled Plasma (ICP) with Mass Spectrometry (MS). According to the results, the highest Cr and Ti concentrations were detected in spleens. Co is mainly found in kidneys, since this element is eliminated via urine. Cr and Ti traces increased significantly in rat organs after the long implantation time. The organs of rats implanted with the alpha-alumina coated experimental MA956 did not present any variation in Al content after 12 months, which means there was no degradation of the alumina layer surface.

  7. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  8. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  9. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  10. Deuterium trapping in ion implanted and co-deposited beryllium oxide layers

    International Nuclear Information System (INIS)

    Markin, A.V.; Gorodetsky, A.E.; Zakharov, A.P.; Wu, C.H.

    2000-01-01

    Deuterium trapping in beryllium oxide films irradiated with 400 eV D ions has been studied by thermal desorption spectroscopy (TDS). It has been found that for thermally grown BeO films implanted in the range 300 - 900 K the total deuterium retention doesn't depend whereas TDS spectra do markedly on irradiation temperature. For R.T. implantation the deuterium is released in a wide range from 500 to 1100 K. At implantation above 600 K the main portion of retained deuterium is released in a single peak centered at about 1000 K. The similar TDS peak is measured for D/BeO co-deposited layer. In addition we correlate our implantation data on BeO with the relevant data on beryllium metal and carbon. The interrelations between deuterium retention and microstructure are discussed. (orig.)

  11. Exploring metal artifact reduction using dual-energy CT with pre-metal and post-metal implant cadaver comparison: are implant specific protocols needed?

    NARCIS (Netherlands)

    Wellenberg, Ruud H. H.; Donders, Johanna C. E.; Kloen, Peter; Beenen, Ludo F. M.; Kleipool, Roeland P.; Maas, Mario; Streekstra, Geert J.

    2017-01-01

    To quantify and optimize metal artifact reduction using virtual monochromatic dual-energy CT for different metal implants compared to non-metal reference scans. Dual-energy CT scans of a pair of human cadaver limbs were acquired before and after implanting a titanium tibia plate, a stainless-steel

  12. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  13. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  14. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C; Edwards, Paul R.; O'Donnell, Kevin Peter; Boćkowski, Michał X.; Alves, Eduardo Jorge; Roqan, Iman S.; Vantomme, André ; Lorenz, Katharina

    2014-01-01

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C

    2014-01-20

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Formation of metal-alloy nanoclusters in silica by ion implantation and annealing in selected atmosphere

    International Nuclear Information System (INIS)

    Battaglin, G.; Cattaruzza, E.; Gonella, F.; Mattei, G.; Mazzoldi, P.; Sada, C.; Zhang, X.

    2000-01-01

    The formation of binary alloy clusters in sequentially ion-implanted Au-Cu or Au-Ag silica glass has been studied as a function of the annealing atmosphere. Alloy formation has been evidenced in the as-implanted samples. The selective influence on Au precipitation of either oxygen or hydrogen annealing atmosphere governs the alloy cluster formation and the thermal stability

  17. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  18. The thermal evolution of targets under plasma focus pulsed ion implantation

    International Nuclear Information System (INIS)

    Sanchez, G.; Feugeas, J.

    1997-01-01

    Pulsed ion beam implantation with plasma focus has proved to be an effective method of metal surface treatment for tribological purposes. Nevertheless, the pulsed nature and the continuous energy spectrum of the ion beams differ from those of the standard ion implantation processes. In this paper a model of the thermal evolution of the surface layers of stainless steel, titanium and copper, during and after nitrogen and argon ion beam incidence, is presented using the finite-difference method. In the calculations, the geometry and physical characteristics of the ion beams, the single-ion-solid interaction process and the thermal properties of the materials were used. The results showed a strong thermal effect consisting in the generation of transitory heating slopes and heating speeds as high as ∼3600 K μm -1 and ∼40 K ns -1 respectively, with maximum temperatures that can reach even the material evaporation point at the surface layers. The cooling down process, through the thermal conduction mechanism at the target bulk, turns out to be fast enough to produce the complete thermal relaxation of the target in only a few microseconds after the end of the ion beam incidence. The results presented are contrasted with experiments performed in similar conditions to those used in the numerical model. (Author)

  19. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  20. Bubble formation in Zr alloys under heavy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pagano, L. Jr.; Motta, A.T. [Pennsylvania State Univ., University Park, PA (United States). Dept. of Nuclear Engineering; Birtcher, R.C. [Argonne National Lab., IL (United States). Materials Science Div.

    1995-12-01

    Kr ions were used in the HVEM/Tandem facility at ANL to irradiate several Zr alloys, including Zircaloy-2 and -4, at 300-800 C to doses up to 2{times}10{sup 16}ion.cm{sup -2}. Both in-situ irradiation of thin foils as well as irradiation of bulk samples with an ion implanter were used in this study. For the thin foil irradiations, a distribution of small bubbles in the range of 30-100 {angstrom} was found at all temperatures with the exception of the Cr-rich Valloy where 130 {angstrom} bubbles were found. Irradiation of bulk samples at 700-800 C produced large faceted bubbles up to 300 {angstrom} after irradiation to 2{times}10{sup 16}ion.cm{sup -2}. Results are examined in context of existing models for bubble formation and growth in other metals.

  1. Ion implanters contamination on wafer surface analyzed by ToF-SIMS and SPV analytical techniques

    International Nuclear Information System (INIS)

    Ricciari, R.; Bertini, M.; Ferlito, E.P.; Pizzo, G.; Anastasi, G.; Mello, D.; Franco, G.

    2007-01-01

    In ULSI processes, metallic contamination controls are very important issues. For the ion implantation process it is known that several sources of contaminations still need to be controlled: metals from sputtering of the apertures or wafer holders, Na + contaminations from filament impurities and messy maintenance procedure. ToF-SIMS is one of the most promising candidates to perform in-line surface analysis due to its high sensitivity. It is very common to use surface photo-voltage (SPV) techniques to control ion implanter equipments but this kind of analysis is an indirect measure for metallic contamination. The aim of this work is to study the possibility to use ToF-SIMS instead of SPV for in line equipment contamination monitoring. For this reason a comparison between SPV and ToF-SIMS data occurred. Good correlation between the data is shown; moreover ToF-SIMS spectra give detailed information about the other contaminations present on the wafer surface

  2. Modification of solid surface by intense pulsed light-ion and metal-ion beams

    Science.gov (United States)

    Nakagawa, Y.; Ariyoshi, T.; Hanjo, H.; Tsutsumi, S.; Fujii, Y.; Itami, M.; Okamoto, A.; Ogawa, S.; Hamada, T.; Fukumaru, F.

    1989-03-01

    Metal surfaces of Al, stainless-steel and Ti were bombarded with focused intense pulsed proton and carbon ion beams (energy ˜ 80 keV, current density ≲ 1000 A/cm 2, pulse width ˜ 300 ns). Thin titanium carbide layers were produced by carbon-ion irradiation on the titanium surface. The observed molten surface structures and recrystallized layer (20 μm depth) indicated that the surfaces reached high temperatures as a result of the irradiation. The implantation of intense pulsed metal ion beams (Al +, ˜ 20 A/cm 2) with simultaneous deposition of anode metal vapor on Ti and Fe made a mixed layer of AlTi and AlFe of about 0.5 μm depth. Ti and B multilayered films evaporated on glass substrates were irradiated by intense pulsed proton beams of relatively lower current density (10-200 A/cm 2). Ti films containing B atoms above 10 at.% were obtained. When the current density was about 200 A/cm 2 diffraction peaks of TiB 2 appeared.

  3. Nanocavity formation processes in MgO(1 0 0) by light ion (D, He, Li) and heavy ion (Kr, Cu, Au) implantation

    Energy Technology Data Exchange (ETDEWEB)

    Veen, A. van E-mail: avveen@iri.tudelft.nl; Huis, M.A. van; Fedorov, A.V.; Schut, H.; Labohm, F.; Kooi, B.J.; Hosson, J.Th.M. de

    2002-05-01

    In studies on the controlled growth of metallic precipitates in MgO it is attempted to use nanometer size cavities as precursors for formation of metallic precipitates. In MgO nanocavities can easily be generated by light gas ion bombardment at room temperature with typically 30 keV ion energy to a dose of 10{sup 16} cm{sup -2}, followed by annealing to 1300 K. It has been shown earlier by transmission electron microscopy (TEM) that the cavities (thickness 2-3 nm and length/width 5-10 nm) have a perfectly rectangular shape bounded by {l_brace}1 0 0{r_brace} faces. The majority of the gas has been released at this temperature and the cavities are stable until annealing at 1500 K. The depth location of the cavities and the implanted ions is monitored by positron beam analysis, neutron depth profiling, RBS/channeling and energy dispersive spectroscopy. The presence of metallic nanoprecipitates is detected by optical absorption measurements and by high-resolution XTEM. Surprisingly, all the metallic implants induce, in addition to metallic precipitates in a band at the mean ion range, small rectangular and cubic nanocavities. These are most clearly observed at a depth shallower than the precipitate band. In the case of gold the cavities are produced in close proximity to the crystal surface. The results indicate that in MgO vacancy clustering dominates over Frenkel-pair recombination. Results of molecular dynamics calculations will be used to discuss the observed defect recovery and clustering processes in MgO.

  4. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  5. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  6. Synthesis of aluminum nitride films by plasma immersion ion implantation-deposition using hybrid gas-metal cathodic arc gun

    International Nuclear Information System (INIS)

    Shen Liru; Fu, Ricky K.Y.; Chu, Paul K.

    2004-01-01

    Aluminum nitride (AlN) is of interest in the industry because of its excellent electronic, optical, acoustic, thermal, and mechanical properties. In this work, aluminum nitride films are deposited on silicon wafers (100) by metal plasma immersion ion implantation and deposition (PIIID) using a modified hybrid gas-metal cathodic arc plasma source and with no intentional heating to the substrate. The mixed metal and gaseous plasma is generated by feeding the gas into the arc discharge region. The deposition rate is found to mainly depend on the Al ion flux from the cathodic arc source and is only slightly affected by the N 2 flow rate. The AlN films fabricated by this method exhibit a cubic crystalline microstructure with stable and low internal stress. The surface of the AlN films is quite smooth with the surface roughness on the order of 1/2 nm as determined by atomic force microscopy, homogeneous, and continuous, and the dense granular microstructures give rise to good adhesion with the substrate. The N to Al ratio increases with the bias voltage applied to the substrates. A fairly large amount of O originating from the residual vacuum is found in the samples with low N:Al ratios, but a high bias reduces the oxygen concentration. The compositions, microstructures and crystal states of the deposited films are quite stable and remain unchanged after annealing at 800 deg. C for 1 h. Our hybrid gas-metal source cathodic arc source delivers better AlN thin films than conventional PIIID employing dual plasmas

  7. Patch testers' opinions regarding diagnostic criteria for metal hypersensitivity reactions to metallic implants.

    Science.gov (United States)

    Schalock, Peter C; Thyssen, Jacob P

    2013-01-01

    Metal hypersensitivity reactions to implanted devices remain a challenging and controversial topic. Diagnostic criteria and methods are not well delineated. Diagnostic criteria for hypersensitivity reactions after metallic device implantation are evaluated in this study by a multinational group of patch testers using Thyssen's previously published criteria. A total of 119 dermatologists at the 2012 European Contact Dermatitis Society and 2013 American Contact Dermatitis Society meetings answered a survey regarding their opinions on topics relating to metal hypersensitivity. Four major and 5 minor diagnostic criteria emerged. Approximately 80% of respondents found the following criteria useful (major criteria): chronic dermatitis beginning weeks to months after metallic implantation, eruption overlying the metal implant, positive patch test to a metal component of the implant, and complete clearing after removal of the potentially allergenic implant. Minor criteria (metals (eg, lymphocyte transformation test). In the challenging situation such as a symptomatic or failing orthopedic device, applying these 4 major criteria and the 5 supportive minor criteria may be useful for guiding decision making.

  8. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  9. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  10. Ranges of ions in metals for use in particle treatment planning

    International Nuclear Information System (INIS)

    Jaekel, Oliver

    2006-01-01

    In proton and ion radiotherapy, the range of particles is calculated from x-ray computed tomography (CT) numbers. Due to the strong absorption of x-rays in a metal and a cut-off for large Hounsfield units (HU) in the software of most CT-scanners, a range calculation in metals cannot be based on the measured HU. This is of special importance when metal implants such as gold fillings or hip prostheses are close to the treatment volume. In order to overcome this problem in treatment planning for heavy charged particles, the correct ranges of ions in the metal relative to water have to be assigned in the CT data. Measurements and calculations of carbon ion ranges in various metals are presented that can be used in treatment planning to allow for a more accurate range calculation of carbon ion beams in titanium, steel, tungsten and gold. The suggested values for the relative water-equivalent range and their uncertainties are 3.13 (±3%) for titanium, 5.59 (±3%) for stainless steel and 10.25 (±4%) for gold. (note)

  11. Particle migration and gap healing around trabecular metal implants

    DEFF Research Database (Denmark)

    Rahbek, O; Kold, S; Zippor, Berit

    2005-01-01

    Bone on-growth and peri-implant migration of polyethylene particles were studied in an experimental setting using trabecular metal and solid metal implants. Cylindrical implants of trabecular tantalum metal and solid titanium alloy implants with a glass bead blasted surface were inserted either i...

  12. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  13. Quartz modification by Zn ion implantation and swift Xe ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, Vladimir [Institute of Physics and Technology, Russian Academy of Sciences, Moscow (Russian Federation); Kulikauskas, Vaclav [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University (Russian Federation); Didyk, Alexander; Skuratov, Vladimir [Joint Institute of Nuclear Research, Dubna (Russian Federation); Steinman, Edward; Tereshchenko, Alexey; Kolesnikov, Nikolay [Institute of Solid-State Physics, Russian Academy of Sciences, Chernogolovka (Russian Federation); Trifonov, Alexey; Sakharov, Oleg [National Research University ' ' MIET' ' , Zelenograd, Moscow (Russian Federation); Ksenich, Sergey [National University of Science and Technology ' ' MISiS' ' , Moscow (Russian Federation)

    2017-07-15

    The quartz slides were implanted by {sup 64}Zn{sup +} ions with dose of 5 x 10{sup 16}/cm{sup 2} and energy of 100 keV. After implantation, the amorphous metallic Zn nanoparticles with an average radius of 3.5 nm were created. The sample surface becomes nonuniform, its roughness is increased and its values rise up to 6 nm compared to virgin state, and the roughness maximum is at a value of about 0.8 nm. The surface is made up of valleys and hillocks which have a round shape with an average diameter about 200 nm. At the center of these hillocks are pores with a depth up to 6 nm and a diameter of about 20 nm. After implantation in UV-vis diapason, the optical transmission decreases while PL peak (apparently due to oxygen deficient centers) at wavelength of 400 nm increases. Then the samples were subjected to swift Xe ion irradiation with the fluences of 1 x 10{sup 12}-7.5 x 10{sup 14}/cm{sup 2} and energy of 167 MeV. After Xe irradiation, the sample surface roughness shat down to values of 0.5 nm and the roughness maximum is at a value of about 0.1 nm. Optical transmission in UV-vis diapason increases. The PL peak at wavelength of 400 nm is decreased while a PL peak at wavelength of 660 nm is raised. This peak is presumably due to non-bridging oxygen hole centers or/and NPs with structure Si(core)/SiO{sub 2}(shell). HRTEM image of Zn-implanted quartz subsurface layer. One can see the Zn amorphous nanoparticles, which confirms the electron diffraction pattern (insert). (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Fiscal 2000 achievement report on the important regional technology research and development. Research and development of super-functional environment resistant materials by controlling trace metal ion implantation; 2000 nendo juyo chiiki gijutsu kenkyu kaihatsu seika hokokusho. Gokubiryo kinzoku ion chunyu seigyo ni yoru chokino taikankyo zairyo no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop highly reliable materials greatly improved in high temperature oxidation resistance, high temperature wear resistance, and high temperature corrosion resistance, by implanting traces of a high melting point metal into the substrate surface or into the compound coating the substrate surface or deeper into the substrate. Activities are conducted in the five fields of (1) the research and development of element technologies for ion processes, (2) research and development of high temperature oxidation resistant materials, (3) research and development of high temperature wear resistant materials, (4) research and development of high temperature corrosion resistant materials, and (5) the technology of ion implantation into complicated shapes. In field (2), in concrete terms, development is in progress of automobile supercharger rotor materials and gas turbine blades. In field (5), studies are conducted about the cathodic arc aided surface reforming for 3-dimensional shapes and ion implantation characteristics and about shunting arc aided plasma formation for solid/metal materials. (NEDO)

  15. Adherent zirconia films by reactive ion implantation

    International Nuclear Information System (INIS)

    Bunker, S.N.; Armini, A.J.

    1993-01-01

    Conventional methods of forming ceramic coatings on metal substrates, such as CVD or plasma spray, typically retain a sharp interface and may have adhesion problems. In order to produce a completely mixed interface for better adhesion, a method using reactive ion implantation was used which can grow a thick stoichiometric film of an oxide ceramic starting from inside the substrate. Zirconium oxide ceramic films have been produced by this technique using a high-energy zirconium ion beam in an oxygen gas ambient. Compositional data are shown based on Auger electron spectroscopy of the film. Tribological properties of the layer were determined from wear and friction measurements using a pin-on-disk test apparatus. The adhesion was measured both by a scratch technique as well as by thermal shock. Results show an extremely adherent ZrO 2 film with good tribological properties

  16. Gold ions bio-released from metallic gold particles reduce inflammation and apoptosis and increase the regenerative responses in focal brain injury

    DEFF Research Database (Denmark)

    Larsen, Agnete; Kolind, Kristian; Pedersen, Dan Sonne

    2008-01-01

    neural stem cell response. We conclude that bio-liberated gold ions possess pronounced anti-inflammatory and neuron-protective capacities in the brain and suggest that metallic gold has clinical potentials. Intra-cerebral application of metallic gold as a pharmaceutical source of gold ions represents......Traumatic brain injury results in loss of neurons caused as much by the resulting neuroinflammation as by the injury. Gold salts are known to be immunosuppressive, but their use are limited by nephrotoxicity. However, as we have proven that implants of pure metallic gold release gold ions which do...... not spread in the body, but are taken up by cells near the implant, we hypothesize that metallic gold could reduce local neuroinflammation in a safe way. Bio-liberation, or dissolucytosis, of gold ions from metallic gold surfaces requires the presence of disolycytes i.e. macrophages and the process...

  17. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  18. Electroconvulsive therapy after maxillofacial metallic implants.

    Science.gov (United States)

    Freeman, G Mark; Perry, Matthew T; Manatt, George S; Cristancho, Pilar

    2014-03-01

    A growing body of literature suggests that electroconvulsive therapy (ECT) can be safely utilized in patients with craniofacial metallic implants. Here we provide radiographic images and the clinical course of a 49-year-old woman with both maxillary and mandibular metallic implants who safely received ECT.

  19. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  20. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  1. Metallic artifact in MRI after removal of orthopedic implants

    International Nuclear Information System (INIS)

    Bagheri, Mohammad Hadi; Hosseini, Mehrdad Mohammad; Emami, Mohammad Jafar; Foroughi, Amin Aiboulhassani

    2012-01-01

    Objective: The aim of the present study was to evaluate the metallic artifacts in MRI of the orthopedic patients after removal of metallic implants. Subjects and methods: From March to August 2009, 40 orthopedic patients operated for removal of orthopedic metallic implants were studied by post-operative MRI from the site of removal of implants. A grading scale of 0–3 was assigned for artifact in MR images whereby 0 was considered no artifact; and I–III were considered mild, moderate, and severe metallic artifacts, respectively. These grading records were correlated with other variables including the type, size, number, and composition of metallic devices; and the site and duration of orthopedic devices stay in the body. Results: Metallic susceptibly artifacts were detected in MRI of 18 of 40 cases (45%). Screws and pins in removed hardware were the most important factors for causing artifacts in MRI. The artifacts were found more frequently in the patients who had more screws and pins in the removed implants. Gender, age, site of implantation of the device, length of the hardware, composition of the metallic implants (stainless steel versus titanium), and duration of implantation of the hardware exerted no effect in producing metallic artifacts after removal of implants. Short TE sequences of MRI (such as T1 weighted) showed fewer artifacts. Conclusion: Susceptibility of metallic artifacts is a frequent phenomenon in MRI of patients upon removal of metallic orthopedic implants.

  2. Metallic artifact in MRI after removal of orthopedic implants.

    Science.gov (United States)

    Bagheri, Mohammad Hadi; Hosseini, Mehrdad Mohammad; Emami, Mohammad Jafar; Foroughi, Amin Aiboulhassani

    2012-03-01

    The aim of the present study was to evaluate the metallic artifacts in MRI of the orthopedic patients after removal of metallic implants. From March to August 2009, 40 orthopedic patients operated for removal of orthopedic metallic implants were studied by post-operative MRI from the site of removal of implants. A grading scale of 0-3 was assigned for artifact in MR images whereby 0 was considered no artifact; and I-III were considered mild, moderate, and severe metallic artifacts, respectively. These grading records were correlated with other variables including the type, size, number, and composition of metallic devices; and the site and duration of orthopedic devices stay in the body. Metallic susceptibly artifacts were detected in MRI of 18 of 40 cases (45%). Screws and pins in removed hardware were the most important factors for causing artifacts in MRI. The artifacts were found more frequently in the patients who had more screws and pins in the removed implants. Gender, age, site of implantation of the device, length of the hardware, composition of the metallic implants (stainless steel versus titanium), and duration of implantation of the hardware exerted no effect in producing metallic artifacts after removal of implants. Short TE sequences of MRI (such as T1 weighted) showed fewer artifacts. Susceptibility of metallic artifacts is a frequent phenomenon in MRI of patients upon removal of metallic orthopedic implants. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  3. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  4. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  5. Production and characterization of thin 7Li targets fabricated by ion implantation

    International Nuclear Information System (INIS)

    Cruz, J.; Fonseca, M.; Luis, H.; Mateus, R.; Marques, H.; Jesus, A.P.; Ribeiro, J.P.; Teodoro, O.M.N.D.; Rolfs, C.

    2009-01-01

    Very high fluence implantation of 7 Li + ions was used to promote the formation of a thin and high density 7 Li target in the surface region of Al samples. The implanted volume was characterized by particle induced gamma-ray emission, Rutherford backscattering spectrometry, X-ray photoelectron spectroscopy and nuclear reaction analysis, revealing that the implanted surface is a combination of Li 2 CO 3 , metallic lithium, LiOH and C, with almost no Al present. Radiation damage effects by proton beams were studied by observing the evolution of the 7 Li(p, α) 4 He nuclear reaction yield with the accumulated charge, at different proton energies, revealing high stability of the produced Li target.

  6. SIMS as a new methodology to depth profile helium in as-implanted and annealed pure bcc metals?

    Energy Technology Data Exchange (ETDEWEB)

    Gorondy-Novak, S. [CEA, DEN, Service de Recherches de Métallurgie Physique, Université Paris-Saclay, F-91191 Gif-sur-Yvette (France); Jomard, F. [Groupe d' Etude de la Matière Condensée, CNRS, UVSQ, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Prima, F. [PSL Research University, Chimie ParisTech – CNRS, Institut de Recherche de Chimie Paris, 75005 Paris (France); Lefaix-Jeuland, H., E-mail: helene.lefaix@cea.fr [CEA, DEN, Service de Recherches de Métallurgie Physique, Université Paris-Saclay, F-91191 Gif-sur-Yvette (France)

    2017-05-01

    Reliable He profiles are highly desirable for better understanding helium behavior in materials for future nuclear applications. Recently, Secondary Ions Mass Spectrometry (SIMS) allowed the characterization of helium distribution in as-implanted metallic systems. The Cs{sup +} primary ion beam coupled with CsHe{sup +} molecular detector appeared to be a promising technique which overcomes the very high He ionization potential. In this study, {sup 4}He depth profiles in pure body centered cubic (bcc) metals (V, Fe, Ta, Nb and Mo) as-implanted and annealed, were obtained by SIMS. All as-implanted samples exhibited a projected range of around 200 nm, in agreement with SRIM theoretical calculations. After annealing treatment, SIMS measurements evidenced the evolution of helium depth profile with temperature. The latter SIMS results were compared to the helium bubble distribution obtained by Transmission Electron Microscopy (TEM). This study confirmed the great potential of this experimental procedure as a He-depth profiling technique in bcc metals. Indeed, the methodology described in this work could be extended to other materials including metallic and non-metallic compounds. Nevertheless, the quantification of helium concentration after annealing treatment by SIMS remains uncertain probably due to the non-uniform ionization efficiency in samples containing large bubbles.

  7. Nanosystems in Ceramic Oxides Created by Means of Ion Implantation

    OpenAIRE

    Van Huis, M.A.

    2003-01-01

    The material properties of nanometer-sized clusters are dependent on the cluster size. Changing the cluster dimensions induces structural phase transformations, metal-insulator transitions, non-linear optical properties and widening of the band gap of semiconductors. In this work, nanoclusters are created by ion implantation followed by thermal annealing. The ceramic oxides MgO and Al2O3 are used as embedding materials because of their stability and optical transparency. All clusters were cre...

  8. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  9. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  10. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  11. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    Science.gov (United States)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  12. The Magnetic Properties of Metal-Alloy Glass Composites Prepared by Ion Implantation

    International Nuclear Information System (INIS)

    Julian Fernandez, Cesar de; Mattei, Giovanni; Sada, Cinzia; Maurizio, Chiara; Padovani, Sara; Mazzoldi, Paolo; Sangregorio, Claudio; Gatteschi, Dante

    2003-01-01

    The structural and magnetic properties of Co-Ni, Co-Fe and Ni-Cu alloy nanoparticles formed in silica matrix by sequential ion implantation are presented. These nanoparticles show crystal structure similar to the corresponding bulk alloys. In the Co-Ni and Co-Fe, magnetization saturation and coercive field depend on the the alloy composition, crystal structure and size effects. Ferromagnetic resonance studies show that collective magnetic processes are present and these are determined by the film-like morphology of the implanted region. The temperature dependence of the magnetization of the NixCu100-x samples indicates that their Curie Temperatures are larger than the corresponding bulk ones. This feature is discussed considering the composition of the nanoparticles and the size effects

  13. Metallic ion content and damage to the DNA in oral mucosa cells patients treated dental implants.

    Science.gov (United States)

    López-Jornet, Pía; Perrez, Francisco Parra; Calvo-Guirado, José Luis; Ros-Llor, Irene; LLor-Ros, Irene; Ramírez-Fernández, Piedad

    2014-07-01

    The aim of this study was to assess the potential genotoxicity of dental implants, evaluating biomarkers of DNA damage (micronuclei and/or nuclear buds), cytokinetic defects (binucleated cells) and the presence of trace metals in gingival cells of patients with implants, comparing these with a control group. A total of 60 healthy adults (30 patients with dental implants and 30 control patients without) were included in the study. Medical and dental histories were made for each including life-style factors. Genotoxicity effects were assessed by micronucleus assays in the gingival epithelial cells of each patient; 1,000 epithelial cells were analyzed, evaluating the frequency of micronucleated cells and other nuclear anomalies. The concentration of metals (Al(27), Ag(107), Co (59), Cr (52), Cu(63), Fe(56), Sn(118), Mn(55), Mo(92), Ni(60), Pb(208), Ti(47)) were assayed by means of coupled plasma-mass spectrophotometry (ICP-MS). The frequency of micronuclei in the patient group with implants was higher than in the control group but without statistically significant differences (P > 0.05). Similar results were found for binucleated cells and nuclear buds (P > 0.05). For metals assayed by ICP-MS, significant differences were found for Ti(47) (P ≤ 0.045). Univariate analysis identified a significant association between the presence of micronuclei and age. Dental implants do not induce DNA damage in gingival cells, the slight effects observed cannot be indicated as biologically relevant.

  14. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  15. Effects of radiation damage in ion-implanted thin films of metal-oxide superconductors

    International Nuclear Information System (INIS)

    Clark, G.J.; Marwick, A.D.; Koch, R.H.; Laibowitz, R.B.

    1987-01-01

    The effects of ion implantation into thin films of the superconductor YBa 2 Cu 3 O/sub x/ have been studied. Using oxygen and arsenic ions, the superconducting transition temperature T/sub c/, the change in room-temperature electrical properties from conducting to insulating, and the crystalline to amorphous structural transition in the films were studied as a function of ion dose. The deposited energy required to change T/sub c/ was found to be 0.2 eV/atom, while 1--2 eV/atom was required to affect the room-temperature conductivity, and 4 eV/atom to render the film amorphous. This hierarchy of effects is discussed in terms of the damage mechanisms involved

  16. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  17. Exploring metal artifact reduction using dual-energy CT with pre-metal and post-metal implant cadaver comparison: are implant specific protocols needed?

    Science.gov (United States)

    Wellenberg, Ruud H H; Donders, Johanna C E; Kloen, Peter; Beenen, Ludo F M; Kleipool, Roeland P; Maas, Mario; Streekstra, Geert J

    2017-08-25

    To quantify and optimize metal artifact reduction using virtual monochromatic dual-energy CT for different metal implants compared to non-metal reference scans. Dual-energy CT scans of a pair of human cadaver limbs were acquired before and after implanting a titanium tibia plate, a stainless-steel tibia plate and a titanium intramedullary nail respectively. Virtual monochromatic images were analyzed from 70 to 190 keV. Region-of-interest (ROI), used to determine fluctuations and inaccuracies in CT numbers of soft tissues and bone, were placed in muscle, fat, cortical bone and intramedullary tibia canal. The stainless-steel implant resulted in more pronounced metal artifacts compared to both titanium implants. CT number inaccuracies in 70 keV reference images were minimized at 130, 180 and 190 keV for the titanium tibia plate, stainless-steel tibia plate and titanium intramedullary nail respectively. Noise, measured as the standard deviation of pixels within a ROI, was minimized at 130, 150 and 140 keV for the titanium tibia plate, stainless-steel tibia plate and titanium intramedullary nail respectively. Tailoring dual-energy CT protocols using implant specific virtual monochromatic images minimizes fluctuations and inaccuracies in CT numbers in bone and soft tissues compared to non-metal reference scans.

  18. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  19. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  20. NOTE: Ranges of ions in metals for use in particle treatment planning

    Science.gov (United States)

    Jäkel, Oliver

    2006-05-01

    In proton and ion radiotherapy, the range of particles is calculated from x-ray computed tomography (CT) numbers. Due to the strong absorption of x-rays in a metal and a cut-off for large Hounsfield units (HU) in the software of most CT-scanners, a range calculation in metals cannot be based on the measured HU. This is of special importance when metal implants such as gold fillings or hip prostheses are close to the treatment volume. In order to overcome this problem in treatment planning for heavy charged particles, the correct ranges of ions in the metal relative to water have to be assigned in the CT data. Measurements and calculations of carbon ion ranges in various metals are presented that can be used in treatment planning to allow for a more accurate range calculation of carbon ion beams in titanium, steel, tungsten and gold. The suggested values for the relative water-equivalent range and their uncertainties are 3.13 (±3%) for titanium, 5.59 (±3%) for stainless steel and 10.25 (±4%) for gold.

  1. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  2. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  3. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  4. Investigation of hydrogen micro-kinetics in metals with ion beam implantation and analysis

    International Nuclear Information System (INIS)

    Wang, T.S.; Peng, H.B.; Lv, H.Y.; Han, Y.C.; Grambole, D.; Herrmann, F.

    2007-01-01

    One of the most important subjects in the fusion material research is to study the hydrogen and helium concentration, diffusion and evolution in the structure material of fusion reactor, since the hydrogen and helium can be continuously produced by the large dose fast neutron irradiation on material. Various analysis Methods can be used, but the ion beam analysis method has some advantages for studying the hydrogen behaviors in nano- or micrometer resolution. In this work, the hydrogen motion and three-dimensional distribution after implantation into metal has been studied by resonance NRA, micro-ERDA and XRD etc Methods. The resolution of the H-depth-profile is in nanometer level and the lateral resolution can be reached to 2 micrometers. The evolution of hydrogen depth-profile in a titanium sample has been studied versus the change of normal stress in samples. Evident hydrogen diffusion has been observed, while a normal stress is changed in the range of 107-963 MPa. A new phase transformation during the hydrogenation is observed by the in-situ XRD analysis. The further study on the hydrogen behaviors in the structure materials of fusion reactor is in plan. (authors)

  5. Production of amorphous metal layers using ion implantation and investigation of the related modification of some surface properties

    International Nuclear Information System (INIS)

    Hoang Dac Luc; Vu Hoang Lam.

    1993-01-01

    Amorphous layers were produced by implanting B + ions into Al at 50 keV. The modification of the electrochemical corrosion resistance and the mechanical strength of implanted specimen was investigated. (author). 2 refs, 1 tab, 2 figs

  6. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  7. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  8. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  9. Achievement report for fiscal 1998. Research and development of super-functional environment-resistant materials by controlling trace metal ion implantation; 1998 nendo seika hokokusho. Gokubiryo kinzoku ion chunyu seigyo ni yoru chokino taikankyo zairyo no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The aim was to develop reliable materials high in resistance to hostile environments, greatly improved in their resistance to oxidation, abrasion, and corrosion at high temperatures. To achieve the goal, trace quantities of high melting point metals were implanted into the compound formed on the substrate surface or deep into the substrate material. This year's research and development is outlined below. Important technologies in the ion process involved materials which at high temperatures were very resistant to oxidation, abrasion, and corrosion. The high-temperature oxidation resistant material was studied for use with the automobile supercharger rotor. The study on the high-temperature abrasion resistant material involved Ti-N thin coatings: the optimization of coating conditions, slidability at room temperature, and slidability in a high-temperature atmosphere. As for the high-temperature corrosion resistant material, studies were made to use it for refuse-fueled power generation. The TiAl material was also studied: the effect of ion implantation on the improvement of its high-temperature oxidation resisting capability, analysis into oxidized alloy surface properties, ion implantation into complicatedly shaped items and the optimum material for them, and the surface treatment by ion beams for improving resistance to high-temperature corrosion. (NEDO)

  10. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Fabrication and modification of metal nanocluster composites using ion and laser beams

    International Nuclear Information System (INIS)

    Haglund, R.F. Jr.; Osborne, D.H. Jr.; Magruder, R.H. III; White, C.W.; Zuhr, R.A.; Townsend, P.D.; Hole, D.E.; Leuchtner, R.E.

    1994-12-01

    Metal nanocluster composites have attractive properties for applications in nonlinear optics. However, traditional fabrication techniques -- using melt-glass substrates -- are severely constrained by equilibrium thermodynamics and kinetics. This paper describes the fabrication of metal nanoclusters in both crystalline and glassy hosts by ion implantation and pulsed laser deposition. The size and size distribution of the metal nanoclusters can be modified by controlling substrate temperature during implantation, by subsequent thermal annealing, or by laser irradiation. The authors have characterized the optical response of the composites by absorption and third-order nonlinear-optical spectroscopies; electron and scanning-probe microscopies have been used to benchmark the physical characteristics of the composites. The outlook for controlling the structure and nonlinear optical response properties of these nanophase materials appears increasingly promising

  12. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  13. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  14. Scaling of ion implanted Si:P single electron devices

    International Nuclear Information System (INIS)

    Escott, C C; Hudson, F E; Chan, V C; Petersson, K D; Clark, R G; Dzurak, A S

    2007-01-01

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n + ) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number

  15. Scaling of ion implanted Si:P single electron devices

    Energy Technology Data Exchange (ETDEWEB)

    Escott, C C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Hudson, F E [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Chan, V C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Petersson, K D [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Clark, R G [Centre for Quantum Computer Technology, School of Physics, UNSW, Sydney, 2052 (Australia); Dzurak, A S [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia)

    2007-06-13

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n{sup +}) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number.

  16. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  17. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  18. Peripheral white blood cells profile of biodegradable metal implant in mice animal model

    Science.gov (United States)

    Paramitha, Devi; Noviana, Deni; Estuningsih, Sri; Ulum, Mokhamad Fakhrul; Nasution, Ahmad Kafrawi; Hermawan, Hendra

    2015-09-01

    Biocompatibility or safety of the medical device is considered important. It can be determined by blood profile examination. The aim of this study was to assess the biocompatibility of biodegradable metal implant through peripheral white blood cells (WBCs) profile approach. Forty eight male ddy mice were divided into four groups according to the materials implanted: iron wire (Fe), magnesium rod (Mg), stainless steel surgical wire (SS316L) and control with sham (K). Implants were inserted and attached onto the right femoral bone on latero-medial region. In this study, peripheral white blood cells and leukocyte differentiation were the parameters examined. The result showed that the WBCs value of all groups were decreased at the first day after implantation, increased at the 10th day and continued increasing at the 30th day of observation, except Mg group which has decreased. Neutrophil, as an inflammatory cells, was increased at the early weeks and decreased at the day-30 after surgery in all groups. Despite, these values during the observation were still within the normal range. As a conclus ion, biodegradable metal implants lead to an inflammatory reaction, with no adverse effect on WBC value found.

  19. Peripheral white blood cells profile of biodegradable metal implant in mice animal model

    Energy Technology Data Exchange (ETDEWEB)

    Paramitha, Devi; Noviana, Deni, E-mail: deni@ipb.ac.id; Estuningsih, Sri [Faculty of Veterinary Medicine, Bogor Agricultural University (IPB), Bogor (Indonesia); Ulum, Mokhamad Fakhrul [Faculty of Veterinary Medicine, Bogor Agricultural University (IPB), Bogor (Indonesia); Faculty of Biosciences and Medical Engineering, Universiti Teknologi Malaysia (UTM), Johor Bahru (Malaysia); Nasution, Ahmad Kafrawi [Faculty of Biosciences and Medical Engineering, Universiti Teknologi Malaysia (UTM), Johor Bahru (Malaysia); Faculty of Engineering, Muhammadiyah University of Riau (UMRI), Pekanbaru (Indonesia); Hermawan, Hendra [Department of Mining, Metallurgical and Materials Engineering & CHU de Québec Research Center, Laval University (ULaval) (Canada)

    2015-09-30

    Biocompatibility or safety of the medical device is considered important. It can be determined by blood profile examination. The aim of this study was to assess the biocompatibility of biodegradable metal implant through peripheral white blood cells (WBCs) profile approach. Forty eight male ddy mice were divided into four groups according to the materials implanted: iron wire (Fe), magnesium rod (Mg), stainless steel surgical wire (SS316L) and control with sham (K). Implants were inserted and attached onto the right femoral bone on latero-medial region. In this study, peripheral white blood cells and leukocyte differentiation were the parameters examined. The result showed that the WBCs value of all groups were decreased at the first day after implantation, increased at the 10th day and continued increasing at the 30th day of observation, except Mg group which has decreased. Neutrophil, as an inflammatory cells, was increased at the early weeks and decreased at the day-30 after surgery in all groups. Despite, these values during the observation were still within the normal range. As a conclus ion, biodegradable metal implants lead to an inflammatory reaction, with no adverse effect on WBC value found.

  20. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  1. Management of metal-on-metal hip implant patients: Who, when and how to revise?

    Science.gov (United States)

    Berber, Reshid; Skinner, John A; Hart, Alister J

    2016-05-18

    The debate on how best to manage patients with metal-on-metal (MOM) hip implants continues. With over 1 million patients affected worldwide, the impact is far reaching. The majority of the aggressive failures of MOM hip implants have been dealt with by revision hip surgery, leaving patients with a much more indolent pattern of failure of devices that have been in situ for more than 10 years. The longer-term outcome for such patients remains unknown, and much debate exists on how best to manage these patients. Regulatory guidance is available but remains open to interpretation due to the lack of current evidence and long-term studies. Metal ion thresholds for concern have been suggested at 7 ppb for hip resurfacing arthroplasty and below this level for large diameter total hip arthroplasties. Soft tissue changes including pseudotumours and muscle atrophy have been shown to progress, but this is not consistent. New advanced imaging techniques are helping to diagnose complications with metal hips and the reasons for failure, however these are not widely available. This has led to some centres to tackle difficult cases through multidisciplinary collaboration, for both surgical management decisions and also follow-up decisions. We summarise current evidence and consider who is at risk, when revision should be undertaken and how patients should be managed.

  2. Effect of double ion implantation and irradiation by Ar and He ions on nano-indentation hardness of metallic alloys

    Science.gov (United States)

    Dayal, P.; Bhattacharyya, D.; Mook, W. M.; Fu, E. G.; Wang, Y.-Q.; Carr, D. G.; Anderoglu, O.; Mara, N. A.; Misra, A.; Harrison, R. P.; Edwards, L.

    2013-07-01

    In this study, the authors have investigated the combined effect of a double layer of implantation on four different metallic alloys, ODS steel MA957, Zircaloy-4, Ti-6Al-4V titanium alloy and stainless steel 316, by ions of two different species - He and Ar - on the hardening of the surface as measured by nano-indentation. The data was collected for a large number of indentations using the Continuous Stiffness Method or "CSM" mode, applying the indents on the implanted surface. Careful analysis of the data in the present investigations show that the relative hardening due to individual implantation layers can be used to obtain an estimate of the relative hardening effect of a combination of two separate implanted layers of two different species. This combined hardness was found to lie between the square root of the sum of the squares of individual hardening effects, (ΔHA2 + ΔHB2)0.5 as the lower limit and the sum of the individual hardening effects, (ΔHA + ΔHB) as the upper limit, within errors, for all depths measured. The hardening due to irradiation by different species of ions was calculated by subtracting the average hardness vs. depth curve of the un-irradiated or "virgin" material from that of the irradiated material. The combined hardening of the irradiated samples due to Ar and He irradiation was found to be described well by an approximate upper bound given by the simple linear sum of the individual hardening (L) and a lower bound given by the square root of the sum of the squares (R) of the individual hardening effects due to Ar and He irradiation along the full depth of the indentation. The peak of the combined hardness of Ar and He irradiated material appears at the depth predicted by both the R and the L curves, in all samples. The combined hardness increase due to Ar and He irradiation lies near the upper limit (L curve) for the ODS steel MA957, somewhere in between L and R curves for Zircaloy-4, and near the R curve for the stainless steel 316

  3. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  4. Synthesis of Ag ion-implanted TiO{sub 2} thin films for antibacterial application and photocatalytic performance

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Xinggang, E-mail: hou226@mail.tjnu.edu.cn [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma, Huiyan; Liu, Feng; Deng, Jianhua; Ai, Yukai; Zhao, Xinlei; Mao, Dong; Li, Dejun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liao, Bin [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-12-15

    Highlights: • Implanted TiO{sub 2} films with excellent antibacterial and photocatalytic ability was prepared. • Bactericidal effect of released Ag ions was confirmed using VC as radical scavenger. • Excitation of TiO{sub 2} to visible region is attributed to subtitutional Ag. • Synergetic effect of Ag{sup 3+} and Ag{sup +} accounts for the enhanced ability of TiO{sub 2}. - Abstract: TiO{sub 2} thin films were deposited by spin coating method. Silver ions were implanted into the films using a Metal Vapor Vacuum Arc implanter. The antibacterial ability of implanted films was tested using Escherichia coli removal under fluorescent irradiation and in the dark. The concentration of E. coli was evaluated by plating technique. The photocatalytic efficiency of the implanted films was studied by degradation of methyl orange under fluorescent illumination. The surface free energy of the implanted TiO{sub 2} films was calculated by contact angle testing. Vitamin C was used as radical scavengers to explore the antibacterial mechanism of the films. The results supported the model that both generation of reactive oxygen species and release of silver ions played critical roles in the toxic effect of implanted films against E. coli. XPS experimental results demonstrated that a portion of the Ag(Ag{sup 3+}) ions were doped into the crystalline lattice of TiO{sub 2}. As demonstrated by density functional theory calculations, the impurity energy level of subtitutional Ag was responsible for enhanced absorption of visible light. Ag ion-implanted TiO{sub 2} films with excellent antibacterial efficiency against bacteria and decomposed ability against organic pollutants could be potent bactericidal surface in moist environment.

  5. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  6. Nanostructural evolution and behavior of H and Li in ion-implanted γ-LiAlO 2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Zhang, Jiandong; Edwards, Danny J.; Overman, Nicole R.; Zhu, Zihua; Price, Lloyd; Gigax, Jonathan; Castanon, Elizabeth; Shao, Lin; Senor, David J.

    2017-10-01

    In-situ He+ ion irradiation is performed under a helium ion microscope to study nanostructural evolution in polycrystalline gamma-LiAlO2 pellets. Various locations within a grain, across grain boundaries and at a cavity are selected. The results exhibit He bubble formation, grain-boundary cracking, nanoparticle agglomeration, increasing surface brightness with dose, and material loss from the surface. Similar brightening effects at grain boundaries are also observed under a scanning electron microscope. Li diffusion and loss from polycrystalline gamma-LiAlO2 is faster than its monocrystalline counterpart during H2+ ion implantation at elevated temperatures. There is also more significant H diffusion and release from polycrystalline pellets during thermal annealing of 300 K implanted samples. Grain boundaries and cavities could provide a faster pathway for H and Li diffusion. H release is slightly faster from the 573 K implanted monocrystalline gamma-LiAlO2 during annealing at 773 K. Metal hydrides could be formed preferentially along the grain boundaries to immobilize hydrogen.

  7. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    Science.gov (United States)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  8. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    International Nuclear Information System (INIS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R.I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-01-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn + ions at room temperature at fluencies of 1.0 × 10 15 –1.0 × 10 16 cm −2 . Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges R P differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 10 16 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV–Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV–Vis absorbance increases simultaneously with the decline of optical band gap E g . The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion

  9. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  10. The use of an ion-beam source to alter the surface morphology of biological implant materials

    Science.gov (United States)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  11. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  12. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  13. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  14. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  15. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  16. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  17. Application of ion implantation RBS to the study of electrocatalysis

    International Nuclear Information System (INIS)

    Kelly, E.J.; Vallet, C.E.; White, C.W.

    1990-01-01

    Ir-implanted titanium near-surface alloys were prepared by ion implantation, characterized (Ir concentration/depth profiles) by Rutherford backscattering (RBS), and subsequently anodically oxidized to form electrocatalytically active Ir x Ti 1-x O 2 /Ti electrodes. The electrochemical behavior of the metallic-like Ir 4 Ti 1-x O 2 /Ti electrodes in acidic chloride, sulfate, and perchlorate solutions was investigated, and the results compared with those previously obtained with similarly prepared Ru x Ti 1-x O 2 /Ti electrodes. For both electrodes, M x Ti 1-x O 2 /Ti (M equals Ir or Ru), the Tafel slope for the Cl 2 evolution reaction is 40 mV, i.e.,δE/δlog i equals 2.303 (2RT/3F). The reaction order (n) with respect to chloride ion concentration δlogi/δlog[Cl - ] + 1, where K 9 equals 54.9 dm 3 mol -1 for Ir x Ti 1-x O 2 /Ti and K 9 equals 40 dm 3 mol -1 for Ru x Ti 1-x O 2 /Ti. A modified Volmer-Heyrovsky mechanism, one in which the role of absorbed chloride ions is taken into account, is shown to be consistent with aforementioned diagnostic parameters

  18. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  19. Comparison of metal concentrations in rat tibia tissues with various metallic implants.

    Science.gov (United States)

    Okazaki, Yoshimitsu; Gotoh, Emiko; Manabe, Takeshi; Kobayashi, Kihei

    2004-12-01

    To compare metal concentrations in tibia tissues with various metallic implants, SUS316L stainless steel, Co-Cr-Mo casting alloy, and Ti-6Al-4V and V-free Ti-15Zr-4Nb-4Ta alloys were implanted into the rat tibia for up to 48 weeks. After the implant was removed from the tibia by decalcification, the tibia tissues near the implant were lyophilized. Then the concentrations of metals in the tibia tissues by microwave acid digestion were determined by inductively coupled plasma-mass spectrometry. Fe concentrations were determined by graphite-furnace atomic absorption spectrometry. The Fe concentration in the tibia tissues with the SUS316L implant was relatively high, and it rapidly increased up to 12 weeks and then decreased thereafter. On the other hand, the Co concentration in the tibia tissues with the Co-Cr-Mo implant was lower, and it increased up to 24 weeks and slightly decreased at 48 weeks. The Ni concentration in the tibia tissues with the SUS316L implant increased up to 6 weeks and then gradually decreased thereafter. The Cr concentration tended to be higher than the Co concentration. This Cr concentration linearly increased up to 12 weeks and then decreased toward 48 weeks in the tibia tissues with the SUS316L or Co-Cr-Mo implant. Minute quantities of Ti, Al and V in the tibia tissues with the Ti-6Al-4V implant were found. The Ti concentration in the tibia tissues with the Ti-15Zr-4Nb-4Ta implant was lower than that in the tibia tissues with the Ti-6Al-4V implant. The Zr, Nb and Ta concentrations were also very low. The Ti-15Zr-4Nb-4Ta alloy with its low metal release in vivo is considered advantageous for long-term implants.

  20. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  1. Suppression of threshold voltage variability in MOSFETs by adjustment of ion implantation parameters

    Science.gov (United States)

    Park, Jae Hyun; Chang, Tae-sig; Kim, Minsuk; Woo, Sola; Kim, Sangsig

    2018-01-01

    In this study, we investigate threshold voltage (VTH) variability of metal-oxide-semiconductor field-effect transistors induced by random dopant fluctuation (RDF). Our simulation work demonstrates not only the influence of the implantation parameters such as its dose, tilt angle, energy, and rotation angle on the RDF-induced VTH variability, but also the solution to reduce the effect of this variability. By adjusting the ion implantation parameters, the 3σ (VTH) is reduced from 43.8 mV to 28.9 mV. This 34% reduction is significant, considering that our technique is very cost effective and facilitates easy fabrication, increasing availability.

  2. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  3. Immobilization of krypton in a metallic matrix by combined ion implantation and sputtering

    International Nuclear Information System (INIS)

    Whitmell, D.S.

    1980-01-01

    With the increase in nuclear power, it may be necessary to contain for 100 to 200 years the radioactive 85 krypton released during fuel reprocessing. The ideal method of immobilizing radioactive materials is in the form of stable, monolithic solids which are resistant to the effects of the environment or accidents, and which can retain the radioactivity under all envisaged conditions. Since krypton is a rare gas, not forming thermally stable compounds, conventional methods of storage are not possible. A process is being developed to immobilize the krypton in the form of small gas bubbles in a metal matrix by implanting the gas into a metal layer from a glow discharge and then burying the implanted layer by sputter deposition. By repeating the process, a thick layer of deposit is built up with the krypton dispersed throughout the matrix as bubbles of diameter less than 20 A. This process offers an ideal form of storage since gas in bubbles is not thermally released until the temperature of the matrix is close to the melting point, and also leakage of gas by corrosion or mechanical damage will be small. A pilot plant is being built in order to demonstrate the process on a scale comparable with that required for a reprocessing plant. The efficiency of the process is dependent upon the amount of gas which can be implanted at low energy into a thin layer and its subsequent retention. (author)

  4. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  5. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  6. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  7. The effect of metallic implants on radiation therapy in spinal tumor patients with metallic spinal implants.

    Science.gov (United States)

    Son, Seok Hyun; Kang, Young Nam; Ryu, Mi-Ryeong

    2012-01-01

    The aim of this study was to evaluate the effect of metallic implants on the dose calculation for radiation therapy in patients with metallic implants and to find a way to reduce the error of dose calculation. We made a phantom in which titanium implants were inserted into positions similar to the implant positions in spinal posterior/posterolateral fusion. We compared the calculated dose of the treatment planning systems with the measured dose in the treatment equipment. We used 3 kinds of computed tomography (CT) (kilovoltage CT, extended-scaled kilovoltage CT, and megavoltage CT) and 3 kinds of treatment equipment (ARTISTE, TomoTherapy Hi-Art, and Cyberknife). For measurement of doses, we used an ionization chamber and Gafchromic external beam therapy film. The absolute doses that were measured using an ionization chamber at the isocenter in the titanium phantom were on average 1.9% lower than those in the reference phantom (p = 0.002). There was no statistically significant difference according to the kinds of CT images, the treatment equipment, and the size of the targets. As the distance from the surface of the titanium implants became closer, the measured doses tended to decrease (p metallic implants was less in the megavoltage CT than in the kilovoltage CT or the extended-scaled kilovoltage CT. The error caused by the titanium implants was beyond a clinically acceptable range. To reduce the error of dose calculation, we suggest that the megavoltage CT be used for planning. In addition, it is necessary to consider the distance between the titanium implants and the targets or the organs at risk to prescribe the dose for the target and the dose constraint for the organs at risk. Copyright © 2012 American Association of Medical Dosimetrists. Published by Elsevier Inc. All rights reserved.

  8. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  9. Evaluation of Ni-free Zr–Cu–Fe–Al bulk metallic glass for biomedical implant applications

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ying-Sui [Institute of Oral Biology, National Yang-Ming University, Taipei, Taiwan (China); Zhang, Wei [School of Materials Science and Engineering, Dalian University of Technology, Dalian (China); Kai, Wu [Institute of Materials Engineering, National Taiwan Ocean University, Keelung, Taiwan (China); Liaw, Peter K. [Department of Materials Science and Engineering, The University of Tennessee, Knoxville, TN (United States); Huang, Her-Hsiung, E-mail: hhhuang@ym.edu.tw [Institute of Oral Biology, National Yang-Ming University, Taipei, Taiwan (China); Department of Dentistry, National Yang-Ming University, Taipei, Taiwan (China); Department of Dentistry, Taipei City Hospital, Taipei, Taiwan (China); Department of Stomatology, Taipei Veterans General Hospital, Taipei, Taiwan (China)

    2014-02-15

    Highlights: ► A Zr{sub 62.5}Cu{sub 22.5}Fe{sub 5}Al{sub 10} bulk metallic glass (BMG) with 50 GPa elastic modulus was used. ► This Ni-free Zr-based BMG had lower metal ion release rate than the commercial Ti. ► This Ni-free Zr-based BMG had better proteins adsorption than the commercial Ti. ► This Ni-free Zr-based BMG has a high potential for biomedical implant applications. -- Abstract: This study was conducted to investigate the surface characteristics, including the chemical composition, metal ion release, protein adsorption, and cell adhesion, of a Ni-free Zr-based (Zr{sub 62.5}Cu{sub 22.5}Fe{sub 5}Al{sub 10}) bulk metallic glass (BMG) with low elastic modulus for biomedical implant applications. X-ray photoelectron spectroscopy was used to identify the surface chemical composition and the protein (albumin and fibronectin) adsorption of the specimen. The metal ions released from the specimen in simulated blood plasma and artificial saliva solutions were measured using an inductively coupled plasma-mass spectrometer. The cell adhesion, in terms of the morphology, focal adhesion complex, and skeletal arrangement, of human bone marrow mesenchymal stem cells was evaluated using scanning electron microscope observations and immunofluorescent staining. For comparison purposes, the above-mentioned tests were also carried out on the widely used biomedical metal, Ti. The results showed that the main component on the outermost surface of the amorphous Zr{sub 62.5}Cu{sub 22.5}Fe{sub 5}Al{sub 10} BMG was ZrO{sub 2} with small amounts of Cu, Al, and Fe oxides. The released metal ions from Zr{sub 62.5}Cu{sub 22.5}Fe{sub 5}Al{sub 10} BMG were well below the critical concentrations that cause negative biological effects. The Zr{sub 62.5}Cu{sub 22.5}Fe{sub 5}Al{sub 10} BMG had a greater adsorption capacity for albumin and fibronectin than that of commercial biomedical Ti. The Zr{sub 62.5}Cu{sub 22.5}Fe{sub 5}Al{sub 10} BMG surface showed an attached cell number similar

  10. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  11. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  12. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  13. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  14. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  15. CoCr wear particles generated from CoCr alloy metal-on-metal hip replacements, and cobalt ions stimulate apoptosis and expression of general toxicology-related genes in monocyte-like U937 cells

    Energy Technology Data Exchange (ETDEWEB)

    Posada, Olga M., E-mail: O.M.PosadaEstefan@leeds.ac.uk [Biomedical Engineering Department, University of Strathclyde, Wolfson Centre, Glasgow G4 0NW (United Kingdom); Gilmour, Denise [Pure and Applied Chemistry Department, University of Strathclyde, Thomas Graham Building, Glasgow G1 1XL (United Kingdom); Tate, Rothwelle J., E-mail: r.j.tate@strath.ac.uk [Strathclyde Institute for Pharmacy and Biomedical Sciences, University of Strathclyde, Glasgow G4 0RE (United Kingdom); Grant, M. Helen [Biomedical Engineering Department, University of Strathclyde, Wolfson Centre, Glasgow G4 0NW (United Kingdom)

    2014-11-15

    Cobalt-chromium (CoCr) particles in the nanometre size range and their concomitant release of Co and Cr ions into the patients' circulation are produced by wear at the articulating surfaces of metal-on-metal (MoM) implants. This process is associated with inflammation, bone loss and implant loosening and led to the withdrawal from the market of the DePuy ASR™ MoM hip replacements in 2010. Ions released from CoCr particles derived from a resurfacing implant in vitro and their subsequent cellular up-take were measured by ICP-MS. Moreover, the ability of such metal debris and Co ions to induce both apoptosis was evaluated with both FACS and immunoblotting. qRT-PCR was used to assess the effects on the expression of lymphotoxin alpha (LTA), BCL2-associated athanogene (BAG1), nitric oxide synthase 2 inducible (NOS2), FBJ murine osteosarcoma viral oncogene homolog (FOS), growth arrest and DNA-damage-inducible alpha (GADD45A). ICP-MS showed that the wear debris released significant (p < 0.05) amounts of Co and Cr ions into the culture medium, and significant (p < 0.05) cellular uptake of both ions. There was also an increase (p < 0.05) in apoptosis after a 48 h exposure to wear debris. Analysis of qRT-PCR results found significant up-regulation (p < 0.05) particularly of NOS2 and BAG1 in Co pre-treated cells which were subsequently exposed to Co ions + debris. Metal debris was more effective as an inducer of apoptosis and gene expression when cells had been pre-treated with Co ions. This suggests that if a patient receives sequential bilateral CoCr implants, the second implant may be more likely to produce adverse effects than the first one. - Highlights: • Effects of CoCr nanoparticles and Co ions on U937 cells were investigated. • Ions released from wear debris play an important role in cellular response, • Toxicity of Co ions could be related to NO metabolic processes and apoptosis. • CoCr particles were a more effective inducer of apoptosis after cell

  16. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  17. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  18. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  19. Cesium ion bombardment of metal surfaces

    International Nuclear Information System (INIS)

    Tompa, G.S.

    1986-01-01

    The steady state cesium coverage due to cesium ion bombardment of molybdenum and tungsten was studied for the incident energy range below 500 eV. When a sample is exposed to a positive ion beam, the work function decreases until steady state is reached with a total dose of less than ≅10 16 ions/cm 2 , for both tungsten and molybdenum. A steady state minimum work function surface is produced at an incident energy of ≅100 eV for molybdenum and at an incident energy of ≅45 eV for tungsten. Increasing the incident energy results in an increase in the work function corresponding to a decrease in the surface coverage of cesium. At incident energies less than that giving the minimum work function, the work function approaches that of cesium metal. At a given bombarding energy the cesium coverage of tungsten is uniformly less than that of molybdenum. Effects of hydrogen gas coadsorption were also examined. Hydrogen coadsorption does not have a large effect on the steady state work functions. The largest shifts in the work function due to the coadsorption of hydrogen occur on the samples when there is no cesium present. A theory describing the steady-state coverage was developed is used to make predictions for other materials. A simple sticking and sputtering relationship, not including implantation, cannot account for the steady state coverage. At low concentrations, cesium coverage of a target is proportional to the ratio of (1 - β)/γ where β is the reflection coefficient and γ is the sputter yield. High coverages are produced on molybdenum due to implantation and low backscattering, because molybdenum is lighter than cesium. For tungsten the high backscattering and low implantation result in low coverages

  20. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  1. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  2. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  3. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  4. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  5. Target-ion source unit ionization efficiency measurement by method of stable ion beam implantation

    CERN Document Server

    Panteleev, V.N; Fedorov, D.V; Moroz, F.V; Orlov, S.Yu; Volkov, Yu.M

    The ionization efficiency is one of the most important parameters of an on-line used target-ion source system exploited for production of exotic radioactive beams. The ionization efficiency value determination as a characteristic of a target-ion source unit in the stage of its normalizing before on-line use is a very important step in the course of the preparation for an on-line experiment. At the IRIS facility (Petersburg Nuclear Physics Institute, Gatchina) a reliable and rather precise method of the target-ion source unit ionization efficiency measurement by the method of stable beam implantation has been developed. The method worked out exploits an off-line mass-separator for the implantation of the ion beams of selected stable isotopes of different elements into a tantalum foil placed inside the Faraday cup in the focal plane of the mass-separator. The amount of implanted ions has been measured with a high accuracy by the current integrator connected to the Faraday cup. After the implantation of needed a...

  6. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  7. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  8. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  9. Nanotubular surface modification of metallic implants via electrochemical anodization technique.

    Science.gov (United States)

    Wang, Lu-Ning; Jin, Ming; Zheng, Yudong; Guan, Yueping; Lu, Xin; Luo, Jing-Li

    2014-01-01

    Due to increased awareness and interest in the biomedical implant field as a result of an aging population, research in the field of implantable devices has grown rapidly in the last few decades. Among the biomedical implants, metallic implant materials have been widely used to replace disordered bony tissues in orthopedic and orthodontic surgeries. The clinical success of implants is closely related to their early osseointegration (ie, the direct structural and functional connection between living bone and the surface of a load-bearing artificial implant), which relies heavily on the surface condition of the implant. Electrochemical techniques for modifying biomedical implants are relatively simple, cost-effective, and appropriate for implants with complex shapes. Recently, metal oxide nanotubular arrays via electrochemical anodization have become an attractive technique to build up on metallic implants to enhance the biocompatibility and bioactivity. This article will thoroughly review the relevance of electrochemical anodization techniques for the modification of metallic implant surfaces in nanoscale, and cover the electrochemical anodization techniques used in the development of the types of nanotubular/nanoporous modification achievable via electrochemical approaches, which hold tremendous potential for bio-implant applications. In vitro and in vivo studies using metallic oxide nanotubes are also presented, revealing the potential of nanotubes in biomedical applications. Finally, an outlook of future growth of research in metallic oxide nanotubular arrays is provided. This article will therefore provide researchers with an in-depth understanding of electrochemical anodization modification and provide guidance regarding the design and tuning of new materials to achieve a desired performance and reliable biocompatibility.

  10. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  11. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  12. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  13. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  14. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  15. Modification of the Properties of Vanadium Oxide Thin Films by Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Sergey Burdyukh

    2018-01-01

    Full Text Available The paper describes the effect of doping with hydrogen and tungsten by means of plasma-immersion ion implantation (PIII on the properties of vanadium dioxide and hydrated vanadium pentoxide films. It is shown that the parameters of the metal-insulator phase transition in VO2 thin films depend on the hydrogen implantation dose. Next, we explore the effect of PIII on composition, optical properties, and the internal electrochromic effect (IECE in V2O5·nH2O films. The variations in the composition and structure caused by the hydrogen insertion, as well as those caused by the electrochromic effect, are studied by nuclear magnetic resonance, thermogravimetry, Raman spectroscopy, and X-ray structural analysis. It is shown that the ion implantation-induced hydrogenation can substantially enhance the manifestation and performance of the IECE in V2O5 xerogel films. Finally, the effect of PIII-assisted doping with W on the parameters of electrical switching in Au/V2O5·nH2O/Au sandwich structures is examined. It is shown that implanting small tungsten doses improves the switching parameters after forming. When implanting large doses, switching is observed without electroforming, and if electroforming is applied, the switching effect, on the contrary, disappears.

  16. A study on mutagenic effects of antibiotic-producers by ion implantation

    International Nuclear Information System (INIS)

    Xie Liqing; Zhang Yinfen; Chen Ruyi; Zhou Ruiying; Zhang Peiling; Ying Hengfeng; Yang Guorong; Yang Guifang

    1995-01-01

    Mutagenic effects of Streptomyces ribosidificus, Streptomyces kanamyceticus and the phage-resistant culture of Streptomyces kanamyceticus induced by N + and C + ion implantation with different doses have been investigated. The experimental results show that the death rates of antibiotic-producers increase with the increase of ion implantation dose, and the form mutation of the antibiotic-producers is rather obvious. After N + ion implantation, the titer units increase by 10%-25%, 5.2%-12.1% and 2.1%-12.75% for the above three strains respectively; while after C + ion implantation the titer units increase by 10%-16.9%, 1.05%-3.08% and 5%-20% respectively. The selected strains of Micromonospora echimospoora and Streptomyces kanamyceticus after N + ion implantation have been used in the factory. The increase of production is 20% and 12.5% respectively and marked economic benefits are obtained

  17. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  18. Dual-ion implantation into GaAs

    International Nuclear Information System (INIS)

    Sealy, B.J.; Bell, E.C.; Surridge, R.K.; Stephens, K.G.; Ambridge, T.; Heckingbottom, R.

    1976-01-01

    A variety of dual implants have been carried out to test the theory of Ambridge and Heckingbottom (Ambridge, T. and Heckingbottom, R., 1973, Radiat. Effects, vol. 17, 31). After annealing at 700 0 C or 750 0 C a significant enhancement of electrical activity compared with single-ion implants has been obtained for (Ga + Se) and (Sn + Se) implants but the degree of enhancement is dose dependent. The results imply that the dual implantation process is more complex than predicted by the theory and the electrical activity measured seems to be dominated by residual, compensating damage. (author)

  19. Corrosion behaviour of pure iron implanted with Pd ion beam

    International Nuclear Information System (INIS)

    Sang, J.M.; Lin, W.L.; Wu, Z.D.; Wang, H.S.

    1999-01-01

    The corrosion behavior of pure iron implanted with Pd ions up to doses in the range 1x10 16 -1x10 18 ions/cm 2 at an extracting voltage 45kV by using MEVVA source ion implanter has been investigated. The concentration profiles and valence states of elements at the near surface of Pd implanted iron specimens were analyzed by AES and XPS respectively. The Anodic dissolution process of Pd implanted pure iron was measured by means of potentiokinetic sweep in a 0.5 mol/1 NaAc/Hac buffer solution with pH5.0. The open circuit corrosion potential as a function of immersion time was used to evaluate the corrosion resistance of Pd implanted iron specimens. The experimental results show that Pd ion implantation decreases the critical passive current of iron and maintains a better passivity in acetate buffer solution with pH5.0. It is interesting that the active corrosion rate of Pd implanted iron is even higher than that of unimplanted one, when the oxide layer on the surface of iron has been damaged. (author)

  20. Study of Cu+, Ag+ and Au+ ion implantation into silicate glasses

    Czech Academy of Sciences Publication Activity Database

    Švecová, B.; Nekvindová, P.; Macková, Anna; Malinský, Petr; Kolitsch, A.; Machovič, V.; Stara, S.; Míka, M.; Špirková, J.

    2010-01-01

    Roč. 356, 44-49 (2010), s. 2468-2472 ISSN 0022-3093. [XII International Conference on the Physics of Non-Crystalline Solids. Foz do Iguaçu, PR, Brazil , 06.09.-09.09.2009] R&D Projects: GA MŠk(CZ) LC06041; GA ČR GA106/09/0125 Institutional research plan: CEZ:AV0Z10480505 Keywords : Ion implantation * Silicate glasses * Metal nanoparticles * RBS Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.483, year: 2010

  1. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  2. Magnetoreflection studies of ion implanted bismuth

    International Nuclear Information System (INIS)

    Nicolini, C.; Chieu, T.C.; Dresselhaus, M.S.; Massachusetts Inst. of Tech., Cambridge; Dresselhaus, G.

    1982-01-01

    The effect of the implantation of Sb ions on the electronic structure of the semimetal bismuth is studied by the magnetoreflection technique. The results show long electronic mean free paths and large implantation-induced increases in the band overlap and L-point band gap. These effects are opposite to those observed for Bi chemically doped with Sb. (author)

  3. Cutaneous and systemic hypersensitivity reactions to metallic implants

    DEFF Research Database (Denmark)

    Basko-Plluska, Juliana L; Thyssen, Jacob P; Schalock, Peter C

    2011-01-01

    ) following the insertion of intravascular stents, dental implants, cardiac pacemakers, or implanted gynecologic devices. Despite repeated attempts by researchers and clinicians to further understand this difficult area of medicine, the association between metal sensitivity and cutaneous allergic reactions......Cutaneous reactions to metal implants, orthopedic or otherwise, are well documented in the literature. The first case of a dermatitis reaction over a stainless steel fracture plate was described in 1966. Most skin reactions are eczematous and allergic in nature, although urticarial, bullous......, and vasculitic eruptions may occur. Also, more complex immune reactions may develop around the implants, resulting in pain, inflammation, and loosening. Nickel, cobalt, and chromium are the three most common metals that elicit both cutaneous and extracutaneous allergic reactions from chronic internal exposure...

  4. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Science.gov (United States)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  5. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  6. The influence of metallic ions from CoCr28Mo6 on the osteogenic differentiation and cytokine release of human osteoblasts

    Directory of Open Access Journals (Sweden)

    Jonitz-Heincke A

    2015-09-01

    Full Text Available Inflammatory reactions associated with osteolysis and implant loosening can be the result of generated CoCr particles and the simultaneous release of ions, which are the consequence of wear at the articulating surfaces of metallic implants. By now, there is little knowledge about the influence of CoCr ions on the viability of human osteoblasts (hOB.

  7. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  8. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    Science.gov (United States)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  9. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  10. Patch testers' opinions regarding diagnostic criteria for metal hypersensitivity reactions to metallic implants

    DEFF Research Database (Denmark)

    Schalock, Peter C; Thyssen, Jacob P

    2013-01-01

    Metal hypersensitivity reactions to implanted devices remain a challenging and controversial topic. Diagnostic criteria and methods are not well delineated.......Metal hypersensitivity reactions to implanted devices remain a challenging and controversial topic. Diagnostic criteria and methods are not well delineated....

  11. Analysis of nano-meter structure in Ti implanted polymers

    International Nuclear Information System (INIS)

    Zhou Gu; Wu Yuguang; Zhang Tonghe; Zhao Xinrong

    2001-01-01

    Polyethylene terephthalate (PET) is modified with Ti ion implantation to a dose of 1x10 17 to 2 x 10 17 cm -2 by using a metal vapor vacuum arc(MEVVA)source. Nano-meter structures in the implanted sample are observed by means of transmission electron microscope (TEM). The influence of ion dose on the structure is indicated. The results show that dense nano-meter phases are dispersed uniformly in the implanted layer. TEM cross section indicates that there is a three-layer structure in the implanted PET. It is found that a metallurgical surface is formed. Therefore the hardness, wear resistance and conductive properties of PET are improved after metal ion implantation. The mechanism of electrical conduction will be discussed

  12. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  13. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  14. He reemission implanted in metals

    International Nuclear Information System (INIS)

    Tanabe, T.

    2014-01-01

    Highlights: • Observation of He reemission of various metals under He + implantation at wide temperature range. • Materials examined are aluminum (Al), Nickel (Ni) and molybdenum (Mo). • He reemission is quite temperature dependent and different with materials. • Three metals show similar dependence on temperature normalized with respective melting point. • He reemission is successfully correlated with He behavior in metals. - Abstract: Helium (He) reemission of Al, Ni and Mo under energetic He implantation (10–30 keV) in wide temperature range is studied to understand behavior of implanted He in correlation with structure changes. The reemission behavior is categorized into 4 different temperature ranges with the normalized temperature (T m ) to the melting point of each metal. At elevated temperatures (well above ∼0.6 T m ), interstitial He atoms and/or He-vacancy (ies) clusters can migrate remaining no structure change and showing smooth reemission without any burst. Between ∼0.25 and 0.6 T m , He reemission always accompanies significant structure modification. For ∼04–0.6 T m , implanted He coalesce to make bubbles and the bubbles can move to the surface. Bubble migration accompanies materials flow to the surface resulting in fuzz surface or columnar structure, depending on implantation flux. Slower bubble motion at ∼0.25–0.4 prohibits the material migration. Instead the bubbles coalesce to grow large and multi-layered blistering appears as periodic reemission behavior. Below ∼0.25 T m , He migration is too slow for bubbles to grow large, but bubble density increases up to a certain fluence, where neighboring bubbles start to coalesce. Accordingly, He release is mostly caused by mechanical failure or blister rapture. With increasing fluence, all defects (bubbles and dislocation loops) tangle or inter connected with neighboring defects and accordingly He migration to the surface along the tangled or connected defects is enhanced

  15. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  16. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  17. Metal ion transporters and homeostasis.

    OpenAIRE

    Nelson, N

    1999-01-01

    Transition metals are essential for many metabolic processes and their homeostasis is crucial for life. Aberrations in the cellular metal ion concentrations may lead to cell death and severe diseases. Metal ion transporters play a major role in maintaining the correct concentrations of the various metal ions in the different cellular compartments. Recent studies of yeast mutants revealed key elements in metal ion homeostasis, including novel transport systems. Several of the proteins discover...

  18. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  19. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  20. Influence of metallic dental implants and metal artefacts on dose calculation accuracy.

    Science.gov (United States)

    Maerz, Manuel; Koelbl, Oliver; Dobler, Barbara

    2015-03-01

    Metallic dental implants cause severe streaking artefacts in computed tomography (CT) data, which inhibit the correct representation of shape and density of the metal and the surrounding tissue. The aim of this study was to investigate the impact of dental implants on the accuracy of dose calculations in radiation therapy planning and the benefit of metal artefact reduction (MAR). A second aim was to determine the treatment technique which is less sensitive to the presence of metallic implants in terms of dose calculation accuracy. Phantoms consisting of homogeneous water equivalent material surrounding dental implants were designed. Artefact-containing CT data were corrected using the correct density information. Intensity-modulated radiation therapy (IMRT) and volumetric modulated arc therapy (VMAT) plans were calculated on corrected and uncorrected CT data and compared to 2-dimensional dose measurements using GafChromic™ EBT2 films. For all plans the accuracy of dose calculations is significantly higher if performed on corrected CT data (p = 0.015). The agreement of calculated and measured dose distributions is significantly higher for VMAT than for IMRT plans for calculations on uncorrected CT data (p = 0.011) as well as on corrected CT data (p = 0.029). For IMRT and VMAT the application of metal artefact reduction significantly increases the agreement of dose calculations with film measurements. VMAT was found to provide the highest accuracy on corrected as well as on uncorrected CT data. VMAT is therefore preferable over IMRT for patients with metallic implants, if plan quality is comparable for the two techniques.

  1. Influence of metallic dental implants and metal artefacts on dose calculation accuracy

    International Nuclear Information System (INIS)

    Maerz, Manuel; Koelbl, Oliver; Dobler, Barbara

    2015-01-01

    Metallic dental implants cause severe streaking artefacts in computed tomography (CT) data, which inhibit the correct representation of shape and density of the metal and the surrounding tissue. The aim of this study was to investigate the impact of dental implants on the accuracy of dose calculations in radiation therapy planning and the benefit of metal artefact reduction (MAR). A second aim was to determine the treatment technique which is less sensitive to the presence of metallic implants in terms of dose calculation accuracy. Phantoms consisting of homogeneous water equivalent material surrounding dental implants were designed. Artefact-containing CT data were corrected using the correct density information. Intensity-modulated radiation therapy (IMRT) and volumetric modulated arc therapy (VMAT) plans were calculated on corrected and uncorrected CT data and compared to 2-dimensional dose measurements using GafChromic trademark EBT2 films. For all plans the accuracy of dose calculations is significantly higher if performed on corrected CT data (p = 0.015). The agreement of calculated and measured dose distributions is significantly higher for VMAT than for IMRT plans for calculations on uncorrected CT data (p = 0.011) as well as on corrected CT data (p = 0.029). For IMRT and VMAT the application of metal artefact reduction significantly increases the agreement of dose calculations with film measurements. VMAT was found to provide the highest accuracy on corrected as well as on uncorrected CT data. VMAT is therefore preferable over IMRT for patients with metallic implants, if plan quality is comparable for the two techniques. (orig.) [de

  2. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  3. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  4. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  5. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  6. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  7. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  8. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  9. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  10. Ion implantation for manufacturing bent and periodically bent crystals

    Energy Technology Data Exchange (ETDEWEB)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it; Mazzolari, Andrea; Paternò, Gianfranco [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat 1/c, 44122 Ferrara, Italy and INFN, Section of Ferrara (Italy); Mattei, Giovanni, E-mail: giovanni.mattei@unipd.it; Scian, Carlo [Department of Physics and Astronomy Galileo Galilei, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Lanzoni, Luca [Dipertimento di Economia e Tecnologia, Università degli Studi della Repubblica di San Marino, Salita alla Rocca, 44, 47890 San Marino Città (San Marino)

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to produce X-ray beams.

  11. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  12. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  13. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  14. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  15. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  16. Treatment of transverse patellar fractures: a comparison between metallic and non-metallic implants.

    Science.gov (United States)

    Heusinkveld, Maarten H G; den Hamer, Anniek; Traa, Willeke A; Oomen, Pim J A; Maffulli, Nicola

    2013-01-01

    Several methods of transverse patellar fixation have been described. This study compares the clinical outcome and the occurrence of complications of various fixation methods. The databases PubMed, Web of Science, Science Direct, Google Scholar and Google were searched. A direct comparison between fixation techniques using mixed or non-metallic implants and metallic K-wire and tension band fixation shows no significant difference in clinical outcome between both groups. Additionally, studies reporting novel operation techniques show good clinical results. Studies describing the treatment of patients using non-metallic or mixed implants are fewer compared with those using metallic fixation. A large variety of clinical scoring systems were used for assessing the results of treatment, which makes direct comparison difficult. More data of fracture treatment using non-metallic or mixed implants is needed to achieve a more balanced comparison.

  17. Evaluation of complexing agents and column temperature in ion chromatographic separation of alkali metals, alkaline earth metals and transition metals ion

    International Nuclear Information System (INIS)

    Kelkar, Anoop; Pandey, Ashish; Name, Anil B.; Das, D.K.; Behere, P.G.; Mohd Afzal

    2015-01-01

    The aim of ion chromatography method development is the resolution of all metal ions of interests. Resolution can be improved by changing the selectivity. Selectivity in chromatography can be altered by changes in mobile phase (eg eluent type, eluent strength) or through changes in stationary phase. Temperature has been used in altering the selectivity of particularly in reversed phase liquid chromatography and ion exchange chromatography. Present paper describe the retention behaviour of alkali metals, alkaline earth metals and transition metal ions on a silica based carboxylate function group containing analyte column. Alkali metals, alkaline earth metals and transition metal ions were detected by ion conductivity and UV-VIS detectors respectively

  18. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  19. Ion spectra of the metal vapor vacuum arc ion source with compound and alloy cathodes

    Science.gov (United States)

    Sasaki, Jun; Brown, Ian G.

    1990-01-01

    In metal vapor vacuum arc (MEVVA) ion sources, vacuum arc plasma with cathodes of single, pure elements has been utilized for the production of metal ions. In this study, we have investigated the charge state distributions of ions produced in vacuum arc plasmas in a MEVVA ion source for the case when the cathode is an alloy or a compound material. The ion charge state spectra were analyzed by means of a time-of-flight apparatus. We have compared the ion spectra for a cathode of an alloy or a compound material with its constituent elements: TiC/TiN/TiO2/Ti/C, SiC/Si/C, WC/W/C U/UN/(UN-ZrC)/Zr/C, and brass/Zn/Cu. We find that the MEVVA produces ions of all constituent elements in the compound and the alloy cathodes. The charge state distribution of each element differs, however, from the charge state distribution obtained in the vacuum arc with a cathode made of the pure, single constituent element. Fractional values of the total ion numbers of each constituent element in the extracted beam depart from the stoichiometry of the elements in the cathode material. In an operation with a TiC cathode, we irradiated a 304 stainless-steel plate with the extracted beam. Results from glow-discharge spectroscopy (GDS) of the surface show that both titanium and carbon are implanted in the substrate after the irradiation.

  20. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  1. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  2. Reduction of metallosis in hip implant using thin film coating

    Science.gov (United States)

    Rajeshshyam, R.; Chockalingam, K.; Gayathri, V.; Prakash, T.

    2018-04-01

    Hip implant finds its emerging attraction due to it continuous demand over the years. The hip implants (femoral head) and acetabulum cup) mainly fabricated by metals such as stainless steel, cobalt chrome and titanium alloys, other than that ceramics and polyethylene have been used. The metal-on-metal hip implant was found to be best implant material for most of the surgeons due to its high surface finish, low wear rate and low chance of dislocation from its position after implanting. Where in metal based hip implant shows less wear rate of 0.01mm3/year. Metal-on-metal implant finds its advantage over other materials both in its mechanical and physical stability against human load. In M-O-M Cobalt- chromium alloys induce metal allergy. The metal allergy (particulate debris) that is generated by wear, fretting, fragmentation and which is unavoidable when a prosthesis is implanted, can induce an inflammatory reaction in some circumstances. The objectives of this research to evaluate thin film coating with Nano particle additives to reduce the wear leads to regarding metal ion release. Experimental results reveals that thin film Sol-Gel coating with 4wt. % of specimen reduced the cobalt and chromium ion release and reduces the wear rate. Wear rate reduced by 98% for 4wt. % graphene in 20N and 95% for 4wt. % graphene in 10N.

  3. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  4. Nanostructured titanate with different metal ions on the surface of metallic titanium: a facile approach for regulation of rBMSCs fate on titanium implants.

    Science.gov (United States)

    Ren, Na; Li, Jianhua; Qiu, Jichuan; Sang, Yuanhua; Jiang, Huaidong; Boughton, Robert I; Huang, Ling; Huang, Wei; Liu, Hong

    2014-08-13

    Titanium (Ti) is widely used for load-bearing bio-implants, however, it is bio-inert and exhibits poor osteo-inductive properties. Calcium and magnesium ions are considered to be involved in bone metabolism and play a physiological role in the angiogenesis, growth, and mineralization of bone tissue. In this study, a facile synthesis approach to the in situ construction of a nanostructure enriched with Ca(2+) and Mg(2+) on the surface of titanium foil is proposed by inserting Ca(2+) and Mg(2+) into the interlayers of sodium titanate nanostructures through an ion-substitution process. The characteriz 0.67, and 0.73 nm ation results validate that cations can be inserted into the interlayer regions of the layered nanostructure without any obvious change of morphology. The cation content is positively correlated to the concentration of the solutions employed. The biological assessments indicate that the type and the amount of cations in the titanate nanostructure can alter the bioactivity of titanium implants. Compared with a Na(+) filled titanate nanostructure, the incorporation of divalent ions (Mg(2+) , Ca(2+) ) can effectively enhance protein adsorption, and thus also enhance the adhesion and differentiation ability of rat bone-marrow stem cells (rBMSCs). The Mg(2+) /Ca(2+) -titanate nanostructure is a promising implantable material that will be widely applicable in artificial bones, joints, and dental implants. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  6. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  7. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  8. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  9. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  10. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  11. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  12. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    International Nuclear Information System (INIS)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-01-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO 2 implanted AISI 304 – examined for different implantation and annealing parameters – is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 10 16 cm −2 (Ti + ) and 1 × 10 17 cm −2 (O + ) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 10 15 cm −2 (Ti + ) and 1 × 10 16 cm −2 (O + ). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO 2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  13. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  14. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  15. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs

  16. The Formation of High-Coercivity, Oriented, Nanophase Cobalt Precipitates in Al2O3 single cyrstals by ion implantation

    International Nuclear Information System (INIS)

    Honda, S.; Modine, F.A.; Haynes, T.E.; Meldrum, A.; Budai, J.D.; SOng, K.J.; Thompson, J.R.; Boatner, L.A.

    1999-01-01

    Ion-implantation and thermal-processing methods have been used to form nanophase magnetic precipitates of metallic cobalt that are embedded in the near-surface region of single crystals of Al 2 O 3 . The Co precipitates are isolated, single-crystal particles that are crystallographically oriented with respect to the host Al 2 O 3 lattice. Embedded nanophase Co precipitates were formed by the implantation of Co+ at an energy of 140 keV and a dose of 8 x l0 16 ions/cm 2 followed by annealing in a reducing atmosphere. The implanted/annealed Co depth profile, particle size distributions and shapes, and the orientational relationship between the nanophase precipitates and the host crystal lattice were determined using RBS/channeling, transmission electron microscopy, and x-ray diffraction

  17. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  18. Metal artifact reduction image reconstruction algorithm for CT of implanted metal orthopedic devices: a work in progress

    International Nuclear Information System (INIS)

    Liu, Patrick T.; Pavlicek, William P.; Peter, Mary B.; Roberts, Catherine C.; Paden, Robert G.; Spangehl, Mark J.

    2009-01-01

    Despite recent advances in CT technology, metal orthopedic implants continue to cause significant artifacts on many CT exams, often obscuring diagnostic information. We performed this prospective study to evaluate the effectiveness of an experimental metal artifact reduction (MAR) image reconstruction program for CT. We examined image quality on CT exams performed in patients with hip arthroplasties as well as other types of implanted metal orthopedic devices. The exam raw data were reconstructed using two different methods, the standard filtered backprojection (FBP) program and the MAR program. Images were evaluated for quality of the metal-cement-bone interfaces, trabeculae ≤1 cm from the metal, trabeculae 5 cm apart from the metal, streak artifact, and overall soft tissue detail. The Wilcoxon Rank Sum test was used to compare the image scores from the large and small prostheses. Interobserver agreement was calculated. When all patients were grouped together, the MAR images showed mild to moderate improvement over the FBP images. However, when the cases were divided by implant size, the MAR images consistently received higher image quality scores than the FBP images for large metal implants (total hip prostheses). For small metal implants (screws, plates, staples), conversely, the MAR images received lower image quality scores than the FBP images due to blurring artifact. The difference of image scores for the large and small implants was significant (p=0.002). Interobserver agreement was found to be high for all measures of image quality (k>0.9). The experimental MAR reconstruction algorithm significantly improved CT image quality for patients with large metal implants. However, the MAR algorithm introduced blurring artifact that reduced image quality with small metal implants. (orig.)

  19. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  20. Dose perturbation effect of metallic spinal implants in proton beam therapy.

    Science.gov (United States)

    Jia, Yingcui; Zhao, Li; Cheng, Chee-Wai; McDonald, Mark W; Das, Indra J

    2015-09-08

    The purpose of this study was to investigate the effect of dose perturbations for two metallic spinal screw implants in proton beam therapy in the perpendicular and parallel beam geometry. A 5.5 mm (diameter) by 45 mm (length) stainless steel (SS) screw and a 5.5 mm by 35 mm titanium (Ti) screw commonly used for spinal fixation were CT-scanned in a hybrid phantom of water and solid water. The CT data were processed with an orthopedic metal artifact reduction (O-MAR) algorithm. Treatment plans were generated for each metal screw with a proton beam oriented, first parallel and then perpendicular, to the longitudinal axis of the screw. The calculated dose profiles were compared with measured results from a plane-parallel ion chamber and Gafchromic EBT2 films. For the perpendicular setup, the measured dose immediately downstream from the screw exhibited dose enhancement up to 12% for SS and 8% for Ti, respectively, but such dose perturbation was not observed outside the lateral edges of the screws. The TPS showed 5% and 2% dose reductions immediately at the interface for the SS nd Ti screws, respectively, and up to 9% dose enhancements within 1 cm outside of the lateral edges of the screws. The measured dose enhancement was only observed within 5 mm from the interface along the beam path. At deeper depths, the lateral dose profiles appeared to be similar between the measurement and TPS, with dose reduction in the screw shadow region and dose enhancement within 1-2 cm outside of the lateral edges of the metals. For the parallel setup, no significant dose perturbation was detected at lateral distance beyond 3 mm away from both screws. Significant dose discrepancies exist between TPS calculations and ion chamber and film measurements in close proximity of high-Z inhomogeneities. The observed dose enhancement effect with proton therapy is not correctly modeled by TPS. An extra measure of caution should be taken when evaluating dosimetry with spinal metallic implants.

  1. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  2. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  3. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  4. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  5. Formation of InN phase by sequential ion implantation

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Ravichandran, V.; Nair, K.G.M.; Kesavamoorthy, R.; Kalavathi, S.; Panigrahi, B.K.; Dhara, S.

    2006-01-01

    Formation of InN phase by sequentially implanting nitrogen on indium implanted silica was demonstrated. The growth of embedded InN phase on as-implanted and post-implantation annealed sample was studied using Glancing Incidence X-Ray Diffraction (GIXRD) and Raman spectroscopy. Existence of both cubic and hexagonal phases of InN was observed. Results of irradiation induced ripening of In nanoclusters due to N + ion implantation was also studied. (author)

  6. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  7. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  8. Effects of gold coating on experimental implant fixation

    DEFF Research Database (Denmark)

    Zainali, Kasra; Danscher, Gorm; Jakobsen, Thomas

    2009-01-01

    Insertions of orthopedic implants are traumatic procedures that trigger an inflammatory response. Macrophages have been shown to liberate gold ions from metallic gold. Gold ions are known to act in an antiinflammatory manner by inhibiting cellular NF-kappa B-DNA binding and suppressing I-kappa B......-kinase activation. The present study investigated whether gilding implant Surfaces augmented early implant osseointegration and implant fixation by its modulatory effect on the local inflammatory response. Ion release was traced by autometallographic silver enhancement. Gold-coated cylindrical porous coated Ti6Al4V...

  9. Trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions

    International Nuclear Information System (INIS)

    Kobayashi, Makoto; Suzuki, Sachiko; Wang, Wanjing; Kurata, Rie; Kida, Katsuya; Oya, Yasuhisa; Okuno, Kenji; Ashikawa, Naoko; Sagara, Akio; Yoshida, Naoaki

    2009-01-01

    The trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions was investigated by thermal desorption spectroscopy (TDS) and x-ray photoelectron spectroscopy (XPS). The D 2 TDS spectrum consisted of three desorption stages, namely desorption of deuterium trapped by intrinsic defects, ion-induced defects and carbon with the formation of the C-D bond. Although the deuterium retention trapped by intrinsic defects was almost constant, that by ion-induced defects increased as the ion fluence increased. The retention of deuterium with the formation of the C-D bond was saturated at an ion fluence of 0.5x10 22 D + m -2 , where the major process was changed from the sputtering of tungsten with the formation of a W-C mixture to the formation of a C-C layer, and deuterium retention as the C-D bond decreased. It was concluded that the C-C layer would enhance the chemical sputtering of carbon with deuterium with the formation of CD x and the chemical state of carbon would control the deuterium retention in tungsten under C + -D 2 + implantation.

  10. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  11. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  12. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  13. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  14. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  15. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  16. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  17. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  18. Chemistry-driven structural alterations in short-term retrieved ceramic-on-metal hip implants: Evidence for in vivo incompatibility between ceramic and metal counterparts.

    Science.gov (United States)

    Zhu, Wenliang; Pezzotti, Giuseppe; Boffelli, Marco; Chotanaphuti, Thanainit; Khuangsirikul, Saradej; Sugano, Nobuhiko

    2017-08-01

    Ceramic-on-metal (CoM) hip implants were reported to experience lower wear rates in vitro as compared to metal-on-metal (MoM) bearings, thus hinting metal-ion release at lower levels in vivo. In this article, we show a spectroscopic study of two short-term retrieval cases of zirconia-toughened alumina (ZTA) femoral heads belonging to CoM hip prostheses, which instead showed poor wear performances in vivo. Metal contamination and abnormally high fractions of tetragonal-to-monoclinic (t→m) polymorphic transformation of the zirconia phase could be found on both ZTA heads, which contrasted with the optimistic predictions of in vitro experiments. At the molecular scale, incorporation of metal ions into the ceramic lattices could be recognized as due to frictionally assisted phenomena occurring at the ceramic surface. Driven by abnormal friction, diffusion of metal ions induced lattice shrinkage in the zirconia phases, while residual stress fields became stored at the surface of the femoral head. Diffusional alterations destabilized the chemistry of the ceramic surface and resulted in an abnormal increase in t→m phase transformation in vivo. Frictionally driven metal transfer to the ceramic lattice thus hinders the in vivo performance of CoM prostheses. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1469-1480, 2017. © 2016 Wiley Periodicals, Inc.

  19. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  20. Ion implantation reinforcement of the protective efficiency of nickel in artificial sea-water

    International Nuclear Information System (INIS)

    Leroy, L.; Girault, P.; Grosseau-Poussard, J.L.; Dinhut, J.F.

    2002-01-01

    Ni bulk specimens have been implanted with Cr, Cu and Ar ions (4x10 16 ions/cm 2 , 60 keV) in order to distinguish between chemical and radiation damage effects on protection corrosion. The corrosion behaviour in artificial sea-water of ion-implanted and pure Ni has been studied at room temperature by electrochemical impedance spectroscopy (EIS) technique. EIS spectra of ion-implanted Ni exhibit one capacitance loop while in pure Ni two distinct loops are observed. Moreover an important increase in the polarisation resistance is noticed for all implanted ions. Theses changes in EIS behaviour with implantation is related to the increase of the superficial layer density resulting in a decrease of heterogeneity of the passive layer. Equivalent circuits are proposed to fit the impedance spectra and corresponding electrochemical parameters are deduced