WorldWideScience

Sample records for metal films deposited

  1. UV laser deposition of metal films by photogenerated free radicals

    Science.gov (United States)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  2. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  3. Laser deposition rates of thin films of selected metals and alloys

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo; Canulescu, Stela; Schou, Jørgen

    Thin films of Cu, Zn and Sn as well as mixtures of these elements have been produced by Pulsed Laser Deposition (PLD). The deposition rate of single and multicomponent metallic targets was determined. The strength of PLD is that the stoichiometry of complex compounds, even of complicated alloys...... or metal oxides, can be preserved from target to film. We apply this technique to design films of a mixture of Cu, Zn and Sn, which are constituents of the chalcogenide CZTS, which has a composition close to Cu2ZnSnS4. This compound is expected to be an important candidate for absorbers in new solar cells...... for alloys of the different elements as well as compounds with S will be presented....

  4. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  5. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  6. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  7. The spatial thickness distribution of metal films produced by large area pulsed laser deposition

    DEFF Research Database (Denmark)

    Pryds, Nini; Schou, Jørgen; Linderoth, Søren

    2007-01-01

    Thin films of metals have been deposited in the large-area Pulsed Laser Deposition (PLD) Facility at Riso National Laboratory. Thin films of Ag and Ni were deposited with laser pulses from an excimer laser at 248 nm with a rectangular beam spot at a fluence of 10 J/cm(2) on glass substrates of 127...

  8. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  9. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  10. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  11. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  12. Fracture characterization of inhomogeneous wrinkled metallic films deposited on soft substrates

    Science.gov (United States)

    Kishida, Hiroshi; Ishizaka, Satoshi; Nagakura, Takumi; Suzuki, Hiroaki; Yonezu, Akio

    2017-12-01

    This study investigated the fracture properties of wrinkled metallic films on a polydimethylsiloxane (PDMS) soft substrate. In particular, the crack density of the wrinkled film during tensile deformation was examined. In order to achieve better deformability of metallic thin films, a method to fabricate a wrinkled thin film on a PDMS soft substrate was first established. The copper (Cu) nano-film fabricated in this study possessed a wrinkled geometry, which plays a critical role in determining the extent of large elastic deformation. To create the wrinkled structure, wet-etching with a polymeric sacrificial layer was used. A sacrificial layer was first deposited onto a silicone rubber sheet. During the curing process of the layer, a compressive strain was applied such that the hardened surface layer buckled, and a wrinkled form was obtained. Subsequently, a PDMS solution was used to cover the layer in order to form a wrinkled PDMS substrate. Finally, the Cu film was deposited onto the wrinkled PDMS, such that the wrinkled Cu film on a soft PDMS substrate was fabricated. The use of uni-axial tensile tests resulted in film crack generation at the stress concentration zone in the wrinkled structure of the films. When the tensile loading was increased, the number of cracks increased. It was found that the increase in crack density was strongly related to the inhomogeneous nature of the wrinkled structure. Such a trend in crack density was investigated using FEM (finite element method) computations, such that this study established a simple mechanical model that may be used to predict the increase in crack density during tensile deformation. This model was verified through several experiments using various wrinkle patterns. The proposed mechanical model may be useful to predict the crack density of a wrinkled metallic film subject to tensile loading.

  13. The deposition of thin metal films at the high-intensity pulsed-ion-beam influence on the metals

    International Nuclear Information System (INIS)

    Remnev, G.E.; Zakoutaev, A.N.; Grushin, I.I.; Matvenko, V.M.; Potemkin, A.V.; Ryzhkov, V.A.; Chernikov, E.V.

    1996-01-01

    A high-intensity pulsed ion beam with parameters: ion energy 350-500 keV, ion current density at a target > 200 A/cm 2 , pulse duration 60 ns, was used for metal deposition. The film deposition rate was 0.6-4.0 mm/s. Transmission electron microscopy/transmission electron diffraction investigations of the copper target-film system were performed. The impurity content in the film was determined by x-ray fluorescence analysis and secondary ion mass spectrometry. The angular distributions of the ablated plasma were measured. (author). 2 figs., 7 refs

  14. The deposition of thin metal films at the high-intensity pulsed-ion-beam influence on the metals

    Energy Technology Data Exchange (ETDEWEB)

    Remnev, G E; Zakoutaev, A N; Grushin, I I; Matvenko, V M; Potemkin, A V; Ryzhkov, V A [Tomsk Polytechnic Univ. (Russian Federation). Nuclear Physics Inst.; Ivanov, Yu F [Construction Academy, Tomsk (Russian Federation); Chernikov, E V [Siberian Physical Technical Institute, Tomsk (Russian Federation)

    1997-12-31

    A high-intensity pulsed ion beam with parameters: ion energy 350-500 keV, ion current density at a target > 200 A/cm{sup 2}, pulse duration 60 ns, was used for metal deposition. The film deposition rate was 0.6-4.0 mm/s. Transmission electron microscopy/transmission electron diffraction investigations of the copper target-film system were performed. The impurity content in the film was determined by x-ray fluorescence analysis and secondary ion mass spectrometry. The angular distributions of the ablated plasma were measured. (author). 2 figs., 7 refs.

  15. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  16. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  17. Characterization of copper thin films prepared by metal self-ion beam sputter deposition

    International Nuclear Information System (INIS)

    Gotoh, Yasuhito; Amioka, Takao; Tsuji, Hiroshi; Ishikawa, Junzo

    1994-01-01

    New deposition technique, 'metal-ion beam self-sputtering' method has been developed. Using metal ions which is the same element with the target material, no contamination with noble gas atoms, which are often used in the conventional sputtering, will occur. In this paper, fundamental measurement of the film purity is reported. As a result of PIXE measurements, it was clarified that only slight amount of iron is incorporated in the films. (author)

  18. Investigation of the electrocatalytic activity for oxygen reduction of sputter deposited mixed metal films

    International Nuclear Information System (INIS)

    Schumacher, L.C.; Holzheuter, I.B.; Nucara, M.C.; Dignam, M.J.

    1989-01-01

    Sputter-deposited films of silver with lead, manganese and nickel have been studied as possible oxygen reduction electrocatalysts using cyclic voltammetry, rotating disc studies, steady-state polarization and Auger analysis. In general, the Ag-Pb and Ag-Mn films display superior electrocatalytic activity for O 2 reduction, while the Ag-Ni films' performance is inferior to that of pure Ag. For the Ag-Pb films, which show the highest electrocatalytic activity, the mixed metal films display oxidation-reduction behavior which is not simply a superposition of that of the separate metals, and suggests a mechanism for the improved behavior

  19. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  20. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  1. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO3 capacitor application

    International Nuclear Information System (INIS)

    Lee, S.-Y.; Wang, S.-C.; Chen, J.-S.; Huang, J.-L.

    2008-01-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO 3 (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of ± 2.5 MV/cm and a leakage current density of about 1 x 10 -5 A/cm 2 at an applied field of ± 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO 2 /Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors

  2. Solution synthesis of mixed-metal chalcogenide nanoparticles and spray deposition of precursor films

    Science.gov (United States)

    Schulz, Douglas L.; Curtis, Calvin J.; Ginley, David S.

    2000-01-01

    A colloidal suspension comprising metal chalcogenide nanoparticles and a volatile capping agent. The colloidal suspension is made by reacting a metal salt with a chalcogenide salt in an organic solvent to precipitate a metal chalcogenide, recovering the metal chalcogenide, and admixing the metal chalcogenide with a volatile capping agent. The colloidal suspension is spray deposited onto a substrate to produce a semiconductor precursor film which is substantially free of impurities.

  3. The growth of the metallic ZrNx thin films on P-GaN substrate by pulsed laser deposition

    Science.gov (United States)

    Gu, Chengyan; Sui, Zhanpeng; Li, Yuxiong; Chu, Haoyu; Ding, Sunan; Zhao, Yanfei; Jiang, Chunping

    2018-03-01

    Although metal nitride thin films have attractive prospects in plasmonic applications due to its stable properties in harsh environments containing high temperatures, shock, and contaminants, the effect of deposition parameters on the properties of the metallic ZrN grown on III-N semiconductors by pulse laser deposition still lacks of detailed exploration. Here we have successfully prepared metallic ZrNx films on p-GaN substrate by pulsed laser deposition in N2 ambient of various pressures at a fixed substrate temperature (475 °C). It is found that the films exhibit quite smooth surfaces and (111) preferred orientation. The X-ray photoelectron spectroscopy measurements indicate that carbon contamination can be completely removed and oxygen contamination is significantly reduced on the film surfaces after cleaning using Ar+ sputtering. The N/Zr ratio increases from 0.64 to 0.75 when the N2 pressure increases from 0.5 Pa to 3 Pa. The optical reflectivity spectra measured by the UV-vis-NIR spectrophotometer show that the ZrNx is a typical and good metallic-like material and its metallic properties can be tuned with changing the film compositions.

  4. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO{sub 3} capacitor application

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.-Y. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China); Wang, S.-C. [Department of Mechanical Engineering, Southern Taiwan University of Technology, No. 1, Nantai St, Yung-Kang City, Tainan, Taiwan (China); Chen, J.-S. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China); Huang, J.-L. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China)], E-mail: jlh888@mail.ncku.edu.tw

    2008-09-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO{sub 3} (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of {+-} 2.5 MV/cm and a leakage current density of about 1 x 10{sup -5} A/cm{sup 2} at an applied field of {+-} 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO{sub 2}/Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors.

  5. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  6. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  7. Vacuum deposition of high quality metal films on porous substrates

    International Nuclear Information System (INIS)

    Barthell, B.L.; Duchane, D.V.

    1982-01-01

    A composite mandrel has been developed consisting of a core of low density polymethylpentene foam overcoated with a thin layer of film-forming polymer. The surface tension and viscosity of the coating solution are important parameters in obtaining a polymer film which forms a continuous, smooth skin over the core without penetrating into the foam matrix. Water soluble film formers with surface tensions in the range of 45 dyn/cm and minimum viscosities of a few hundred centipoises have been found most satisfactory for coating polymethylpentene foam. By means of this technique, continuous polymer fims with thicknesses of 10--20 μm have been formed on the surface of machined polymethylpentene foam blanks. Aluminum has been vacuum deposited onto these composite mandrels to produce metal films which appear smooth and generally defect free even at 10 000 times magnification

  8. Laser assisted modification and chemical metallization of electron-beam deposited ceria thin films

    International Nuclear Information System (INIS)

    Krumov, E.; Starbov, N.; Starbova, K.; Perea, A.; Solis, J.

    2009-01-01

    Excimer laser processing is applied for tailoring the surface morphology and phase composition of CeO 2 ceramic thin films. E-beam evaporation technique is used to deposit samples on stainless steel and silicate glass substrates. The films are then irradiated with ArF* excimer laser pulses under different exposure conditions. Scanning electron microscopy, optical spectrophotometry, X-ray diffractometry and EDS microanalysis are used to characterize the non-irradiated and laser-processed films. Upon UV laser exposure there is large increase of the surface roughness that is accompanied by photo-darkening and ceria reduction. It is shown that the laser induced changes in the CeO 2 films facilitate the deposition of metal nano-aggregates in a commercial copper electroless plating bath. The significance of laser modification as a novel approach for the production of CeO 2 based thin film catalysts is discussed.

  9. Laser assisted modification and chemical metallization of electron-beam deposited ceria thin films

    Energy Technology Data Exchange (ETDEWEB)

    Krumov, E., E-mail: emodk@clf.bas.bg [Central Laboratory of Photoprocesses ' Acad. Jordan Malinowski' , Bulgarian Academy of Sciences, Acad. Georgy Bonchev Str., bl. 109, 1113 Sofia (Bulgaria); Starbov, N.; Starbova, K. [Central Laboratory of Photoprocesses ' Acad. Jordan Malinowski' , Bulgarian Academy of Sciences, Acad. Georgy Bonchev Str., bl. 109, 1113 Sofia (Bulgaria); Perea, A.; Solis, J. [Instituto de Optica ' Daza de Valdes' , CSIC, 28006 Madrid (Spain)

    2009-11-15

    Excimer laser processing is applied for tailoring the surface morphology and phase composition of CeO{sub 2} ceramic thin films. E-beam evaporation technique is used to deposit samples on stainless steel and silicate glass substrates. The films are then irradiated with ArF* excimer laser pulses under different exposure conditions. Scanning electron microscopy, optical spectrophotometry, X-ray diffractometry and EDS microanalysis are used to characterize the non-irradiated and laser-processed films. Upon UV laser exposure there is large increase of the surface roughness that is accompanied by photo-darkening and ceria reduction. It is shown that the laser induced changes in the CeO{sub 2} films facilitate the deposition of metal nano-aggregates in a commercial copper electroless plating bath. The significance of laser modification as a novel approach for the production of CeO{sub 2} based thin film catalysts is discussed.

  10. The fabrication and characterization of an ex situ plated lead film electrode prepared with the use of a reversibly deposited mediator metal

    International Nuclear Information System (INIS)

    Tyszczuk, Katarzyna

    2011-01-01

    Research highlights: → The lead film electrode prepared with use of the mediator metal was elaborated. → The lead-based sensors were characterized by optical and voltammetric methods. → The adsorptive system of folic acid was employed to investigate a new electrode. → The application of the mediator metal improved properties of a lead film electrode. - Abstract: In this paper an ex situ plated lead film electrode prepared with use of the mediator metal (Zn) was elaborated. The electrochemical method for lead film formation is based on a co-deposition of a metal of interest (Pb) with a reversibly deposited mediator metal (Zn) and then on an oxidation of zinc and further deposition of lead by the appropriate potential. This serves to increase the density of islands of lead atoms, promoting lead film growth. The lead-based sensors were characterized by optical method (atomic force microscopy (AFM)) and as well as cyclic, linear sweep and square wave voltammetry. The adsorptive system of folic acid was employed to investigate the electrochemical characteristics a novel type of lead film electrode. Well-formed stripping peaks and a linear dependence of the stripping current on the folic acid concentration were observed on the lead film electrode prepared with use of the mediator metal while comparative measurements attempted with the lead film electrode prepared without use of the mediator metal were unsuccessful.

  11. Visible light active TiO2 films prepared by electron beam deposition of noble metals

    International Nuclear Information System (INIS)

    Hou Xinggang; Ma Jun; Liu Andong; Li Dejun; Huang Meidong; Deng Xiangyun

    2010-01-01

    TiO 2 films prepared by sol-gel method were modified by electron beam deposition of noble metals (Pt, Pd, and Ag). Effects of noble metals on the chemical and surface characteristics of the films were studied using XPS, TEM and UV-Vis spectroscopy techniques. Photocatalytic activity of modified TiO 2 films was evaluated by studying the degradation of methyl orange dye solution under visible light UV irradiation. The result of TEM reveals that most of the surface area of TiO 2 is covered by tiny particles of noble metals with diameter less than 1 nm. Broad red shift of UV-Visible absorption band of modified photocatalysts was observed. The catalytic degradation of methyl orange in aqueous solutions under visible light illumination demonstrates a significant enhancement of photocatalytic activity of these films compared with the un-loaded films. The photocatalytic efficiency of modified TiO 2 films by this method is affected by the concentration of impregnating solution.

  12. Synthesis of aluminum nitride films by plasma immersion ion implantation-deposition using hybrid gas-metal cathodic arc gun

    International Nuclear Information System (INIS)

    Shen Liru; Fu, Ricky K.Y.; Chu, Paul K.

    2004-01-01

    Aluminum nitride (AlN) is of interest in the industry because of its excellent electronic, optical, acoustic, thermal, and mechanical properties. In this work, aluminum nitride films are deposited on silicon wafers (100) by metal plasma immersion ion implantation and deposition (PIIID) using a modified hybrid gas-metal cathodic arc plasma source and with no intentional heating to the substrate. The mixed metal and gaseous plasma is generated by feeding the gas into the arc discharge region. The deposition rate is found to mainly depend on the Al ion flux from the cathodic arc source and is only slightly affected by the N 2 flow rate. The AlN films fabricated by this method exhibit a cubic crystalline microstructure with stable and low internal stress. The surface of the AlN films is quite smooth with the surface roughness on the order of 1/2 nm as determined by atomic force microscopy, homogeneous, and continuous, and the dense granular microstructures give rise to good adhesion with the substrate. The N to Al ratio increases with the bias voltage applied to the substrates. A fairly large amount of O originating from the residual vacuum is found in the samples with low N:Al ratios, but a high bias reduces the oxygen concentration. The compositions, microstructures and crystal states of the deposited films are quite stable and remain unchanged after annealing at 800 deg. C for 1 h. Our hybrid gas-metal source cathodic arc source delivers better AlN thin films than conventional PIIID employing dual plasmas

  13. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  14. Visible light active TiO{sub 2} films prepared by electron beam deposition of noble metals

    Energy Technology Data Exchange (ETDEWEB)

    Hou Xinggang, E-mail: hou226@163.co [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma Jun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liu Andong [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China); Li Dejun; Huang Meidong; Deng Xiangyun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China)

    2010-03-15

    TiO{sub 2} films prepared by sol-gel method were modified by electron beam deposition of noble metals (Pt, Pd, and Ag). Effects of noble metals on the chemical and surface characteristics of the films were studied using XPS, TEM and UV-Vis spectroscopy techniques. Photocatalytic activity of modified TiO{sub 2} films was evaluated by studying the degradation of methyl orange dye solution under visible light UV irradiation. The result of TEM reveals that most of the surface area of TiO{sub 2} is covered by tiny particles of noble metals with diameter less than 1 nm. Broad red shift of UV-Visible absorption band of modified photocatalysts was observed. The catalytic degradation of methyl orange in aqueous solutions under visible light illumination demonstrates a significant enhancement of photocatalytic activity of these films compared with the un-loaded films. The photocatalytic efficiency of modified TiO{sub 2} films by this method is affected by the concentration of impregnating solution.

  15. The role of oxygen in the deposition of copper–calcium thin film as diffusion barrier for copper metallization

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Zhinong, E-mail: znyu@bit.edu.cn [School of Optoelectronics and Beijing Engineering Research Center of Mixed Reality and Advanced Display, Beijing Institute of Technology, Beijing 100081 (China); Ren, Ruihuang [School of Optoelectronics and Beijing Engineering Research Center of Mixed Reality and Advanced Display, Beijing Institute of Technology, Beijing 100081 (China); Xue, Jianshe; Yao, Qi; Li, Zhengliang; Hui, Guanbao [Beijing BOE Optoelectronics Technology Co., Ltd, Beijing 100176 (China); Xue, Wei [School of Optoelectronics and Beijing Engineering Research Center of Mixed Reality and Advanced Display, Beijing Institute of Technology, Beijing 100081 (China)

    2015-02-15

    Highlights: • The CuCa film as the diffusion barrier of Cu film improves the adhesion of Cu film. • The introduction of oxygen into the deposition of CuCa film is necessary to improve the adhesion of Cu film. • The CuCa alloy barrier layer deposited at oxygen atmosphere has perfect anti-diffusion between Cu film and substrate. - Abstract: The properties of copper (Cu) metallization based on copper–calcium (CuCa) diffusion barrier as a function of oxygen flux in the CuCa film deposition were investigated in view of adhesion, diffusion and electronic properties. The CuCa film as the diffusion barrier of Cu film improves the adhesion of Cu film, however, and increases the resistance of Cu film. The introduction of oxygen into the deposition of CuCa film induces the improvement of adhesion and crystallinity of Cu film, but produces a slight increase of resistance. The increased resistance results from the partial oxidation of Cu film. The annealing process in vacuum further improves the adhesion, crystallinity and conductivity of Cu film. X-ray diffraction (XRD) and Auger electron spectroscopy (AES) show that the CuCa alloy barrier layer deposited at oxygen atmosphere has perfect anti-diffusion between Cu film and substrate due to the formation of Ca oxide in the interface of CuCa/substrate.

  16. Deposition and Characterization of Thin Films on Metallic Substrates

    Science.gov (United States)

    Gatica, Jorge E.

    2005-01-01

    A CVD method was successfully developed to produce conversion coatings on aluminum alloys surfaces with reproducible results with a variety of precursors. A well defined protocol to prepare the precursor solutions formulated in a previous research was extended to other additives. It was demonstrated that solutions prepared following such a protocol could be used to systematically generate protective coatings onto aluminum surfaces. Experiments with a variety of formulations revealed that a refined deposition protocol yields reproducible conversion coatings of controlled composition. A preliminary correlation between solution formulations and successful precursors was derived. Coatings were tested for adhesion properties enhancement for commercial paints. A standard testing method was followed and clear trends were identified. Only one precursors was tested systematically. Anticipated work on other precursors should allow a better characterization of the effect of intermetallics on the production of conversion/protective coatings on metals and ceramics. The significance of this work was the practical demonstration that chemical vapor deposition (CVD) techniques can be used to systematically generate protective/conversion coating on non-ferrous surfaces. In order to become an effective approach to replace chromate-based pre- treatment processes, namely in the aerospace or automobile industry, the process parameters must be defined more precisely. Moreover, the feasibility of scale-up designs necessitates a more comprehensive characterization of the fluid flow, transport phenomena, and chemical kinetics interacting in the process. Kinetic characterization showed a significantly different effect of magnesium-based precursors when compared to iron-based precursors. Future work will concentrate on refining the process through computer simulations and further experimental studies on the effect of other transition metals to induce deposition of conversion/protective films

  17. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  18. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  19. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  20. Surface structure of ultrathin metal films deposited on copper single crystals

    International Nuclear Information System (INIS)

    Butterfield, M.T.

    2000-04-01

    Ultrathin films of Cobalt, Iron and Manganese have been thermally evaporated onto an fcc Copper (111) single crystal substrate and investigated using a variety of surface structural techniques. The small lattice mismatch between these metals and the Cu (111) substrate make them an ideal candidate for the study of the phenomena of pseudomorphic film growth. This is important for the understanding of the close relationship between film structure and magnetic properties. Growing films with the structure of their substrate rather than their bulk phase may provide an opportunity to grow materials with novel physical and magnetic properties, and hence new technological applications. Both Cobalt and Iron have been found to initially maintain a registry with the fcc Cu (111) surface in a manner consistent with pseudomorphic growth. This growth is complicated by island rather than layer by layer growth in the initials stages of the film. In both cases a change in the structure of the film seems to occur at a point where the coalescence of islands in the film may be expected to occur. When the film does change structure they do not form a perfect overlayer with the structure of their bulk counterpart. The films do contain a number of features representative of the bulk phase but also contain considerable disorder and possibly remnants of fcc (111) structure. The order present in these films can be greatly improved by annealing. Manganese appears to grow with an fcc Mn (111) lattice spacing and there is no sign of a change in structure in films of up to 4.61 ML thick. The gradual deposition and annealing of a film to 300 deg. C, with a total deposition time the same as that for a 1 ML thick film, causes a surface reconstruction to occur that is apparent in a R30 deg. (√3 x √3) LEED pattern. This is attributed to the formation of a surface alloy, which is also supported by the local expansion of the Cu lattice in the (111) direction. (author)

  1. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  2. Advantageous use of metallic cobalt in the target for pulsed laser deposition of cobalt-doped ZnO films

    Energy Technology Data Exchange (ETDEWEB)

    Ying, Minju, E-mail: mjying@bnu.edu.cn, E-mail: g.gehring@sheffield.ac.uk [Department of Physics and Astronomy, University of Sheffield, Sheffield S3 7RH (United Kingdom); Key Laboratory of Beam Technology and Material Modification of Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, Beijing 100875 (China); Blythe, Harry J.; Gerriu, Fatma M.; Fox, A. Mark; Gehring, Gillian A., E-mail: mjying@bnu.edu.cn, E-mail: g.gehring@sheffield.ac.uk [Department of Physics and Astronomy, University of Sheffield, Sheffield S3 7RH (United Kingdom); Dizayee, Wala [Department of Physics and Astronomy, University of Sheffield, Sheffield S3 7RH (United Kingdom); Department of Science, Salahaddin University, Erbil (Iraq); Heald, Steve M. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2016-08-15

    We investigate the magnetic properties of ZnCoO thin films grown by pulsed laser deposition (PLD) from targets made containing metallic Co or CoO precursors instead of the usual Co{sub 3}O{sub 4}. We find that the films grown from metallic Co precursors in an oxygen rich environment contain negligible amounts of Co metal and have a large magnetization at room temperature. Structural analysis by X-ray diffraction and magneto-optical measurements indicate that the enhanced magnetism is due, in part, from Zn vacancies that partially compensate the naturally occurring n-type defects. We conclude that strongly magnetic films of Zn{sub 0.95}Co{sub 0.05}O that do not contain metallic cobalt can be grown by PLD from Co-metal-precursor targets if the films are grown in an oxygen atmosphere.

  3. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  4. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  5. Developments in hot-filament metal oxide deposition (HFMOD)

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Trasferetti, Benedito C.; Scarminio, Jair; Davanzo, Celso U.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Bica de Moraes, Mario A.

    2008-01-01

    Hot-filament metal oxide deposition (HFMOD) is a variant of conventional hot-filament chemical vapor deposition (HFCVD) recently developed in our laboratory and successfully used to obtain high-quality, uniform films of MO x , WO x and VO x . The method employs the controlled oxidation of a filament of a transition metal heated to 1000 deg. C or more in a rarefied oxygen atmosphere (typically, of about 1 Pa). Metal oxide vapor formed on the surface of the filament is transported a few centimetres to deposit on a suitable substrate. Key system parameters include the choice of filament material and diameter, the applied current and the partial pressures of oxygen in the chamber. Relatively high film deposition rates, such as 31 nm min -1 for MoO x , are obtained. The film stoichiometry depends on the exact deposition conditions. MoO x films, for example, present a mixture of MoO 2 and MoO 3 phases, as revealed by XPS. As determined by Li + intercalation using an electrochemical cell, these films also show a colouration efficiency of 19.5 cm 2 C -1 at a wavelength of 700 nm. MO x and WO x films are promising in applications involving electrochromism and characteristics of their colouring/bleaching cycles are presented. The chemical composition and structure of VO x films examined using IRRAS (infrared reflection-absorption spectroscopy), RBS (Rutherford backscattering spectrometry) and XPS (X-ray photoelectron spectrometry) are also presented

  6. Thin films of mixed metal compounds

    Science.gov (United States)

    Mickelsen, Reid A.; Chen, Wen S.

    1985-01-01

    A compositionally uniform thin film of a mixed metal compound is formed by simultaneously evaporating a first metal compound and a second metal compound from independent sources. The mean free path between the vapor particles is reduced by a gas and the mixed vapors are deposited uniformly. The invention finds particular utility in forming thin film heterojunction solar cells.

  7. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Seveno, R. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)]. E-mail: raynald.seveno@univ-nantes.fr; Braud, A. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France); Gundel, H.W. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)

    2005-12-22

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O{sub 3}, PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO{sub 3}) by chemical solution deposition is studied. The SrRuO{sub 3} thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO{sub 3} layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 {mu}C/cm were found.

  8. Laser-assisted deposition of thin C60 films

    DEFF Research Database (Denmark)

    Schou, Jørgen; Canulescu, Stela; Fæster, Søren

    Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...

  9. Structural and superconducting characteristics of YBa2Cu3O7 films grown by fluorine-free metal-organic deposition route

    DEFF Research Database (Denmark)

    Zhao, Yue; Chu, Jingyuan; Qureishy, Thomas

    2018-01-01

    Microstructure and superconducting performance of YBa2Cu3O7 (YBCO) films deposited on LaAlO3 single crystal (LAO) substrates by a fluorine-free metal-organic deposition (FF-MOD) technique, have been studied by means of X-ray reciprocal space mapping (RSM), cross-sectional transmission electron mi...... external magnetic field at 77 K. This work offers an in-depth insight into the correlation between the microstructure and superconductivity in the MOD YBCO films.......Microstructure and superconducting performance of YBa2Cu3O7 (YBCO) films deposited on LaAlO3 single crystal (LAO) substrates by a fluorine-free metal-organic deposition (FF-MOD) technique, have been studied by means of X-ray reciprocal space mapping (RSM), cross-sectional transmission electron....... It is suggested that associated partial dislocations formed at the boundary between the stacking faults and YBCO matrix act as strong linear (or dot) pinning centers. These structural characteristics are well in line with the better superconducting performance of the low fluorine-MOD film, in particular under...

  10. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  11. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  12. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  13. Developments in hot-filament metal oxide deposition (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista (UNESP), Avenida Tres de Marco, 511, Alto de Boa Vista, 18087-180 Sorocaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Trasferetti, Benedito C. [Departamento de Policia Federal, Superintendencia Regional no Piaui, Setor Tecnico-Cientifico, Avenida Maranhao, 1022/N, 64.000-010, Teresina, PI (Brazil); Scarminio, Jair [Departamento de Fisica, Universidade Estadual de Londrina (UEL), 86051-990, Londrina, PR (Brazil); Davanzo, Celso U. [Instituto de Quimica, Universidade Estadual de Campinas (UNICAMP), 13083-970, Campinas, SP (Brazil); Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Bica de Moraes, Mario A. [Laboratorio de Processos de Plasma, Departamento de Fisica Aplicada, Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas (UNICAMP), 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Hot-filament metal oxide deposition (HFMOD) is a variant of conventional hot-filament chemical vapor deposition (HFCVD) recently developed in our laboratory and successfully used to obtain high-quality, uniform films of MO{sub x}, WO{sub x} and VO{sub x}. The method employs the controlled oxidation of a filament of a transition metal heated to 1000 deg. C or more in a rarefied oxygen atmosphere (typically, of about 1 Pa). Metal oxide vapor formed on the surface of the filament is transported a few centimetres to deposit on a suitable substrate. Key system parameters include the choice of filament material and diameter, the applied current and the partial pressures of oxygen in the chamber. Relatively high film deposition rates, such as 31 nm min{sup -1} for MoO{sub x}, are obtained. The film stoichiometry depends on the exact deposition conditions. MoO{sub x} films, for example, present a mixture of MoO{sub 2} and MoO{sub 3} phases, as revealed by XPS. As determined by Li{sup +} intercalation using an electrochemical cell, these films also show a colouration efficiency of 19.5 cm{sup 2} C{sup -1} at a wavelength of 700 nm. MO{sub x} and WO{sub x} films are promising in applications involving electrochromism and characteristics of their colouring/bleaching cycles are presented. The chemical composition and structure of VO{sub x} films examined using IRRAS (infrared reflection-absorption spectroscopy), RBS (Rutherford backscattering spectrometry) and XPS (X-ray photoelectron spectrometry) are also presented.

  14. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  15. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  16. Ion beam assisted deposition of metal-coatings on beryllium

    International Nuclear Information System (INIS)

    Tashlykov, I.S.; Tul'ev, V.V.

    2015-01-01

    Thin films were applied on beryllium substrates on the basis of metals (Cr, Ti, Cu and W) with method of the ion-assisted deposition in vacuum. Me/Be structures were prepared using 20 kV ions irradiation during deposition on beryllium neutral fraction generated from vacuum arc plasma. Rutherford back scattering and computer simulation RUMP code were applied to investigate the composition of the modified beryllium surface. Researches showed that the superficial structure is formed on beryllium by thickness ~ 50-60 nm. The covering composition includes atoms of the deposited metal (0.5-3.3 at. %), atoms of technological impurity carbon (0.8-1.8 at. %) and oxygen (6.3-9.9 at. %), atoms of beryllium from the substrate. Ion assisted deposition of metals on beryllium substrate is accompanied by radiation enhanced diffusion of metals, oxygen atoms in the substrate, out diffusion of beryllium, carbon atoms in the deposited coating and sputtering film-forming ions assists. (authors)

  17. Nanoscale leakage current measurements in metal organic chemical vapor deposition crystalline SrTiO3 films

    International Nuclear Information System (INIS)

    Rozier, Y.; Gautier, B.; Hyvert, G.; Descamps, A.; Plossu, C.; Dubourdieu, C.; Ducroquet, F.

    2009-01-01

    The properties of SrTiO 3 thin films, grown by liquid injection metal organic chemical vapor deposition on Si/SiO 2 , using a mixture of precursors, have been investigated at the nanoscale using an Atomic Force Microscope in the so-called Conductive Atomic Force Microscopy mode. Maps of the leakage currents with a nanometric resolution have been obtained on films elaborated at different temperatures and stoichiometries in order to discriminate the role of each parameter on the onset of leakage currents in the resulting layers. It appears that the higher the deposition temperature, the higher the leakage currents of the films. The mapping with a nanometric precision allows to show a heterogeneous behaviour of the surface with leaky grains and insulating boundaries. The study of films elaborated at the same temperature with different compositions supports the assumption that the leakage currents on Ti-rich layers are far higher than on Sr-rich layers

  18. The effect of sputter-deposition conditions on the coercive force in amorphous rare-earth - transition-metal thin films

    International Nuclear Information System (INIS)

    Davies, C.F.; Somekh, R.E.; Evetts, J.E.; Storey, P.A.

    1988-01-01

    The origins of the coercive force in amorphous rare earth - transition metal films have been investigated, the results being discussed in terms of how the growth conditions of the sputter-deposited films determine the pinning features which cause the coercive force. The authors have studied the variation of coercive force with film thickness and developed a model which enables a local pinning force per unit area to be deduced. This suggests that it should be possible to increase the coercive force by breaking up the microstructure with a multi-layered structure. An increase in coercive force obtained by making such structures with tungsten is described. They also report on the reduction in coercive force obtained when the films are deposited in the presence of a perpendicular magnetic field

  19. Pulsed laser deposition of metallic films on the surface of diamond particles for diamond saw blades

    International Nuclear Information System (INIS)

    Jiang Chao; Luo Fei; Long Hua; Hu Shaoliu; Li Bo; Wang Youqing

    2005-01-01

    Ti or Ni films have been deposited on the diamond particle surfaces by pulsed laser deposition. Compressive resistance of the uncoated and coated diamond particles was measured, respectively, in the experiments. The compressive resistance of the Ti-coated diamonds particles was found much higher than that of the uncoated ones. It increased by 39%. The surface morphology is observed by the metallography microscope. The surface of the uncoated diamonds particles had many hollows and flaws, while the surface of Ni-coated diamond particles was flat and smooth, and the surface of Ti-coated diamond particles had some metal masses that stood out of the surface of the Ti-coated film. The components of the metallic films of diamond particles were examined by X-ray diffractometry (XRD). TiC was found formed on the Ti-coated diamond surface, which resulted in increased surface bonding strength between the diamond particles and the Ti films. Meanwhile, TiC also favored improving the bonding strength between the coated diamond particles and the binding materials. Moreover, the bending resistance of the diamond saw blade made of Ti-coated diamond was drastically higher than that of other diamond saw blades, which also played an important role in improving the blade's cutting ability and lifetime. Therefore, it was most appropriate that the diamond saw blade was made of Ti-coated diamond particles rather than other materials

  20. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  1. Characterizations of arsenic-doped zinc oxide films produced by atmospheric metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Weng, Li-Wei, E-mail: onlyway54@hotmail.com [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming; Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Wu, Chih-Hung; Hong, Hwe-Fen; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Shen, Chin-Chang [Chemical Engineering Division, Institute of Nuclear Energy Research, Longtan Township, Taoyuan 32546, Taiwan (China)

    2013-07-15

    p-type ZnO films were prepared by atmospheric metal-organic chemical vapor deposition technique using arsine (AsH{sub 3}) as the doping source. The electrical and optical properties of arsenic-doped ZnO (ZnO:As) films fabricated at 450–600 °C with various AsH{sub 3} flow rates ranging from 8 to 21.34 μmol/min were analyzed and compared. Hall measurements indicate that stable p-type ZnO films with hole concentrations varying from 7.2 × 10{sup 15} to 5.8 × 10{sup 18} cm{sup −3} could be obtained. Besides, low temperature (17 K) photoluminescence spectra of all ZnO:As films also demonstrate the dominance of the line related to the neutral acceptor-bound exciton. Moreover, the elemental identity and chemical bonding information for ZnO:As films were examined by X-ray photoelectron spectroscopy. Based on the results obtained, the effects of doping conditions on the mechanism responsible for the p-type conduction were studied. Conclusively, a simple technique to fabricate good-quality p-type ZnO films has been recognized in this work. Depositing the film at 550 °C with an AsH{sub 3} flow rate of 13.72 μmol/min is appropriate for producing hole concentrations on the order of 10{sup 17} cm{sup −3} for it. Ultimately, by increasing the AsH{sub 3} flow rate to 21.34 μmol/min for doping and depositing the film at 600 °C, ZnO:As films with a hole concentration over 5 × 10{sup 18} cm{sup −3} together with a mobility of 1.93 cm{sup 2}V{sup −1} s{sup −1} and a resistivity of 0.494 ohm-cm can be achieved.

  2. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  3. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  4. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    Science.gov (United States)

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  5. High Precision Metal Thin Film Liftoff Technique

    Science.gov (United States)

    Brown, Ari D. (Inventor); Patel, Amil A. (Inventor)

    2015-01-01

    A metal film liftoff process includes applying a polymer layer onto a silicon substrate, applying a germanium layer over the polymer layer to create a bilayer lift off mask, applying a patterned photoresist layer over the germanium layer, removing an exposed portion of the germanium layer, removing the photoresist layer and a portion of the polymer layer to expose a portion of the substrate and create an overhanging structure of the germanium layer, depositing a metal film over the exposed portion of the substrate and the germanium layer, and removing the polymer and germanium layers along with the overlaying metal film.

  6. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  7. Metal films with imprinted nanostructures by template stripping

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    We present a novel template stripping procedure for fabricating metal films with imprinted nanostructures. The basic idea is to deposit a gold film onto a nano-structured substrate and subsequently strip the film from the substrate surface thereby revealing imprinted nanostructures in the film...... result is a thin gold film with imprinted nano-cavities....

  8. Self-organized formation of metal-carbon nanostructures by hyperthermal ion deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hannstein, I.K.

    2006-04-26

    The quasi-simultaneous deposition of mass-selected hyperthermal carbon and metal ions results in a variety of interesting film morphologies, depending on the metal used and the deposition conditions. The observed features are of the order of a few nanometres and are therefore interesting for future potential applications in the various fields of nanotechnology. The present study focuses on the structural analysis of amorphous carbon films containing either copper, silver, gold, or iron using amongst others Rutherford Backscattering Spectroscopy, High Resolution Transmission Electron Microscopy, and Energy Dispersive X-Ray Spectroscopy. The film morphologies found are as follows: copper-containing films consist of copper nanoclusters with sizes ranging from about 3 to 9 nm uniformly distributed throughout the amorphous carbon matrix. The cluster size hereby rises with the copper content of the films. The silver containing films decompose into a pure amorphous carbon film with silver agglomerates at the surface. Both, the gold- and the iron-containing films show a multilayer structure of metal-rich layers with higher cluster density separated by metal-depleted amorphous carbon layers. The layer distances are of the order of up to 15 nm in the case of gold-carbon films and 7 nm in the case of iron-carbon films. The formation of theses different structures cannot be treated in the context of conventional self-organization mechanisms basing upon thermal diffusion and equilibrium thermodynamics. Instead, an ion-induced atomic transport, sputtering effects, and the stability of small metal clusters were taken into account in order to model the structure formation processes. A similar multilayer morphology was recently also reported in the literature for metal-carbon films grown by magnetron sputtering techniques. In order to investigate, whether the mechanisms are the same as in the case of the ion beam deposited films described above, first experiments were conducted

  9. Characterization of Pb(Zr, Ti)O sub 3 thin films prepared by metal-organic chemical-vapor deposition using a solid delivery system

    CERN Document Server

    Shin, J C; Hwang, C S; Kim, H J; Lee, J M

    1999-01-01

    Pb(Zr, Ti)O sub 3 (PZT) thin films were deposited on Pt/SiO sub 2 /Si substrates by metal-organic chemical-vapor deposition technique using a solid delivery system to improve the reproducibility of the deposition. The self-regulation mechanism, controlling the Pb-content of the film, was observed to work above a substrate temperature of 620 .deg. C. Even with the self-regulation mechanism, PZT films having low leakage current were obtained only when the molar mixing ratio of the input precursors was 1

  10. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    Science.gov (United States)

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  11. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  12. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  13. Piezoelectric characterization of Pb(Zr,Ti)O3 thin films deposited on metal foil substrates by dip coating

    Science.gov (United States)

    Hida, Hirotaka; Hamamura, Tomohiro; Nishi, Takahito; Tan, Goon; Umegaki, Toshihito; Kanno, Isaku

    2017-10-01

    We fabricated the piezoelectric bimorphs composed of Pb(Zr,Ti)O3 (PZT) thin films on metal foil substrates. To efficiently inexpensively manufacture piezoelectric bimorphs with high flexibility, 1.2-µm-thick PZT thin films were directly deposited on both surfaces of 10- and 20-µm-thick bare stainless-steel (SS) foil substrates by dip coating with a sol-gel solution. We confirmed that the PZT thin films deposited on the SS foil substrates at 500 °C or above have polycrystalline perovskite structures and the measured relative dielectric constant and dielectric loss were 323-420 and 0.12-0.17, respectively. The PZT bimorphs were demonstrated by comparing the displacements of the cantilever specimens driven by single- and double-side PZT thin films on the SS foil substrates under the same applied voltage. We characterized the piezoelectric properties of the PZT bimorphs and the calculated their piezoelectric coefficient |e 31,f| to be 0.3-0.7 C/m2.

  14. Deposition of metal chalcogenide thin films by successive ionic layer

    Indian Academy of Sciences (India)

    ) method, has emerged as one of the solution methods to deposit a variety of compound materials in thin film form. The SILAR method is inexpensive, simple and convenient for large area deposition. A variety of substrates such as insulators, ...

  15. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  16. Superconducting properties of GdBa{sub 2}Cu{sub 3}O{sub y} films by metal-organic deposition using new fluorine-free complex solutions

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, T. [Department of Electrical and Electronic Engineering, Faculty of Engineering, Shizuoka University, Johoku 3-5-1, Hamamatsu, Shizuoka 432-8561 (Japan); Kita, R. [Department of Electrical and Electronic Engineering, Faculty of Engineering, Shizuoka University, Johoku 3-5-1, Hamamatsu, Shizuoka 432-8561 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan)], E-mail: terkita@ipc.shizuoka.ac.jp; Miura, O. [Tokyo Metropolitan University, Minamiosawa 1-1, Hachioji, Tokyo 192-0364 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan); Ichinose, A. [CRIEPI, Nagasaka 2-6-1, Yokosuka, Kanagawa 240-0916 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan); Matsumoto, K. [Kyushu Institute of Techonology, Sensui-cho, Tobata-ku, Kitakyushu, Hukuoka 804-8550 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan); Yoshida, Y. [Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan); Mukaida, M. [Kyushu University, Hakozaki 6-10-1, Higashi-ku 4-3-16, Fukuoka 992-8510 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan); Horii, S. [University of Tokyo, Hongo 7-3-1, Bunkyo-ku, Tokyo 113-8586 (Japan); CREST-JST, Honcho 4-1-8, Kawaguchi, Saitama 332-0012 (Japan)

    2008-09-15

    GdBa{sub 2}Cu{sub 3}O{sub y} (GdBCO) films have been prepared on LaAlO{sub 3} single-crystal substrates by metal-organic deposition (MOD) using new fluorine-free complex solutions consisting of metal 2-ethylhexanates (2-EH) and metal naphthenates. The dispersion of T{sub c} values for GdBCO films improved by using a complex solution, rather than only a solution of metal naphthenates. c-axis oriented GdBCO films with flat surfaces were grown under lower calcining temperatures and higher firing temperatures than in the process using only metal naphthenates. The T{sub c} and J{sub c} of the GdBCO films were 91.2 K and 0.61 MA/cm{sup 2}, respectively, at 77 K at self-field.

  17. Adhesion of rhodium films on metallic substrates

    International Nuclear Information System (INIS)

    Marot, L.; Covarel, G.; Tuilier, M.-H.; Steiner, R.; Oelhafen, P.

    2008-01-01

    Rhodium coated metallic films were prepared by magnetron sputtering on metallic substrates. All films were elaborated in same conditions on copper, molybdenum and stainless steel. Adhesion strength tests were carried out by scratch test. The results reveal that the adhesion strength between the film and the substrate is influenced by the hardness of the substrate. Increase of deposition temperature improves the adhesion of the coating. In addition, pre-treatment of substrates by a filtered cathodic vacuum arc and the layer thickness have has some effects on the final adhesion strength

  18. Adhesion of rhodium films on metallic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marot, L. [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)], E-mail: laurent.marot@unibas.ch; Covarel, G.; Tuilier, M.-H. [Laboratoire Mecanique, Materiaux et Procedes de Fabrication, Pole STIC-SPI-Math 61 rue Albert Camus, Universite de Haute-Alsace, F-68093 - Mulhouse Cedex (France); Steiner, R.; Oelhafen, P. [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2008-09-01

    Rhodium coated metallic films were prepared by magnetron sputtering on metallic substrates. All films were elaborated in same conditions on copper, molybdenum and stainless steel. Adhesion strength tests were carried out by scratch test. The results reveal that the adhesion strength between the film and the substrate is influenced by the hardness of the substrate. Increase of deposition temperature improves the adhesion of the coating. In addition, pre-treatment of substrates by a filtered cathodic vacuum arc and the layer thickness have has some effects on the final adhesion strength.

  19. Preparation of SrIrO{sub 3} thin films by using metal-organic aerosol deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Esser, Sebastian; Schneider, Melanie; Moshnyaga, Vasily; Gegenwart, Philipp [1. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany)

    2013-07-01

    The interplay between spin-orbit coupling and electronic correlations could lead to interesting novel states in iridium oxide materials. We focus on the perovskite phase of SrIrO{sub 3} because Moon et al. [1] showed by using optical spectroscopy and first-principles calculations that the last member of the Ruddlesden-Popper series Sr{sub n+1}Ir{sub n}O{sub 3n+1} (n = ∞) is close to the Mott transition. By using metal-organic aerosol deposition technique we have grown SrIrO{sub 3} thin films on (111)-oriented SrTiO{sub 3} substrates. The cubic symmetry of the SrTiO{sub 3} substrate ensured that the SrIrO{sub 3} thin film grew in the monoclinic perovskite phase. The X-ray diffraction results suggest that SrIrO{sub 3} thin films in perovskite structure were obtained and these show out of plane epitaxy with monoclinic (002){sub m}-orientation. The temperature dependence of the electrical resistivity of these SrIrO{sub 3} thin films were investigated and metallic behavior was observed down to 50 K.

  20. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  1. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  2. The influence of annealing on yttrium oxide thin film deposited by reactive magnetron sputtering: Process and microstructure

    Directory of Open Access Journals (Sweden)

    Y. Mao

    2017-01-01

    Full Text Available Yttrium oxide thin films were prepared by reactive magnetron sputtering in different deposition condition with various oxygen flow rates. The annealing influence on the yttrium oxide film microstructure is investigated. The oxygen flow shows a hysteresis behavior on the deposition rate. With a low oxygen flow rate, the so called metallic mode process with a high deposition rate (up to 1.4µm/h was achieved, while with a high oxygen flow rate, the process was considered to be in the poisoned mode with an extremely low deposition rate (around 20nm/h. X-ray diffraction (XRD results show that the yttrium oxide films that were produced in the metallic mode represent a mixture of different crystal structures including the metastable monoclinic phase and the stable cubic phase, while the poisoned mode products show a dominating monoclinic phase. The thin films prepared in metallic mode have relatively dense structures with less porosity. Annealing at 600 °C for 15h, as a structure stabilizing process, caused a phase transformation that changes the metastable monoclinic phase to stable cubic phase for both poisoned mode and metallic mode. The composition of yttrium oxide thin films changed from nonstoichiometric to stoichiometric together with a lattice parameter variation during annealing process. For the metallic mode deposition however, cracks were formed due to the thermal expansion coefficient difference between thin film and the substrate material which was not seen in poisoned mode deposition. The yttrium oxide thin films that deposited in different modes give various application options as a nuclear material.

  3. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  4. Deposition of dielectric films on silicon using a fore-vacuum plasma electron source

    Energy Technology Data Exchange (ETDEWEB)

    Zolotukhin, D. B.; Tyunkov, A. V.; Yushkov, Yu. G., E-mail: yuyushkov@gmail.com [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Oks, E. M. [Tomsk State University of Control Systems and Radioelectronics, 40 Lenin Ave., Tomsk 634050 (Russian Federation); Institute of High Current Electronics SB RAS, 2/3, Akademichesky Ave., Tomsk 634055 (Russian Federation)

    2016-06-15

    We describe an experiment on the use of a fore-vacuum-pressure, plasma-cathode, electron beam source with current up to 100 mA and beam energy up to 15 keV for deposition of Mg and Al oxide films on Si substrates in an oxygen atmosphere at a pressure of 10 Pa. The metals (Al and Mg) were evaporated and ionized using the electron beam with the formation of a gas-metal beam-plasma. The plasma was deposited on the surface of Si substrates. The elemental composition of the deposited films was analyzed.

  5. Electric and ferroelectric properties of PZT/BLT multilayer films prepared by photochemical metal-organic deposition

    Science.gov (United States)

    Park, Hyeong-Ho; Lee, Hong-Sub; Park, Hyung-Ho; Hill, Ross H.; Hwang, Yun Taek

    2009-01-01

    The electric and ferroelectric properties of lead zirconate titanate (PZT) and lanthanum-substituted bismuth titanate (BLT) multilayer films prepared using photosensitive precursors were characterized. The electric and ferroelectric properties were investigated by studying the effect of the stacking order of four ferroelectric layers of PZT or BLT in 4-PZT, PZT/2-BLT/PZT, BLT/2-PZT/BLT, and 4-BLT multilayer films. The remnant polarization values of the 4-BLT and BLT/2-PZT/BLT multilayer films were 12 and 17 μC/cm 2, respectively. Improved ferroelectric properties of the PZT/BLT multilayer films were obtained by using a PZT intermediate layer. The films which contained a BLT layer on the Pt substrate had improved leakage currents of approximately two orders of magnitude and enhanced fatigue resistances compared to the films with a PZT layer on the Pt substrate. These improvements are due to the reduced number of defects and space charges near the Pt electrodes. The PZT/BLT multilayer films prepared by photochemical metal-organic deposition (PMOD) possessed enhanced electric and ferroelectric properties, and allow direct patterning to fabricate micro-patterned systems without dry etching.

  6. Electric and ferroelectric properties of PZT/BLT multilayer films prepared by photochemical metal-organic deposition

    International Nuclear Information System (INIS)

    Park, Hyeong-Ho; Lee, Hong-Sub; Park, Hyung-Ho; Hill, Ross H.; Hwang, Yun Taek

    2009-01-01

    The electric and ferroelectric properties of lead zirconate titanate (PZT) and lanthanum-substituted bismuth titanate (BLT) multilayer films prepared using photosensitive precursors were characterized. The electric and ferroelectric properties were investigated by studying the effect of the stacking order of four ferroelectric layers of PZT or BLT in 4-PZT, PZT/2-BLT/PZT, BLT/2-PZT/BLT, and 4-BLT multilayer films. The remnant polarization values of the 4-BLT and BLT/2-PZT/BLT multilayer films were 12 and 17 μC/cm 2 , respectively. Improved ferroelectric properties of the PZT/BLT multilayer films were obtained by using a PZT intermediate layer. The films which contained a BLT layer on the Pt substrate had improved leakage currents of approximately two orders of magnitude and enhanced fatigue resistances compared to the films with a PZT layer on the Pt substrate. These improvements are due to the reduced number of defects and space charges near the Pt electrodes. The PZT/BLT multilayer films prepared by photochemical metal-organic deposition (PMOD) possessed enhanced electric and ferroelectric properties, and allow direct patterning to fabricate micro-patterned systems without dry etching.

  7. Photovoltaic properties of in-doped CDTE thin films deposited on metallic substrates

    International Nuclear Information System (INIS)

    Wagah F Mohamad; Khalid K Mohammed

    2006-01-01

    CDTE is a promising photovoltaic material due to its nearly optimum band gap and high optical absorption coefficient. This study looks into the effect of indium doping of the CdTe thin film deposited on stainless steel substrate. The conventional cells are usually manufactured on glass substrate and offer no weight advantage over single crystal cells. Since the metal foil support can be as thin as (40-60) μm and the weight saving is significant. The spectral response of the photo current with and without indium doping was studied in detail and compared with theory. The sub gap response of the resulted structure is particularly strong and extends to wavelengths up to 1000 nm

  8. Gas Sensing Properties of Metal Doped WO3 Thin Film Sensors Prepared by Pulsed Laser Deposition and DC Sputtering Process

    Science.gov (United States)

    Bhuiyan, Md. Mosharraf Hossain; Ueda, Tsuyoshi; Ikegami, Tomoaki; Ebihara, Kenji

    2006-10-01

    Tungsten trioxide (WO3) thin films gas sensors were prepared by the KrF excimer pulsed laser deposition (PLD) method. The films were prepared on the quartz glass, silicon and also on the Al2O3 sensor substrates with platinum interdigitated electrodes. The effect of doping of the platinum (Pt), palladium (Pd) or gold (Au) on the WO3 thin film was also investigated. These metals were doped to the WO3 thin film by the DC sputtering process during the PLD. The substrate temperature and the oxygen pressure were 400 °C and 100 mTorr, respectively, during the deposition. The films were characterized by atomic force microscopy (AFM) and X-ray diffraction (XRD). The sensitivity of the prepared sensors to 60 ppm NO gas was examined using the two terminal resistance method in a chamber at atmospheric pressure and operating temperatures of 25-350 °C. The sensitivity of the WO3 thin films doped with Pt, Pd, or Au was found to be higher than that of the undoped WO3 thin film.

  9. Metal oxide targets produced by the polymer-assisted deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, Mitch A., E-mail: mitch@berkeley.ed [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Ali, Mazhar N.; Chang, Noel N.; Parsons-Moss, T. [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Ashby, Paul D. [Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Gates, Jacklyn M. [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Stavsetra, Liv [Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Gregorich, Kenneth E.; Nitsche, Heino [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States)

    2010-02-11

    The polymer-assisted deposition (PAD) method was used to create crack-free homogenous metal oxide films for use as targets in nuclear science applications. Metal oxide films of europium, thulium, and hafnium were prepared as models for actinide oxides. Films produced by a single application of PAD were homogenous and uniform and ranged in thickness from 30 to 320 nm. Reapplication of the PAD method (six times) with a 10% by weight hafnium(IV) solution resulted in an equally homogeneous and uniform film with a total thickness of 600 nm.

  10. Metal oxide targets produced by the polymer-assisted deposition method

    International Nuclear Information System (INIS)

    Garcia, Mitch A.; Ali, Mazhar N.; Chang, Noel N.; Parsons-Moss, T.; Ashby, Paul D.; Gates, Jacklyn M.; Stavsetra, Liv; Gregorich, Kenneth E.; Nitsche, Heino

    2010-01-01

    The polymer-assisted deposition (PAD) method was used to create crack-free homogenous metal oxide films for use as targets in nuclear science applications. Metal oxide films of europium, thulium, and hafnium were prepared as models for actinide oxides. Films produced by a single application of PAD were homogenous and uniform and ranged in thickness from 30 to 320 nm. Reapplication of the PAD method (six times) with a 10% by weight hafnium(IV) solution resulted in an equally homogeneous and uniform film with a total thickness of 600 nm.

  11. Effect of the substrate on the properties of ZnO-MgO thin films grown by atmospheric pressure metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atohuer@hotmail.com [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Dominguez-Crespo, M.A. [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Brachetti-Sibaja, S.B. [Alumna del postgrado en Tecnologia Avanzada del CICATA-IPN, Unidad Altamira IPN, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Arenas-Alatorre, J. [Instituto de Fisica, UNAM, Apartado Postal 20-364, 01000, D.F. (Mexico); Rodriguez-Pulido, A. [Unidad Profesional Adolfo Lopez Mateos, Luis Enrique Erro s/n, 07738, D. F. (Mexico)

    2011-07-01

    The ZnO-MgO alloys possess attractive properties for possible applications in optoelectronic and display devices; however, the optical properties are strongly dependent on the deposition parameters. In this work, the effect of the glassy and metallic substrates on the structural, morphological and optical properties of ZnO-MgO thin films using atmospheric pressure metal-organic chemical vapor deposition was investigated at relatively low deposition temperature, 500 deg. C. Magnesium and zinc acetylacetonates were used as the metal-organic source. X-ray diffraction experiments provided evidence that the kind of substrates cause a deviation of c-axis lattice constant due to the constitution of a oxide mixture (ZnO and MgO) in combination with different intermetallic compounds(Mg{sub 2}Zn{sub 11} and Mg{sub 4}Zn{sub 7}) in the growth films. The substitutional and interstitial sites of Mg{sup 2+} instead of Zn{sup 2+} ions in the lattice are the most probable mechanism to form intermetallic compounds. The optical parameters as well as thickness of the films were calculated by Spectroscopic Ellipsometry using the classical dispersion model based on the sum of the single and double Lorentz and Drude oscillators in combination with Kato-Adachi equations, as well as X-ray reflectivity.

  12. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  13. A comparison of surface properties of metallic thin film photocathodes

    CERN Document Server

    Mistry, Sonal; Valizadeh, Reza; Jones, L.B; Middleman, Keith; Hannah, Adrian; Militsyn, B.L; Noakes, Tim

    2017-01-01

    In this work the preparation of metal photocathodes by physical vapour deposition magnetron sputtering has been employed to deposit metallic thin films onto Cu, Mo and Si substrates. The use of metallic cathodes offers several advantages: (i) metal photocathodes present a fast response time and a relative insensitivity to the vacuum environment (ii) metallic thin films when prepared and transferred in vacuum can offer smoother and cleaner emitting surfaces. The photocathodes developed here will ultimately be used in S-band Normal Conducting RF (NCRF) guns such as that used in VELA (Versatile Electron Linear Accelerator) and the proposed CLARA (Compact Linear Accelerator for Research and Applications) Free Electron Laser test facility. The samples grown on Si substrates were used to investigate the morphology and thickness of the film. The samples grown onto Cu and Mo substrates were analysed and tested as photocathodes in a surface characterisation chamber, where X-Ray Photoelectron spectroscopy (XPS) was emp...

  14. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  15. Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jun Beom; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, Gyeongsan-si 712-749 (Korea, Republic of); Han, Won Seok [UP Chemical 576, Chilgoedong, Pyeongtaek-si, Gyeonggi-do 459-050 (Korea, Republic of); Lee, Do-Joong [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States)

    2016-07-15

    Tungsten carbides (WC{sub x}) thin films were deposited on thermally grown SiO{sub 2} substrates by atomic layer deposition (ALD) using a fluorine- and nitrogen-free W metallorganic precursor, tungsten tris(3-hexyne) carbonyl [W(CO)(CH{sub 3}CH{sub 2}C ≡ CCH{sub 2}CH{sub 3}){sub 3}], and N{sub 2} + H{sub 2} plasma as the reactant at deposition temperatures between 150 and 350 °C. The present ALD-WC{sub x} system showed an ALD temperature window between 200 and 250 °C, where the growth rate was independent of the deposition temperature. Typical ALD characteristics, such as self-limited film growth and a linear dependency of the film grown on the number of ALD cycles, were observed, with a growth rate of 0.052 nm/cycle at a deposition temperature of 250 °C. The ALD-WC{sub x} films formed a nanocrystalline structure with grains, ∼2 nm in size, which consisted of hexagonal W{sub 2}C, WC, and nonstoichiometric cubic β-WC{sub 1−x} phase. Under typical deposition conditions at 250 °C, an ALD-WC{sub x} film with a resistivity of ∼510 μΩ cm was deposited and the resistivity of the ALD-WC{sub x} film could be reduced even further to ∼285 μΩ cm by further optimizing the reactant pulsing conditions, such as the plasma power. The step coverage of ALD-WC{sub x} film was ∼80% on very small sized and dual trenched structures (bottom width of 15 nm and aspect ratio of ∼6.3). From ultraviolet photoelectron spectroscopy, the work function of the ALD-WC{sub x} film was determined to be 4.63 eV. Finally, the ultrathin (∼5 nm) ALD-WC{sub x} film blocked the diffusion of Cu, even up to 600 °C, which makes it a promising a diffusion barrier material for Cu interconnects.

  16. The interaction of fingermark deposits on metal surfaces and potential ways for visualisation.

    Science.gov (United States)

    Wightman, G; Emery, F; Austin, C; Andersson, I; Harcus, L; Arju, G; Steven, C

    2015-04-01

    The interaction of fingermark deposits on metals has been examined by a variety of techniques. Visualisation by film growth has been the main area of investigation through: thermal oxidation, anodising, peroxide solution, and the interaction with vapour of iodine and ammonium sulphide. Corrosion of the underlying metal has also been examined as an alternative means of visualisation. Confocal microscopy was used to look at the film thickness and corrosion products around the prints. Scanning electron microscopy and energy dispersion of X-rays (SEM-EDX) examined a number of metal samples to investigate film growth and the elemental distribution. The observations suggest that differential oxidation was occurring as well as corrosion into the metal. Fingermark deposits on metals can corrode into the metal depending on the reactivity of the metal and leave a recoverable mark. However, fingermark deposits can also alter the rate of chemical reaction of the substrate metal by oxidation. In some cases organic matter can inhibit reaction, both when forming an oxide layer and when corroding the metal. However, signs of third level detail from pore contact may also be visible and the monovalent ions from salts could also influence film growth. Whilst further work would need to be carried out to decide whether any of these techniques may have application in fingermark recovery, this study does suggest that fingermarks on metals may be recoverable after incidents such as fires or immersion in water. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  17. Characterization of quaternary metal oxide films by synchrotron x-ray fluorescence microprobe

    Energy Technology Data Exchange (ETDEWEB)

    Perry, D.L.; Thompson, A.C.; Russo, R.E. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States)] [and others

    1997-04-01

    A high demand for thin films in industrial technology has been responsible for the creation of new techniques for the fabrication of such films. One highly effective method for the syntheses of variable composition thin films is pulsed-laser deposition (PLD). The technique has a large number of characteristics which make it an attractive approach for making films. It offers rapid deposition rates, congruent material transfer, simple target requirements from which to make the films, in situ multilayer deposition, and no gas composition or pressure requirements. Additionally, the technique can also afford crystalline films and films with novel structures. Pulsed-laser deposition can be used to make films of semiconductors, insulators, high-temperature superconductors, diamond-like films, and piezoelectric materials. Quaternary metal oxides involving calcium, nickel, and potassium have been shown to be quite effective in the catalysis of coal gasification and methane coupling. One approach to incorporating all three of the metal oxides into one phase is the use of laser ablation to prepare films of the catalysts so that they may be used for coatings, smooth surfaces on which to conduct detailed studies of gas-solid interface reactions that are involved in catalytic processes, and other applications. The problem of dissimilar boiling points of the three metal oxides system is overcome, since the laser ablation process effects the volatilization of all three components from the laser target essentially simultaneously. There is strong interest in gaining an understanding of the chemical and morphological aspects of the films that are deposited. Phenomena such as lattice defects and chemical heterogeneity are of interest. The experimental data discussed here are restricted to the matrix homogeneity of the films themselves for films which were void of microparticles.

  18. Synthesis and characterization of nanoporous strontium-doped lanthanum cobaltite thin film using metal organic chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jun-Sik [Department of Mechanical Convergence Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr [Department of Mechanical Convergence Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2016-01-29

    By employing strontium as a dopant of lanthanum cobaltite (LaCoO{sub 3}), strontium-doped lanthanum cobaltite (La{sub 1−x}Sr{sub x}CoO{sub 3−δ}, LSC) thin film was fabricated using a metal organic chemical solution deposition (MOCSD) method. Lanthanum nitrate hexahydrate [La(NO{sub 3}){sub 3}6H{sub 2}O], strontium acetate [Sr(CH{sub 3}COO){sub 2}], and cobalt acetate tetrahydrate [Co(CH{sub 3}COO){sub 2}4H{sub 2}O] were used as precursors. The coating process was performed through a spin coating method on a substrate, which were then heat treated under various temperature conditions. Electrical properties, microstructures, and crystalline structures with respect to sintering temperature were analyzed. According to these analyses, the change in surface morphology, phase shift, and conductive properties were closely related, which could explain their respective behaviors. Furthermore, sintered strontium-doped lanthanum perovskite oxides showed various conductivities according to the amount of dopant. With the molar ratio of strontium that is stoichiometrically equivalent to lanthanum (La{sub 0.5}Sr{sub 0.5}CoO{sub 3−δ}) thin film showed the best conductivity in the sintering temperature range of 650–700 °C, with perovskite phases formed at this temperature condition. As the electrically conductive properties of the thin film are a function of thickness, the films were coated several times to a thickness of approximately 300 nm, with the lowest resistivity (approximately 9.06 × 10{sup −4} Ω cm) observed at the optimized sintering temperature and solution composition. - Highlights: • LSC thin film was fabricated by metal organic chemical solution deposition (MOCSD). • The film shows good agreement on the electrical conductivity of LSC by conventional methods. • The properties of LSC film are influenced by the surface morphology and crystalline phase. • Optimal molar ratio of strontium for the highest conductivity was investigated.

  19. Deposition of polymer films in low pressure reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.

    1981-12-11

    Sputtering and plasma polymerization have found wide application as deposition techniques and have been extensively studied. R.f. sputtering of plastics, in particular of polytetrafluoroethylene, are discussed in the first part of this paper. In the second part, the general concept of plasma polymerization is considered and some examples of applications of plasma-polymerized films are presented. Special attention is paid to fluorocarbon and fluorochlorocarbon films. It has been suggested that these films could be used in thin film capacitors or as passivating layers for integrated circuits. In the optical field some of these films have been used as convenient moisture-resistant, protective and antireflecting coatings. Their mechanical properties have also been examined with the intention of using them for reducing surface friction. More recently some metals have been incorporated into fluorocarbon films to obtain layers with novel properties. Experiments in which films were prepared by the plasma polymerization of certain Freons are described. Some electrical and optical properties of these films are presented. High dielectric losses were obtained in a metal/film/metal sandwich configuration and the possible influence of ambient atmospheric effects on these measurements is discussed.

  20. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  1. Corrosion-resistant amorphous metallic films of Mo49Cr33B18 alloy

    Science.gov (United States)

    Ramesham, R.; Distefano, S.; Fitzgerald, D.; Thakoor, A. P.; Khanna, S. K.

    1987-01-01

    Corrosion-resistant amorphous metallic alloy films of Mo49Cr33B18 with a crystallization temperature of 590 C were deposited onto glass and quartz substrates by magnetron sputter-quench technique. The amorphous nature of the films was confirmed by their diffuse X-ray diffraction patterns. The deposited films are densely packed (zone T) and exhibit low stress and good adhesion to the substrate. Corrosion current of as-deposited coating of MoCrB amorphous metallic alloy is approximately three orders of magnitude less than the corrosion current of 304 stainless steel in 1N H2SO4 solution.

  2. Electrochemical and Antimicrobial Properties of Diamondlike Carbon-Metal Composite Films

    Energy Technology Data Exchange (ETDEWEB)

    MORRISON, M. L.; BUCHANAN, R. A.; LIAW, P. K.; BERRY, C. J.; BRIGMON, R.; RIESTER, L.; JIN, C.; NARAYAN, R. J.

    2005-05-11

    Implants containing antimicrobial metals may reduce morbidity, mortality, and healthcare costs associated with medical device-related infections. We have deposited diamondlike carbon-silver (DLC-Ag), diamondlike carbon-platinum (DLC-Pt), and diamondlike carbon-silver-platinum (DLC-AgPt) thin films using a multicomponent target pulsed laser deposition process. Transmission electron microscopy of the DLC-silver and DLC-platinum composite films revealed that the silver and platinum self-assemble into nanoparticle arrays within the diamondlike carbon matrix. The diamondlike carbon-silver film possesses hardness and Young's modulus values of 37 GPa and 331 GPa, respectively. The diamondlike carbon-metal composite films exhibited passive behavior at open-circuit potentials. Low corrosion rates were observed during testing in a phosphate-buffered saline (PBS) electrolyte. In addition, the diamondlike carbon-metal composite films were found to be immune to localized corrosion below 1000 mV (SCE). DLC-silver-platinum films demonstrated exceptional antimicrobial properties against Staphylococcus bacteria. It is believed that a galvanic couple forms between platinum and silver, which accelerates silver ion release and provides more robust antimicrobial activity. Diamondlike carbon-silver-platinum films may provide unique biological functionalities and improved lifetimes for cardiovascular, orthopaedic, biosensor, and implantable microelectromechanical systems.

  3. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. High-rate deposition of Ta-doped SnO2 films by reactive magnetron sputtering using a Sn–Ta metal-sintered target

    International Nuclear Information System (INIS)

    Muto, Y.; Nakatomi, S.; Oka, N.; Iwabuchi, Y.; Kotsubo, H.; Shigesato, Y.

    2012-01-01

    Ta-doped SnO 2 films were deposited on glass substrate (either unheated or heated at 200 °C) by reactive magnetron sputtering with a Sn–Ta metal-sintered target using a plasma control unit (PCU) and mid-frequency (mf, 50 kHz) unipolar pulsing. The PCU feedback system precisely controlled the flow of the reactive and sputtering gases (O 2 and Ar, respectively) by monitoring either discharge impedance or the plasma emission of the atomic O* line at 777 nm. The planar target was connected to the switching unit, which was operated in unipolar pulse mode. Power density on the target was maintained at 4.4 W cm −2 during deposition. The lowest obtained resistivity for the films deposited on heated substrate was 6.4 × 10 −3 Ωcm, where the deposition rate was 250 nm min −1 .

  5. Non-hydrolytic metal oxide films for perovskite halide overcoating and stabilization

    Science.gov (United States)

    Martinson, Alex B.; Kim, In Soo

    2017-09-26

    A method of protecting a perovskite halide film from moisture and temperature includes positioning the perovskite halide film in a chamber. The chamber is maintained at a temperature of less than 200 degrees Celsius. An organo-metal compound is inserted into the chamber. A non-hydrolytic oxygen source is subsequently inserted into the chamber. The inserting of the organo-metal compound and subsequent inserting of the non-hydrolytic oxygen source into the chamber is repeated for a predetermined number of cycles. The non-hydrolytic oxygen source and the organo-metal compound interact in the chamber to deposit a non-hydrolytic metal oxide film on perovskite halide film. The non-hydrolytic metal oxide film protects the perovskite halide film from relative humidity of greater than 35% and a temperature of greater than 150 degrees Celsius, respectively.

  6. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Marián

    2015-07-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  7. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Mariá n; Luká č, František; Vlach, Martin; Prochá zka, Ivan; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Gemma, Ryota; Čí žek, Jakub

    2015-01-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  8. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  9. Method for nanomodulation of metallic thin films following the replica-antireplica process based on porous alumina membranes

    Energy Technology Data Exchange (ETDEWEB)

    Palma, J.L. [Departamento de Ciencias Básicas, Facultad de Ingeniería, Universidad Central de Chile, Santa Isabel 1186, 8330601 Santiago (Chile); Center for the Development of Nanoscience and Nanotechnology (CEDENNA), 9170124 Santiago (Chile); Denardin, J.C.; Escrig, J. [Departamento de Física, Universidad de Santiago de Chile (USACH), Avda. Ecuador 3493, 9170124 Santiago (Chile); Center for the Development of Nanoscience and Nanotechnology (CEDENNA), 9170124 Santiago (Chile)

    2017-03-15

    In this paper we have introduced a method for modulation of metallic thin films by sputtering of metals on anodized aluminum templates. Using a high deposition rate during deposition of the non-magnetic metal on the Al pattern, we have separated the two metallic surfaces and, thus, imprinted a pattern of nanohills on a non-magnetic metallic film, such as Au, Ag or Cu. The morphology of the nanostructured metallic films was determined by scanning electron microscopy. Thus, we have confirmed that the ordering degree of the Al template remained after the replication process. Additionally, and as an example of use of these films, we have prepared Supermalloy thin films deposited by sputtering onto these nanostructured non-magnetic metals. The room temperature magnetic behavior of these thin films is also studied. Interestingly, we have found that when the external magnetic field is applied out of plane of the substrate, the coercivity increases linearly as we increase the radius of the nanohills. These soft magnetic films can open new opportunities for magnetic field sensor applications. - Highlights: • A very soft magnetic film is investigated on ordered nanohills. • It is possible to imprint a metallic pattern directly from the etched aluminum foil. • These nanopatterned substrates add an additional degree of freedom. • A method for modulation of metallic thin films.

  10. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  11. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  12. POLYMER COMPOSITE FILMS WITH SIZE-SELECTED METAL NANOPARTICLES FABRICATED BY CLUSTER BEAM TECHNIQUE

    DEFF Research Database (Denmark)

    Ceynowa, F. A.; Chirumamilla, Manohar; Popok, Vladimir

    2017-01-01

    Formation of polymer films with size-selected silver and copper nanoparticles (NPs) is studied. Polymers are prepared by spin coating while NPs are fabricated and deposited utilizing a magnetron sputtering cluster apparatus. The particle embedding into the films is provided by thermal annealing...... after the deposition. The degree of immersion can be controlled by the annealing temperature and time. Together with control of cluster coverage the described approach represents an efficient method for the synthesis of thin polymer composite layers with either partially or fully embedded metal NPs....... Combining electron beam lithography, cluster beam deposition and thermal annealing allows to form ordered arrays of metal NPs on polymer films. Plasticity and flexibility of polymer host and specific properties added by coinage metal NPs open a way for different applications of such composite materials...

  13. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  15. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  16. Direct current magnetron sputtering deposition of InN thin films

    International Nuclear Information System (INIS)

    Cai Xingmin; Hao Yanqing; Zhang Dongping; Fan Ping

    2009-01-01

    In this paper, InN thin films were deposited on Si (1 0 0) and K9 glass by reactive direct current magnetron sputtering. The target was In metal with the purity of 99.999% and the gases were Ar (99.999%) and N 2 (99.999%). The properties of InN thin films were studied. Scanning electron microscopy (SEM) shows that the film surface is very rough and energy dispersive X-ray spectroscopy (EDX) shows that the film contains In, N and very little O. X-ray diffraction (XRD) and Raman scattering reveal that the film mainly contains hexagonal InN. The four-probe measurement shows that InN film is conductive. The transmission measurement demonstrates that the transmission of InN deposited on K9 glass is as low as 0.5% from 400 nm to 800 nm.

  17. Rapid processing method for solution deposited YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P.

    2004-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm 2 current density (J c ) YBCO films, from multiple hours to ∼20 s in atmospheric pressure air. High quality, ∼0.2 μm thick YBCO films with J c (77 K) values ≥2 MA/cm 2 at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO 3 . This process has also enabled J c (77 K)=1.1 MA/cm 2 YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable ∼$10/kA m solution deposited YBCO coated conductor wires

  18. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  19. Capability of focused Ar ion beam sputtering for combinatorial synthesis of metal films

    International Nuclear Information System (INIS)

    Nagata, T.; Haemori, M.; Chikyow, T.

    2009-01-01

    The authors examined the use of focused Ar ion beam sputtering (FAIS) for combinatorial synthesis. A Langmuir probe revealed that the electron temperature and density for FAIS of metal film deposition was lower than that of other major combinatorial thin film growth techniques such as pulsed laser deposition. Combining FAIS with the combinatorial method allowed the compositional fraction of the Pt-Ru binary alloy to be systematically controlled. Pt-Ru alloy metal film grew epitaxially on ZnO substrates, and crystal structures changed from the Pt phase (cubic structure) to the Ru phase (hexagonal structure) in the Pt-Ru alloy phase diagram. The alloy film has a smooth surface, with the Ru phase, in particular, showing a clear step-and-terrace structure. The combination of FAIS and the combinatorial method has major potential for the fabrication of high quality composition-spread metal film.

  20. Capability of focused Ar ion beam sputtering for combinatorial synthesis of metal films

    Energy Technology Data Exchange (ETDEWEB)

    Nagata, T.; Haemori, M.; Chikyow, T. [Advanced Electric Materials Center, National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2009-05-15

    The authors examined the use of focused Ar ion beam sputtering (FAIS) for combinatorial synthesis. A Langmuir probe revealed that the electron temperature and density for FAIS of metal film deposition was lower than that of other major combinatorial thin film growth techniques such as pulsed laser deposition. Combining FAIS with the combinatorial method allowed the compositional fraction of the Pt-Ru binary alloy to be systematically controlled. Pt-Ru alloy metal film grew epitaxially on ZnO substrates, and crystal structures changed from the Pt phase (cubic structure) to the Ru phase (hexagonal structure) in the Pt-Ru alloy phase diagram. The alloy film has a smooth surface, with the Ru phase, in particular, showing a clear step-and-terrace structure. The combination of FAIS and the combinatorial method has major potential for the fabrication of high quality composition-spread metal film.

  1. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  2. Thermal oxidation of Zr–Cu–Al–Ni amorphous metal thin films

    International Nuclear Information System (INIS)

    Oleksak, R.P.; Hostetler, E.B.; Flynn, B.T.; McGlone, J.M.; Landau, N.P.; Wager, J.F.; Stickle, W.F.; Herman, G.S.

    2015-01-01

    The initial stages of thermal oxidation for Zr–Cu–Al–Ni amorphous metal thin films were investigated using X-ray photoelectron spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. The as-deposited films had oxygen incorporated during sputter deposition, which helped to stabilize the amorphous phase. After annealing in air at 300 °C for short times (5 min) this oxygen was found to segregate to the surface or buried interface. Annealing at 300 °C for longer times leads to significant composition variation in both vertical and lateral directions, and formation of a surface oxide layer that consists primarily of Zr and Al oxides. Surface oxide formation was initially limited by back-diffusion of Cu and Ni ( 30 min). The oxidation properties are largely consistent with previous observations of Zr–Cu–Al–Ni metallic glasses, however some discrepancies were observed which could be explained by the unique sample geometry of the amorphous metal thin films. - Highlights: • Thermal oxidation of amorphous Zr–Cu–Al–Ni thin films was investigated. • Significant short-range inhomogeneities were observed in the amorphous films. • An accumulation of Cu and Ni occurs at the oxide/metal interface. • Diffusion of Zr was found to limit oxide film growth.

  3. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  4. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  5. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  6. Structural studies of Langmuir-Blodgett films containing rare-earth metal cations

    DEFF Research Database (Denmark)

    Khomutov, G.B.; Antipina, M.N.; Bykov, I.V.

    2002-01-01

    Comparative structural study of gadolinium stearate Langmuir-Blodgett (LB) films formed by monolayer deposition from either aqueous gadolinium acetate or gadolinium chloride solutions have been carried out. Structure of the films was characterized by X-ray diffraction, Fourier transform infrared...... spectroscopy, high-energy electron diffraction, atomic force microscopy and scanning electron microscopy. It was found that when subphase pH had a value at which all monolayer stearic acid molecules were ionized and bound with Gd3+ cations (pH > 5), the LB films deposited from gadolinium acetate and gadolinium....... The data obtained indicate that the control of multivalent metal cations complexes formation in the subphase and at the monolayer surface can be an instrument for optimization, the conditions to form metal-containing LB film with regulated structure and properties....

  7. Microstructural variation in titanium oxide thin films deposited by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Pandian, Ramanathaswamy; Natarajan, Gomathi; Kamruddin, M.; Tyagi, A.K.

    2013-01-01

    We report on the microstructural evolution of titanium oxide thin films deposited by reactive DC magnetron sputtering using titanium metal target. By varying the ratio of sputter-gas mixture containing argon, oxygen and nitrogen various phases of titanium oxide, almost pure rutile, rutile-rich and anatase-rich nano-crystalline, were deposited on Si substrates at room temperature. Using high-resolution scanning electron microscopy, X-ray diffraction and micro-Raman techniques the microstructure of the films were revealed. The relationship between the microstructure of the films and the oxygen partial pressure during sputtering is discussed

  8. Boron-doped zinc oxide thin films for large-area solar cells grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chen, X.L.; Xu, B.H.; Xue, J.M.; Zhao, Y.; Wei, C.C.; Sun, J.; Wang, Y.; Zhang, X.D.; Geng, X.H.

    2007-01-01

    Boron-doped zinc oxide (ZnO:B) films were grown by metal organic chemical vapor deposition using diethylzinc (DEZn), and H 2 O as reactant gases and diborane (B 2 H 6 ) as an n-type dopant gas. The structural, electrical and optical properties of ZnO films doped at different B 2 H 6 flow rates were investigated. X-ray diffraction spectra and scanning electron microscopy images indicate that boron-doping plays an important role on the microstructure of ZnO films, which induced textured morphology. With optimized conditions, low sheet resistance (∼ 30 Ω/□), high transparency (> 85% in the visible light and infrared range) and high mobility (17.8 cm 2 V -1 s -1 ) were obtained for 700-nm ZnO:B films deposited on 20 cm x 20 cm glass substrates at the temperature of 443 K. After long-term exposure in air, the ZnO:B films also showed a better electrical stability than the un-doped samples. With the application of ZnO:B/Al back contacts, the short circuit current density was effectively enhanced by about 3 mA/cm 2 for a small area a-Si:H cell and a high efficiency of 9.1% was obtained for a large-area (20 cm x 20 cm) a-Si solar module

  9. Chemical solution deposition of YBCO thin film by different polymer additives

    International Nuclear Information System (INIS)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y.; Zhang, H.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T c = 90 K as well as high J c (0 T, 77 K) over 3 MA/cm 2

  10. Chemical solution deposition of YBCO thin film by different polymer additives

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)], E-mail: yzhao@swjtu.edu.cn

    2008-09-15

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T{sub c} = 90 K as well as high J{sub c} (0 T, 77 K) over 3 MA/cm{sup 2}.

  11. Pulse-reverse electrodeposition for mesoporous metal films: combination of hydrogen evolution assisted deposition and electrochemical dealloying.

    Science.gov (United States)

    Cherevko, Serhiy; Kulyk, Nadiia; Chung, Chan-Hwa

    2012-01-21

    Hydrogen evolution assisted electrodeposition is a new bottom-up technique allowing the fast and simple synthesis of nanometals. Electrochemical dealloying is a top-down approach with the same purpose. In this work, we show that a combination of these two methods in sequence by pulse-reverse electrodeposition can be used to prepare high-surface-area nanostructured metals. Highly porous adherent platinum is obtained by the deposition of CuPt alloy during the cathodic cycles and the selective dissolution of copper during the anodic cycles. The convection created by the movement of the hydrogen bubbles increases the deposition rate and removes the dissolved copper ions from the diffusion layer, which ensures the deposition of a film with the same stoichiometry throughout the whole process. Due to the relatively high ratio of copper atoms on the surface in the as-deposited layer, it is proposed that the dealloying kinetics is significantly higher than that usually observed during the dealloying process in a model system. The proposed approach has several advantages over other methods, such as a very high growth rate and needlessness of any post-treatment processes. A detailed analysis of the effect of pulse-reverse waveform parameters on the properties of the films is presented. Mesoporous platinum with pores and ligaments having characteristic sizes of less than 10 nm, an equivalent surface area of up to ca. 220 m(2) cm(-3), and a roughness factor of more than 1000 is fabricated.

  12. Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments

    International Nuclear Information System (INIS)

    Georgieva, V; Bogaerts, A; Saraiva, M; Depla, D; Jehanathan, N; Lebelev, O I

    2009-01-01

    Using a molecular dynamics model the crystallinity of Mg x Al y O z thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al 2 O 3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the Mg-Al-O film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline Mg-Al-O films have a MgO structure with Al atoms in between.

  13. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  14. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  15. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  16. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  17. Synthesis of 2D Metal Chalcogenide Thin Films through the Process Involving Solution-Phase Deposition.

    Science.gov (United States)

    Giri, Anupam; Park, Gyeongbae; Yang, Heeseung; Pal, Monalisa; Kwak, Junghyeok; Jeong, Unyong

    2018-04-24

    2D metal chalcogenide thin films have recently attracted considerable attention owing to their unique physicochemical properties and great potential in a variety of applications. Synthesis of large-area 2D metal chalcogenide thin films in controllable ways remains a key challenge in this research field. Recently, the solution-based synthesis of 2D metal chalcogenide thin films has emerged as an alternative approach to vacuum-based synthesis because it is relatively simple and easy to scale up for high-throughput production. In addition, solution-based thin films open new opportunities that cannot be achieved from vacuum-based thin films. Here, a comprehensive summary regarding the basic structures and properties of different types of 2D metal chalcogenides, the mechanistic details of the chemical reactions in the synthesis of the metal chalcogenide thin films, recent successes in the synthesis by different reaction approaches, and the applications and potential uses is provided. In the last perspective section, the technical challenges to be overcome and the future research directions in the solution-based synthesis of 2D metal chalcogenides are discussed. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    International Nuclear Information System (INIS)

    Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.

    2016-01-01

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  19. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)

    2016-03-31

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  20. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  2. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  3. Stacking effect on the ferroelectric properties of PZT/PLZT multilayer thin films formed by photochemical metal-organic deposition

    International Nuclear Information System (INIS)

    Park, Hyeong-Ho; Park, Hyung-Ho; Hill, Ross H.

    2004-01-01

    The ferroelectric properties of lead zirconate titanate (PZT) and lanthanum-doped lead zirconate titanate (PLZT) multilayer films formed by photochemical metal-organic deposition (PMOD) using photosensitive precursors have been characterized. The substitution of La for Pb was reported to induce improved ferroelectric properties, especially fatigue resistance, through the reduction of oxygen vacancies. The relation between La-substitution and the ferroelectric properties was investigated by characterization of the effect of the order of stacking four ferroelectric layers of PZT or PLZT in the multilayer films 4-PZT, PZT/2-PLZT/PZT, PLZT/2-PZT/PLZT, and 4-PLZT. The films with the PLZT layer at the top and bottom showed an improvement in the fatigue resistance. It was revealed that defect dipole such as O vacancy was reduced at the ferroelectric/Pt interface by doping with La. Also, the bottom layer, just on Pt substrate had a significant influence on the surface microstructure and growth orientation of ferroelectric film

  4. Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source

    Energy Technology Data Exchange (ETDEWEB)

    Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek

    2014-11-28

    In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.

  5. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  6. Solution-deposited CIGS thin films for ultra-low-cost photovoltaics

    Science.gov (United States)

    Eldada, Louay A.; Hersh, Peter; Stanbery, Billy J.

    2010-09-01

    We describe the production of photovoltaic modules with high-quality large-grain copper indium gallium selenide (CIGS) thin films obtained with the unique combination of low-cost ink-based precursors and a reactive transfer printing method. The proprietary metal-organic inks contain a variety of soluble Cu-, In- and Ga- multinary selenide materials; they are called metal-organic decomposition (MOD) precursors, as they are designed to decompose into the desired precursors. Reactive transfer is a two-stage process that produces CIGS through the chemical reaction between two separate precursor films, one deposited on the substrate and the other on a printing plate in the first stage. In the second stage, these precursors are rapidly reacted together under pressure in the presence of heat. The use of two independent thin films provides the benefits of independent composition and flexible deposition technique optimization, and eliminates pre-reaction prior to the synthesis of CIGS. In a few minutes, the process produces high quality CIGS films, with large grains on the order of several microns, and preferred crystallographic orientation, as confirmed by compositional and structural analysis by XRF, SIMS, SEM and XRD. Cell efficiencies of 14% and module efficiencies of 12% were achieved using this method. The atmospheric deposition processes include slot die extrusion coating, ultrasonic atomization spraying, pneumatic atomization spraying, inkjet printing, direct writing, and screen printing, and provide low capital equipment cost, low thermal budget, and high throughput.

  7. Depositing nanometer-sized particles of metals onto carbon allotropes

    Science.gov (United States)

    Watson, Kent A. (Inventor); Fallbach, Michael J. (Inventor); Ghose, Sayata (Inventor); Smith, Joseph G. (Inventor); Delozier, Donavon M. (Inventor); Connell, John W. (Inventor)

    2010-01-01

    A process for depositing nanometer-sized metal particles onto a substrate in the absence of aqueous solvents, organic solvents, and reducing agents, and without any required pre-treatment of the substrate, includes preparing an admixture of a metal compound and a substrate by dry mixing a chosen amount of the metal compound with a chosen amount of the substrate; and supplying energy to the admixture in an amount sufficient to deposit zero valance metal particles onto the substrate. This process gives rise to a number of deposited metallic particle sizes which may be controlled. The compositions prepared by this process are used to produce polymer composites by combining them with readily available commodity and engineering plastics. The polymer composites are used as coatings, or they are used to fabricate articles, such as free-standing films, fibers, fabrics, foams, molded and laminated articles, tubes, adhesives, and fiber reinforced articles. These articles are well-suited for many applications requiring thermal conductivity, electrical conductivity, antibacterial activity, catalytic activity, and combinations thereof.

  8. Pulsed Laser Deposition of BaTiO3 Thin Films on Different Substrates

    Directory of Open Access Journals (Sweden)

    Yaodong Yang

    2010-01-01

    Full Text Available We have studied the deposition of BaTiO3 (BTO thin films on various substrates. Three representative substrates were selected from different types of material systems: (i SrTiO3 single crystals as a typical oxide, (ii Si wafers as a semiconductor, and (iii Ni foils as a magnetostrictive metal. We have compared the ferroelectric properties of BTO thin films obtained by pulsed laser deposition on these diverse substrates.

  9. On the modification of metal/ceramic interfaces by low energy ion/atom bombardment during film growth

    International Nuclear Information System (INIS)

    Rigsbee, J.M.; Scott, P.A.; Knipe, R.K.; Hock, V.F.

    1986-01-01

    Elemental Cu and Ti films have been deposited onto ceramic substrates with a plasma-aided physical vapor deposition (ion-plating) process. This paper discusses how the structure and chemistry of the metallic film and the metal/ceramic interface are modified by low energy ion and neutral atom bombardment. Emphasis is placed on determining how low energy ion/neutral atom bombardment affects the strength of the metal/ceramic interface. Analyses of the film, interface and substrate regions have employed scanning Auger microprobe, secondary ion mass spectroscopy, SEM/STEM-energy dispersive X-ray and TEM/STEM imaging and microdiffraction techniques. (Auth.)

  10. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  11. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  12. Atmospheric pressure chemical vapour deposition of vanadium arsenide thin films via the reaction of VCl4 or VOCl3 with tBuAsH2

    International Nuclear Information System (INIS)

    Thomas, Tegan; Blackman, Christopher S.; Parkin, Ivan P.; Carmalt, Claire J.

    2013-01-01

    Thin films of vanadium arsenide were deposited via the dual-source atmospheric pressure chemical vapour deposition reactions of VCl 4 or VOCl 3 with t BuAsH 2 . Using the vanadium precursor VCl 4 , films were deposited at substrate temperatures of 550–600 °C, which were black-gold in appearance and were found to be metal-rich with high levels of chlorine incorporation. The use of VOCl 3 as the vanadium source resulted in films being deposited between 450 and 600 °C and, unlike when using VCl 4 , were silver in appearance. The films deposited using VOCl 3 demonstrated vanadium to arsenic ratios close to 1:1, and negligible chlorine incorporation. Films deposited using either vanadium precursor were identified as VAs using powder X-ray diffraction and possessed borderline metallic/semiconductor resistivities. - Highlights: • Formation of VAs films via atmospheric pressure chemical vapour deposition. • Films formed using VCl 4 or VOCl 3 and t BuAsH 2 . • Powder X-ray diffraction showed that crystalline VAs films were deposited. • Films from VOCl 3 had a V:As ratio close to 1 with negligible Cl incorporation. • Films were silver and possessed borderline metallic/semiconductor resistivities

  13. Pulsed-laser deposition and growth studies of Bi3Fe5O12 thin films

    International Nuclear Information System (INIS)

    Lux, Robert; Heinrich, Andreas; Leitenmeier, Stephan; Koerner, Timo; Herbort, Michael; Stritzker, Bernd

    2006-01-01

    Magneto-optical garnets are attractive because of their high Faraday rotation and low optical loss in the near infrared. Therefore their use is generally in nonreciprocal devices, i.e., as optical isolators in optical communication. In this paper we present data concerning the deposition of Bi 3 Fe 5 O 12 (BIG) thin films on (100) and (111) Gd 3 Ga 5 O 12 substrates using pulsed-laser deposition. Laser-induced processes on the surface of the oxide target used for ablation were analyzed and numerous films were deposited. We found the BIG film quality to be strongly affected by oxygen pressure, laser energy density, and the Bi/Fe film ratio, whereas temperature had a minor influence. We also investigated the BIG-film deposition using a target pressed from metallic Bi and Fe powders and found information on the growth behavior of BIG. We report on details of the film deposition and film properties determined by environmental scanning electron microscopy, energy dispersive x-ray analysis, Rutherford backscattering spectroscopy, and x-ray diffraction. In addition, we determined the Faraday rotation of the films

  14. Electroless deposition of NiCrB diffusion barrier layer film for ULSI-Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yuechun [School of Materials Science and Engineering, Yunnan University, Kunming (China); Chen, Xiuhua, E-mail: chenxh@ynu.edu.cn [School of Materials Science and Engineering, Yunnan University, Kunming (China); Ma, Wenhui [National Engineering Laboratory of Vacuum Metallurgy, Kunming University of Science and Technology, Kunming (China); Shang, Yudong; Lei, Zhengtao; Xiang, Fuwei [School of Materials Science and Engineering, Yunnan University, Kunming (China)

    2017-02-28

    Highlights: • In this paper, the electroless deposited NiCrB thin film was mainly in the form of NiB, CrB{sub 2} compounds and elementary Ni. • The sheet resistance of NiCrB thin film was 3.043 Ω/□, it is smaller than that of the widely used Ta, TaN and TiN diffusion barrier layers. • Annealing experiments showed that the failure temperature of NiCrB thin film regarding Cu diffusion was 900 °C. • NiCrB barrier layer crystallized after 900 °C annealing, Cu grains arrived at Si-substrate through grain boundaries, resulting in the formation of Cu{sub 3}Si. • Eelectroless deposited NiCrB film also had good oxidation resistance, it is expected to become an anti-oxidant layer of copper interconnection. - Abstract: NiCrB films were deposited on Si substrates using electroless deposition as a diffusion barrier layer for Cu interconnections. Samples of the prepared NiCrB/SiO{sub 2}/Si and NiCrB/Cu/NiCrB/SiO{sub 2}/Si were annealed at temperatures ranging from 500 °C to 900 °C. The reaction mechanism of the electroless deposition of the NiCrB film, the failure temperature and the failure mechanism of the NiCrB diffusion barrier layer were investigated. The prepared samples were subjected to XRD, XPS, FPP and AFM to determine the phases, composition, sheet resistance and surface morphology of samples before and after annealing. The results of these analyses indicated that the failure temperature of the NiCrB barrier film was 900 °C and the failure mechanism led to crystallization and grain growth of the NiCrB barrier layer after high temperature annealing. It was found that this process caused Cu grains to reach Si substrate through the grain boundaries, and then the reaction between Cu and Si resulted in the formation of highly resistive Cu{sub 3}Si.

  15. Improvement in crystallization and electrical properties of barium strontium titanate thin films by gold doping using metal-organic deposition method

    International Nuclear Information System (INIS)

    Wang, H.-W.; Nien, S.-W.; Lee, K.-C.; Wu, M.-C.

    2005-01-01

    The effect of gold (Au) on the crystallization, dielectric constant and leakage current density of barium strontium titanate (BST) thin films was investigated. BST thin films with various gold concentrations were prepared via a metal-organic deposition process. The X-ray diffraction shows enhanced crystallization as well as expanded lattice constants for the gold-doped BST films. Thermal analysis reveals that the gold dopant induces more complete decomposition of precursor for the doped films than those of undoped ones. The leakage current density of BST films is greatly reduced by the gold dopant over a range of biases (1-5 V). The distribution of gold was confirmed by electron energy loss spectroscopy and found to be inside the BST grains, not in the grain-boundaries. Gold acted as a catalyst, inducing the nucleation of crystallites and improving the crystallinity of the structure. Its addition is shown to be associated to the improvement of the electrical properties of BST films

  16. Development of a suppression method for deposition of radioactive cobalt after chemical decontamination: Confirmation of the Suppression Mechanism with Preoxidized Ferrite Film for Deposition of Radioactive Cobalt

    International Nuclear Information System (INIS)

    Ito, Tsuyoshi; Hosokawa, Hideyuki; Nagase, Makoto; Aizawa, Motohiro; Fuse, Motomasa

    2012-09-01

    Recently, chemical decontamination at the beginning of a periodical inspection is applied to many Japanese boiling water reactor (BWR) plants in order to reduce radiation exposure. In the chemical decontamination, the oxides that have incorporated 60 Co are dissolved using reductive and oxidative chemical reagents. Some of the piping stainless steel (SS) base metal is exposed to the reactor water after this decontamination. The oxide film growth rate of the piping during plant operation just after the decontamination is higher than that just before it. Therefore, there is a possibility that the deposition amount of 60 Co on the piping just after decontamination is higher than that just before the chemical decontamination. The Hi-F Coat (Hitachi ferrite coating) process has been developed to lower recontamination after the chemical decontamination. In this process, a fine Fe 3 O 4 coating film is formed on the piping SS base metal in aqueous solution at 363 K using three chemical reagents: ferrous ion, oxidant, and pH adjuster. The growth rate of the corrosion oxide film that incorporated 60 Co on the piping during plant operation is suppressed by the fine ferrite film that blocks both diffusion of oxidant in the reactor water to the SS base metal and metal ions in the oxide film to the reactor water. As a result, the amount of 60 Co deposition is suppressed by the Hi-F coating film. In a previous report, we found that the Hi-F Coat process lowered the amount of 60 Co to 1/3 that for non-coated specimens. To improve the suppression of 60 Co deposition further, we combined the Hi-F Coat process with a pre-oxidation step which we named the pre-oxidized Hi-F Coat process. In laboratory experiments, using the pre-oxidized Hi-F Coat process we found the deposited amount of 60 Co was 1/10 that for non-coated specimens. By combining the Hi-F Coat process with the pre-oxidation step, the suppression effect of 60 Co deposition was three times higher than that of the Hi

  17. Calculation of the magnetic anisotropy energy and finite-temperature magnetic properties of transition-metal films

    International Nuclear Information System (INIS)

    Garibay-Alonso, R; Villasenor-Gonzalez, P; Dorantes-Davila, J; Pastor, G M

    2004-01-01

    The magnetic anisotropy energy at the interface (IMAE) of Co films deposited on the Pd(111) surface are determined in the framework of a self-consistent, real-space tight-binding method at zero temperature. Significant spin moments are induced at the Pd atoms at the interface which have an important influence on the observed reorientation transitions as a function of Co film thickness. Film-substrate hybridizations are therefore crucial for the magneto-anisotropic behaviour of thin transition-metal films deposited on metallic non-magnetic substrates. Furthermore, using a real-space recursive expansion of the local Green function and within the virtual-crystal approximation we calculate the magnetization curves and the Curie temperature T C for free-standing Fe films

  18. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  19. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  20. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  1. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  2. Ion assisted deposition of thermally evaporated Ag and Al films

    International Nuclear Information System (INIS)

    Hwangbo, C.K.; Lingg, L.J.; Lehan, J.P.; Macleod, H.A.; Makous, J.L.; Kim, S.Y.; University of Arizona, Physics Department, Tucson, Arizona 85721; Aju University, Physics Department, Suwon, Korea)

    1989-01-01

    Optical, electrical, and microstructural effects of Ar ion bombardment and Ar incorporation on thermally evaporated Ag and Al thin films are investigated. The results show that as the momentum supplied to the growing films by the bombarding ions per arriving metal atom increases, the refractive index at 632.8 nm increases and the extinction coefficient decreases, lattice spacing expands, grain size decreases, electrical resistivity increases, and trapped Ar increases slightly. In Ag films, stress reverses from tensile to compressive and in Al films compressive stress increases. In the Al films the change in optical constants can be explained by the variation in void volume. The reversal of stress from tensile to compressive in Ag films requires a threshold level of momentum. The increase in electrical resistivity is related to the decrease in grain size and increase in trapped Ar in both types of film. Many of these properties correlate well with the momentum transferred, suggesting that the momentum is an important physical parameter in describing the influence of ion beam on growing thin films and determining the characteristics of thin metal films prepared by ion assisted deposition

  3. Deposition and consolidation of porous ceramic films for membrane separation

    DEFF Research Database (Denmark)

    Elmøe, Tobias Dokkedal; Tricoli, Antonio; Johannessen, Tue

    The deposition of porous ceramic films for membrane separation can be done by several processes such as thermophoresis [1], dip-coating [2] and spray pyrolysis [3]. Here we present a high-speed method, in which ceramic nano-particles form a porous film by filtration on top of a porous ceramic...... substrate [4]. Ceramic nano-particles are generated in a flame, using either a premixed (gas) flame, in which a metal-oxide precursor is evaporated in an N2 stream, which is combusted with methane and air, or using a flame spray pyrolysis, in which a liquid metal-oxide precursor is sprayed through a nozzle...

  4. Characterization of nanostructured ZnO thin films deposited through vacuum evaporation

    Directory of Open Access Journals (Sweden)

    Jose Alberto Alvarado

    2015-04-01

    Full Text Available This work presents a novel technique to deposit ZnO thin films through a metal vacuum evaporation technique using colloidal nanoparticles (average size of 30 nm, which were synthesized by our research group, as source. These thin films had a thickness between 45 and 123 nm as measured by profilometry. XRD patterns of the deposited thin films were obtained. According to the HRSEM micrographs worm-shaped nanostructures are observed in samples annealed at 600 °C and this characteristic disappears as the annealing temperature increases. The films obtained were annealed from 25 to 1000 °C, showing a gradual increase in transmittance spectra up to 85%. The optical band gaps obtained for these films are about 3.22 eV. The PL measurement shows an emission in the red and in the violet region and there is a correlation with the annealing process.

  5. Rapid processing method for solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P

    2004-02-01

    YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm{sup 2} current density (J{sub c}) YBCO films, from multiple hours to {approx}20 s in atmospheric pressure air. High quality, {approx}0.2 {mu}m thick YBCO films with J{sub c} (77 K) values {>=}2 MA/cm{sup 2} at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO{sub 3}. This process has also enabled J{sub c} (77 K)=1.1 MA/cm{sup 2} YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable {approx}$10/kA m solution deposited YBCO coated conductor wires.

  6. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  7. Effects of accelerated degradation on metal supported thin film-based solid oxide fuel cell

    DEFF Research Database (Denmark)

    Reolon, R. P.; Sanna, S.; Xu, Yu

    2018-01-01

    A thin film-based solid oxide fuel cell is deposited on a Ni-based metal porous support by pulsed laser deposition with a multi-scale-graded microstructure design. The fuel cell, around 1 μm in thickness, is composed of a stabilized-zirconia/doped-ceria bi-layered dense electrolyte and nanostruct......A thin film-based solid oxide fuel cell is deposited on a Ni-based metal porous support by pulsed laser deposition with a multi-scale-graded microstructure design. The fuel cell, around 1 μm in thickness, is composed of a stabilized-zirconia/doped-ceria bi-layered dense electrolyte......, electrochemical performances are steady, indicating the stability of the cell. Under electrical load, a progressive degradation is activated. Post-test analysis reveals both mechanical and chemical degradation of the cell. Cracks and delamination of the thin films promote a significant nickel diffusion and new...

  8. Control of in-plane texture of body centered cubic metal thin films

    International Nuclear Information System (INIS)

    Harper, J.M.; Rodbell, K.P.; Colgan, E.G.; Hammond, R.H.

    1997-01-01

    We show that dramatically different in-plane textures can be produced in body centered cubic (bcc) metal thin films deposited on amorphous substrates under different deposition conditions. The crystallographic orientation distribution of polycrystalline bcc metal thin films on amorphous substrates often has a strong left-angle 110 right-angle fiber texture, indicating that {110} planes are parallel to the substrate plane. When deposition takes place under bombardment by energetic ions or atoms at an off-normal angle of incidence, the left-angle 110 right-angle fiber texture develops an in-plane texture, indicating nonrandom azimuthal orientations of the crystallites. Three orientations in Nb films have been observed under different deposition geometries, in which the energetic particle flux coincides with channeling directions in the bcc crystal structure. In-plane orientations in Mo films have also been obtained in magnetron sputtering systems with various configurations. These are described, and an example is given in which the in-plane orientation of Mo films deposited in two different in-line magnetron sputtering systems differs by a 90 degree rotation. In these two cases, there is a strong left-angle 110 right-angle fiber texture, but the in-plane left-angle 100 right-angle direction is oriented parallel to the scan direction in one system, and perpendicular to the scan direction in the other system. The conditions which produce such different in-plane textures in two apparently similar sputtering systems are discussed. copyright 1997 American Institute of Physics

  9. Effect of annealing on electrical properties of plasmatron deposited ZnO films

    International Nuclear Information System (INIS)

    Joa, Sang Beom; Penkov, Oteksiy V.; Plaksin, Vadim Yu; Mansur, Rakib; Kim, Ji Hun; Lee, Heon Ju

    2009-01-01

    Transparent conductive zinc oxide (ZnO) has been extensively studied in recent several years because they have very interesting properties. Besides this, zinc oxide is non-poisonous, abundant and cheap material. ZnO films are employed in different applications like transparent conductive layers in solar cells, protective coatings and so on. Wide industrial application of the ZnO films requires of development of cheap, effective and scalable technology. Typically used technology like RF sputtering, pyrolysis and metal-organic CVD don't completely satisfy the industrial requirements. In our previous publications the new perspective ZnO deposition technology based DC Arc Plasmatron was described. This technology has several advantages (low cost, high deposition rate, low substrate temperature). Currently, films deposited using this technology has can be used only as protective or insulation coatings because of very high resistance. Applying of plasmatron technology in the microelectronics or solar cell production requires the improvement of electrical properties of the films. This can be achieved by optimization of deposition parameters, using of doping, or by post-deposition treatment such as annealing, or by combination of mentioned. It was shown that proposed technology can be used for the deposition of pure ZnO film with good electrical and optical properties. Proposed technology has several disadvantages which can be overcome in the near-term outlook

  10. Phase Evolution of YBa2Cu3O7-x films by all-chemical solution deposition route for coated conductors

    DEFF Research Database (Denmark)

    Yue, Zhao; Tang, Xiao; Wu, Wei

    2014-01-01

    In order to understand the all-chemical-solution-deposition (CSD) processes for manufacturing coated conductors, we investigated the phase evolution of YBa2Cu3O7 (YBCO) films deposited by a low-fluorine metal-organic solution deposition (LF-MOD) method on CSD derived Ce0.9La0.1O2/Gd2Zr2O7/Ni......W. It is shown that the phase transition from the pyrolyzed film to fully converted YBCO film in the LF-MOD process is similar to that in typical trifluoroacetates-metal organic deposition (TFA-MOD) processes even though the amount of TFA in the solution is reduced by almost one half compared with typical TFA...

  11. Hydrazine-based deposition route for device-quality CIGS films

    International Nuclear Information System (INIS)

    Mitzi, David B.; Yuan, Min; Liu, Wei; Kellock, Andrew J.; Chey, S. Jay; Gignac, Lynne; Schrott, Alex G.

    2009-01-01

    A simple solution-based approach for depositing CIGS (Cu-In-Ga-Se/S) absorber layers is discussed, with an emphasis on film characterization, interfacial properties and integration into photovoltaic devices. The process involves incorporating all metal and chalcogenide components into a single hydrazine-based solution, spin coating a precursor film, and heat treating in an inert atmosphere, to form the desired CIGS film with up to micron-scaled film thickness and grain size. PV devices (glass/Mo/CIGS/CdS/i-ZnO/ITO) employing the spin-coated CIGS and using processing temperatures below 500 deg. C have yielded power conversion efficiencies of up to 10% (AM 1.5 illumination), without the need for a post-CIGS-deposition treatment in a gaseous Se source or a cyanide-based bath etch. Short-duration low-temperature (T < 200 deg. C ) oxygen treatment of completed devices is shown to have a positive impact on the performance of initially underperforming cells, thereby enabling better performance in devices prepared at temperatures below 500 deg. C

  12. Hydrazine-based deposition route for device-quality CIGS films

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B. [IBM T. J. Watson Research Center, P. O. Box 218, Yorktown Heights, NY 10598 (United States)], E-mail: dmitzi@us.ibm.com; Yuan, Min; Liu, Wei [IBM T. J. Watson Research Center, P. O. Box 218, Yorktown Heights, NY 10598 (United States); Kellock, Andrew J [IBM Almaden Research Center, 650 Harry Rd, San Jose, CA 95120 (United States); Chey, S Jay; Gignac, Lynne; Schrott, Alex G [IBM T. J. Watson Research Center, P. O. Box 218, Yorktown Heights, NY 10598 (United States)

    2009-02-02

    A simple solution-based approach for depositing CIGS (Cu-In-Ga-Se/S) absorber layers is discussed, with an emphasis on film characterization, interfacial properties and integration into photovoltaic devices. The process involves incorporating all metal and chalcogenide components into a single hydrazine-based solution, spin coating a precursor film, and heat treating in an inert atmosphere, to form the desired CIGS film with up to micron-scaled film thickness and grain size. PV devices (glass/Mo/CIGS/CdS/i-ZnO/ITO) employing the spin-coated CIGS and using processing temperatures below 500 deg. C have yielded power conversion efficiencies of up to 10% (AM 1.5 illumination), without the need for a post-CIGS-deposition treatment in a gaseous Se source or a cyanide-based bath etch. Short-duration low-temperature (T < 200 deg. C ) oxygen treatment of completed devices is shown to have a positive impact on the performance of initially underperforming cells, thereby enabling better performance in devices prepared at temperatures below 500 deg. C.

  13. Novel composite cBN-TiN coating deposition method: structure and performance in metal cutting

    International Nuclear Information System (INIS)

    Russell, W.C.; Malshe, A.P.; Yedave, S.N.; Brown, W.D.

    2001-01-01

    Cubic boron nitride coatings are under development for a variety of applications but stabilization of the pure cBN form and adhesion of films deposited by PVD and ion-based methods has been difficult. An alternative method for depositing a composite cBN-TiN film has been developed for wear related applications. The coating is deposited in a two-stage process utilizing ESC (electrostatic spray coating) and CVI (chemical vapor infiltration). Fully dense films of cBN particles evenly dispersed in a continuous TiN matrix have been developed. Testing in metal cutting has shown an increase in tool life (turning - 4340 steel) of three to seven times, depending of machining parameters, in comparison with CVD deposited TiN films. (author)

  14. Template-assisted electrostatic spray deposition as a new route to mesoporous, macroporous, and hierarchically porous oxide films.

    Science.gov (United States)

    Sokolov, S; Paul, B; Ortel, E; Fischer, A; Kraehnert, R

    2011-03-01

    A novel film coating technique, template-assisted electrostatic spray deposition (TAESD), was developed for the synthesis of porous metal oxide films and tested on TiO(2). Organic templates are codeposited with the titania precursor by electrostatic spray deposition and then removed during calcination. Resultant films are highly porous with pores casted by uniformly sized templates, which introduced a new level of control over the pore morphology for the ESD method. Employing the amphiphilic block copolymer Pluronic P123, PMMA latex spheres, or a combination of the two, mesoporous, macroporous, and hierarchically porous TiO(2) films are obtained. Decoupled from other coating parameters, film thickness can be controlled by deposition time or depositing multiple layers while maintaining the coating's structure and integrity.

  15. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  16. Dense Fe cluster-assembled films by energetic cluster deposition

    International Nuclear Information System (INIS)

    Peng, D.L.; Yamada, H.; Hihara, T.; Uchida, T.; Sumiyama, K.

    2004-01-01

    High-density Fe cluster-assembled films were produced at room temperature by an energetic cluster deposition. Though cluster-assemblies are usually sooty and porous, the present Fe cluster-assembled films are lustrous and dense, revealing a soft magnetic behavior. Size-monodispersed Fe clusters with the mean cluster size d=9 nm were synthesized using a plasma-gas-condensation technique. Ionized clusters are accelerated electrically and deposited onto the substrate together with neutral clusters from the same cluster source. Packing fraction and saturation magnetic flux density increase rapidly and magnetic coercivity decreases remarkably with increasing acceleration voltage. The Fe cluster-assembled film obtained at the acceleration voltage of -20 kV has a packing fraction of 0.86±0.03, saturation magnetic flux density of 1.78±0.05 Wb/m 2 , and coercivity value smaller than 80 A/m. The resistivity at room temperature is ten times larger than that of bulk Fe metal

  17. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  18. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    International Nuclear Information System (INIS)

    Yadav, Harish Kumar; Gupta, Vinay

    2012-01-01

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  19. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Harish Kumar; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2012-05-15

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  20. How Does a SILAR CdSe Film Grow? Tuning the Deposition Steps to Suppress Interfacial Charge Recombination in Solar Cells.

    Science.gov (United States)

    Becker, Matthew A; Radich, James G; Bunker, Bruce A; Kamat, Prashant V

    2014-05-01

    Successive ionic layer adsorption and reaction (SILAR) is a popular method of depositing the metal chalcogenide semiconductor layer on the mesoscopic metal oxide films for designing quantum-dot-sensitized solar cells (QDSSCs) or extremely thin absorber (ETA) solar cells. While this deposition method exhibits higher loading of the light-absorbing semiconductor layer than direct adsorption of presynthesized colloidal quantum dots, the chemical identity of these nanostructures and the evolution of interfacial structure are poorly understood. We have now analyzed step-by-step SILAR deposition of CdSe films on mesoscopic TiO2 nanoparticle films using X-ray absorption near-edge structure analysis and probed the interfacial structure of these films. The film characteristics interestingly show dependence on the order in which the Cd and Se are deposited, and the CdSe-TiO2 interface is affected only during the first few cycles of deposition. Development of a SeO2 passivation layer in the SILAR-prepared films to form a TiO2/SeO2/CdSe junction facilitates an increase in photocurrents and power conversion efficiencies of quantum dot solar cells when these films are integrated as photoanodes in a photoelectrochemical solar cell.

  1. Transparent and conductive electrodes by large-scale nano-structuring of noble metal thin-films

    DEFF Research Database (Denmark)

    Linnet, Jes; Runge Walther, Anders; Wolff, Christian

    2018-01-01

    grid, and nano-wire thin-films. The indium and carbon films do not match the chemical stability nor the electrical performance of the noble metals, and many metal films are not uniform in material distribution leading to significant surface roughness and randomized transmission haze. We demonstrate...... solution-processed masks for physical vapor-deposited metal electrodes consisting of hexagonally ordered aperture arrays with scalable aperture-size and spacing in an otherwise homogeneous noble metal thin-film that may exhibit better electrical performance than carbon nanotube-based thin-films...... for equivalent optical transparency. The fabricated electrodes are characterized optically and electrically by measuring transmittance and sheet resistance. The presented methods yield large-scale reproducible results. Experimentally realized thin-films with very low sheet resistance, Rsh = 2.01 ± 0.14 Ω...

  2. Hydrogen ratios and profiles in deposited amorphous and polycrystalline films and in metals using nuclear techniques

    International Nuclear Information System (INIS)

    Benenson, R.E.; Feldman, L.C.; Bagley, B.G.

    1980-01-01

    Plasma- and chemical vapor deposited films containing hydrogen, Si, B and O, but of unknown thickness and stoichiometry have been assigned concentration ratios through a combination of H-profiling using the 1 H( 15 N,αγ) 12 C(4.43 MeV) reaction and RBS analysis. Relatively intense 15 N ++ beams exceeding the 6.38 MeV resonance energy have been obtained from a 3.75 MeV accelerator with a commercial ion source and terminal analysis. A discussion is given of the method of obtaining film concentration ratios in some representative cases. A search was made for H at the SiO 2 -Si interface. Some preliminary investigations have been made on the H concentration in several metals as supplied: Nb, V, Ta, Al, Ni, OFHC Cu, Ti, Mo and steel and on the effect of acid dips in loading H. Hydrogen in acid-loaded steel migrated under the influence of the probing 15 N beam, but relaxed back when the beam was removed. (orig.)

  3. Metal-insulator transition induced in CaVO3 thin films

    International Nuclear Information System (INIS)

    Gu Man; Laverock, Jude; Chen, Bo; Smith, Kevin E.; Wolf, Stuart A.; Lu Jiwei

    2013-01-01

    Stoichiometric CaVO 3 (CVO) thin films of various thicknesses were grown on single crystal SrTiO 3 (STO) (001) substrates using a pulsed electron-beam deposition technique. The CVO films were capped with a 2.5 nm STO layer. We observed a temperature driven metal-insulator transition (MIT) in CVO films with thicknesses below 4 nm that was not observed in either thick CVO films or STO films. The emergence of this MIT can be attributed to the reduction in effective bandwidth due to a crossover from a three-dimensional metal to a two-dimensional insulator. The insulating phase was only induced with a drive current below 0.1 μA. X-ray absorption measurements indicated different electronic structures for thick and very thin films of CVO. Compared with the thick film (∼60 nm), thin films of CVO (2–4 nm) were more two-dimensional with the V charge state closer to V 4+ .

  4. Kinetics of Hydrocarbon formation in a-C:H Film deposition plasmas

    International Nuclear Information System (INIS)

    Cal, E. de la; Tabares, F. L.

    1993-01-01

    The formation of C2 and Cp hydrocarbons during the PACVD of a-C:H films from admixtures of methane with H2 and He has been investigated by mass spectrometry under several deposition condition. The time evolution of the observed species indicates that the formation mechanisms of ethylene and acetylene are sensitive to the conditions of the wall during the growing of the film. Acetylene are sensitive to the conditions of the wall during the growing of the film. Acetylene formation was found to be directly related to the formation of the film on top of the carburized metal. (Author) 12 refs

  5. Kinetics of Hydrocarbon formation in a- C:H Film deposition plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Cal, E de la; Tabares, F L

    1993-07-01

    The formation of C2 and Cp hydrocarbons during the PACVD of a-C:H films from admixtures of methane with H2 and He has been investigated by mass spectrometry under several deposition condition. The time evolution of the observed species indicates that the formation mechanisms of ethylene and acetylene are sensitive to the conditions of the wall during the growing of the film. Acetylene are sensitive to the conditions of the wall during the growing of the film. Acetylene formation was found to be directly related to the formation of the film on top of the carburized metal. (Author) 12 refs.

  6. Simulation of a processes of a moving base coating with uniform films by method of physical deposition

    International Nuclear Information System (INIS)

    Avilov, A.A.; Grigorevskij, A.V.; Dudnik, S.F.; Kiryukhin, N.M.; Klyukovich, V.A.; Sagalovich, V.V.

    1989-01-01

    Computational algorithm is developed for calculating thickness of films deposited by physical methods onto a backing of any shape, moving along a given trajectory. The sugegsted algorithm makes it possible to carry out direct simulation on film deposition process and to optimize sources arrangement for obtaining films with a required degree of uniformity. Condensate distribution on a rotating sphere was calculated and here presented. A satisfactory agreement of calculated values with experimental data on metal films obtained by electron-arc spraying, was established

  7. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  8. Characteristics of Bilayer Molybdenum Films Deposited Using RF Sputtering for Back Contact of Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Sea-Fue Wang

    2014-01-01

    Full Text Available Mo films prepared under a single deposition condition seldom simultaneously obtain a low resistivity and a good adhesion necessary for use in solar cells. In order to surmount the obstacle, bilayer Mo films using DC sputtering at a higher working pressure and a lower working pressure have been attempted as reported in the literature. In this study, RF sputtering with different powers in conjunction with different working pressures was explored to prepare bilayer Mo film. The first bottom layer was grown at a RF sputtering power of 30 W and a working pressure of 12 mTorr, and the second top layer was deposited at 100 W and 4.5 mTorr. The films revealed a columnar growth with a preferred orientation along the (110 plane. The bilayer Mo films reported an electrical resistivity of 6.35 × 10−5 Ω-cm and passed the Scotch tape test for adhesion to the soda-lime glass substrate, thereby qualifying the bilayer Mo films for use as back metal contacts for CIGS substrates.

  9. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  10. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  11. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  12. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  13. Growth, Properties and Applications of Mo Ox Thin-Films Deposited by Reactive Sputtering

    DEFF Research Database (Denmark)

    Fernandes Cauduro, André Luis

    properties of metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties, and thus also their integration in novel optoelectronic devices. In this work, MoOx thin-films with various different phases and compositions were prepared by direct-current reactive...... molecules DBP and C70 are also covered in this work. The devices show interesting characteristics for very thin layers of the as-deposited MoOx films, displaying similar device efficiencies as those of in situ prepared MoOx thin-films formed from thermal evaporation. For the annealed MoOx films......Transition metal-oxide (TMOs) thin-films are commonly used in optoelectronic devices such as in photovoltaics and light emitting diodes, using both organic, inorganic and hybrid technologies. In such devices, TMOs typically act as an interfacial layer, where its functionality is to facilitate hole...

  14. Plasma interactions determine the composition in pulsed laser deposited thin films

    Science.gov (United States)

    Chen, Jikun; Döbeli, Max; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas

    2014-09-01

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La0.6Sr0.4MnO3, we demonstrate for as grown La0.6Sr0.4MnO3-δ films that a congruent transfer of metallic species is achieved in two pressure windows: ˜10-3 mbar and ˜2 × 10-1 mbar. In the intermediate pressure range, La0.6Sr0.4MnO3-δ becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  15. Structure of the Buried Metal-Molecule Interface in Organic Thin Film Devices

    DEFF Research Database (Denmark)

    Hansen, Christian Rein; Sørensen, Thomas Just; Glyvradal, Magni

    2009-01-01

    By use of specular X-ray reflectivity (XR) the structure of a metal-covered organic thin film device is measured with angstrom resolution. The model system is a Langmuir-Blodgett (LB) film, sandwiched between a silicon substrate and a top electrode consisting of 25 Å titanium and 100 Å aluminum....... By comparison of XR data for the five-layer Pb2+ arachidate LB film before and after vapor deposition of the Ti/Al top electrode, a detailed account of the structural damage to the organic film at the buried metal-molecule interface is obtained. We find that the organized structure of the two topmost LB layers...

  16. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.

    2012-09-05

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4\\'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  17. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.; Shekhah, O.; Stammer, X.; Arslan, H.K.; Liu, B.; Schupbach, B.; Terfort, A.; Woll, C.

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  18. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Science.gov (United States)

    Liu, Jinxuan; Shekhah, Osama; Stammer, Xia; Arslan, Hasan K.; Liu, Bo; Schüpbach, Björn; Terfort, Andreas; Wöll, Christof

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4’-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  19. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  20. Self-optimized metal coatings for fiber plasmonics by electroless deposition.

    Science.gov (United States)

    Bialiayeu, A; Caucheteur, C; Ahamad, N; Ianoul, A; Albert, J

    2011-09-26

    We present a novel method to prepare optimized metal coatings for infrared Surface Plasmon Resonance (SPR) sensors by electroless plating. We show that Tilted Fiber Bragg grating sensors can be used to monitor in real-time the growth of gold nano-films up to 70 nm in thickness and to stop the deposition of the gold at a thickness that maximizes the SPR (near 55 nm for sensors operating in the near infrared at wavelengths around 1550 nm). The deposited films are highly uniform around the fiber circumference and in spite of some nanoscale roughness (RMS surface roughness of 5.17 nm) the underlying gratings show high quality SPR responses in water. © 2011 Optical Society of America

  1. Metallic nanomesh

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Zhifeng; Sun, Tianyi; Guo, Chuanfei

    2018-02-20

    A transparent flexible nanomesh having at least one conductive element and sheet resistance less than 300.OMEGA./.quadrature. when stretched to a strain of 200% in at least one direction. The nanomesh is formed by depositing a sacrificial film, depositing, etching, and oxidizing a first metal layer on the film, etching the sacrificial film, depositing a second metal layer, and removing the first metal layer to form a nanomesh on the substrate.

  2. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  3. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  4. Effect of adding Cr on magnetic properties and metallic behavior in MnTe film

    International Nuclear Information System (INIS)

    Wang, Z.H.; Geng, D.Y.; Gong, W.J.; Li, J.; Li, Y.B.; Zhang, Z.D.

    2012-01-01

    Mn 1−x Cr x Te films with x = 0, 0.02, and 0.05 was synthesized by pulsed laser deposition and crystallize in hexagonal NiAs-type structure. The spin glass behavior predicted before by Monte Carlo calculation is observed in the MnTe film. This behavior is destroyed by adding Cr in the MnTe film. The temperature dependence of magnetization shows a sharp rise at around 66 K, due to the magneto-elastic coupling. Metallic behavior is observed in the MnTe film in the temperature range 120–220 K, which is ascribed to the magnetic ordering. The metallic behavior disappears with adding Cr, because adding Cr ions destroys the magnetic ordering which is mediated by the sp–d exchange interaction between the Cr ions. - Highlights: ► Mn 1−x Cr x Te films with NiAs-type structure was prepared by pulsed laser deposition. ► The spin glass behavior was observed in MnTe film at low temperature. ► The spin glass behavior was destroyed by adding Cr. ► The temperature dependence of magnetization showed a sharp rise at around 66 K. ► Metallic behavior was observed in MnTe film, which disappeared by adding Cr.

  5. Optical resonance analysis of reflected long period fiber gratings with metal film overlay

    Science.gov (United States)

    Zhang, Guiju; Cao, Bing; Wang, Chinua; Zhao, Minfu

    2008-11-01

    We present the experimental results of a novel single-ended reflecting surface plasma resonance (SPR) based long period fiber grating (LPFG) sensor. A long period fiber grating sensing device is properly designed and fabricated with a pulsed CO2 laser writing system. Different nm-thick thin metal films are deposited on the fiber cladding and the fiber end facet for the excitation of surface plasma waves (SPWs) and the reflection of the transmission spectrum of the LPFG with doubled interaction between metal-dielectric interfaces of the fiber to enhance the SPW of the all-fiber SPR-LPFG sensing system. Different thin metal films with different thicknesses are investigated. The effect of the excited SPW transmission along the fiber cladding-metal interface with silver and aluminum films is observed. It is found that different thicknesses of the metal overlay show different resonant behaviors in terms of resonance peak situation, bandwidth and energy loss. Within a certain range, thinner metal film shows narrower bandwidth and deeper peak loss.

  6. Correlation of morphology and barrier properties of thin microwave plasma polymer films on metal substrate

    International Nuclear Information System (INIS)

    Barranco, V.; Carpentier, J.; Grundmeier, G.

    2004-01-01

    The barrier properties of thin model organosilicon plasma polymers layers on iron are characterised by means of electrochemical impedance spectroscopy (EIS). Tailored thin plasma polymers of controlled morphology and chemical composition were deposited from a microwave discharge. By the analysis of the obtained impedance diagrams, the evolution of the water uptake φ, coating resistance and polymer capacitance with immersion time were monitored and the diffusion coefficients of the water through the films were calculated. The impedance data correlated well with the chemical structure and morphology of the plasma polymer films with a thickness of less than 100 nm. The composition of the films were determined by means of infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). The morphology of the plasma polymer surface and the interface between the plasma polymer and the metal were characterised using atomic force microscopy (AFM). It could be shown that, at higher pressure, the film roughness increases which is probably due to the adsorption of plasma polymer nanoparticles formed in the plasma bulk and the faster film growth. This leads to voids with a size of a few tens of nanometers at the polymer/metal interface. The film roughness increases from the interface to the outer surface of the film. By lowering the pressure and thereby slowing the deposition rate, the plasma polymers perfectly imitate the substrate topography and lead to an excellent blocking of the metal surface. Moreover, the ratio of siloxane bonds to methyl-silyl groups increases which implies that the crosslink density is higher at lower deposition rate. The EIS data consistently showed higher coating resistance as well as lower interfacial capacitance values and a better stability over time for the film deposited at slower pressure. The diffusion coefficient of water in thin and ultra-thin plasma

  7. Strong Metal Support Interaction of Pt and Ru Nanoparticles Deposited on HOPG Probed by the H-D Exchange Reaction

    DEFF Research Database (Denmark)

    Fiordaliso, Elisabetta M.; Dahl, Søren; Chorkendorff, Ib

    2012-01-01

    The interaction between metals and support is investigated in the case of 50 Å Pt and 50 Å Ru films deposited on a HOPG substrate. The films are prepared by electron beam physical vapor deposition and annealed in UHV to temperatures up to 700 °C. The equilibrium hydrogen exchange rate between...... adsorbed and gas phase at 1 bar is measured before and after annealing. The rate is measured in the temperature range of 40–200 °C at 1 bar, by utilization of the H-D exchange reaction. Experiments are performed on fresh cleaved and sputtered HOPG, which give similar results. We find that annealing...... the films from 150 up to 700 °C increases the amount of carbon present in the films up to 95%, as derived by surface analysis, indicating the formation of a carbon layer on top of the metal films. The exchange rate decreases dramatically with increasing carbon content on the films for both metals, pointing...

  8. Metal-insulator transition induced in CaVO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gu Man [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Laverock, Jude; Chen, Bo; Smith, Kevin E. [Department of Physics, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215 (United States); Wolf, Stuart A. [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States); Lu Jiwei [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States)

    2013-04-07

    Stoichiometric CaVO{sub 3} (CVO) thin films of various thicknesses were grown on single crystal SrTiO{sub 3} (STO) (001) substrates using a pulsed electron-beam deposition technique. The CVO films were capped with a 2.5 nm STO layer. We observed a temperature driven metal-insulator transition (MIT) in CVO films with thicknesses below 4 nm that was not observed in either thick CVO films or STO films. The emergence of this MIT can be attributed to the reduction in effective bandwidth due to a crossover from a three-dimensional metal to a two-dimensional insulator. The insulating phase was only induced with a drive current below 0.1 {mu}A. X-ray absorption measurements indicated different electronic structures for thick and very thin films of CVO. Compared with the thick film ({approx}60 nm), thin films of CVO (2-4 nm) were more two-dimensional with the V charge state closer to V{sup 4+}.

  9. Measurement of thickness of film deposited on the plasma-facing wall in the QUEST tokamak by colorimetry.

    Science.gov (United States)

    Wang, Z; Hanada, K; Yoshida, N; Shimoji, T; Miyamoto, M; Oya, Y; Zushi, H; Idei, H; Nakamura, K; Fujisawa, A; Nagashima, Y; Hasegawa, M; Kawasaki, S; Higashijima, A; Nakashima, H; Nagata, T; Kawaguchi, A; Fujiwara, T; Araki, K; Mitarai, O; Fukuyama, A; Takase, Y; Matsumoto, K

    2017-09-01

    After several experimental campaigns in the Kyushu University Experiment with Steady-state Spherical Tokamak (QUEST), the originally stainless steel plasma-facing wall (PFW) becomes completely covered with a deposited film composed of mixture materials, such as iron, chromium, carbon, and tungsten. In this work, an innovative colorimetry-based method was developed to measure the thickness of the deposited film on the actual QUEST wall. Because the optical constants of the deposited film on the PFW were position-dependent and the extinction coefficient k 1 was about 1.0-2.0, which made the probing light not penetrate through some thick deposited films, the colorimetry method developed can only provide a rough value range of thickness of the metal-containing film deposited on the actual PFW in QUEST. However, the use of colorimetry is of great benefit to large-area inspections and to radioactive materials in future fusion devices that will be strictly prohibited from being taken out of the limited area.

  10. Oxygen reduction activity of N-doped carbon-based films prepared by pulsed laser deposition

    Science.gov (United States)

    Hakoda, Teruyuki; Yamamoto, Shunya; Kawaguchi, Kazuhiro; Yamaki, Tetsuya; Kobayashi, Tomohiro; Yoshikawa, Masahito

    2010-12-01

    Carbon-based films with nitrogen species on their surface were prepared on a glassy carbon (GC) substrate for application as a non-platinum cathode catalyst for polymer electrolyte fuel cells. Cobalt and carbon were deposited in the presence of N 2 gas using a pulsed laser deposition method and then the metal Co was removed by HCl-washing treatment. Oxygen reduction reaction (ORR) activity was electrochemically determined using a rotating disk electrode system in which the film samples on the GC substrate were replaceable. The ORR activity increased with the temperature of the GC substrate during deposition. A carbon-based film prepared at 600 °C in the presence of N 2 at 66.7 Pa showed the highest ORR activity among the tested samples (0.66 V vs. NHE). This film was composed of amorphous carbons doped with pyridine type nitrogen atoms on its surface.

  11. Semiconductor- to metallic-like behavior in Bi thin films on KCl substrate

    International Nuclear Information System (INIS)

    Bui, Thanh Nhan; Raskin, Jean-Pierre; Hackens, Benoit

    2016-01-01

    Bi thin films, with a thickness of 100 nm, are deposited by electron-beam evaporation on a freshly cleaved (100) KCl substrate. The substrate temperature during film growth (T_d_e_p) ranges from room temperature up to 170 °C. Films deposited at room temperature exhibit a maze-like microstructure typical of the rhombohedral (110) texture, as confirmed by X-ray diffraction. For T_d_e_p above 80 °C, a different microstructure appears, characterized by concentric triangular shapes corresponding to the trigonal (111) texture. Temperature dependence of the resistivity shows a transition from a semiconductor-like behavior for films deposited at room temperature to a metallic-like behavior for T_d_e_p above 80 °C. From magnetoresistance measurements between room temperature and 1.6 K, we extract the electron and hole mobilities, concentrations, and mean free paths, which allow to draw a complete picture of the transport properties of both types of films.

  12. Preparation of high-pressure phase boron nitride films by physical vapor deposition

    CERN Document Server

    Zhu, P W; Zhao, Y N; Li, D M; Liu, H W; Zou Guang Tian

    2002-01-01

    The high-pressure phases boron nitride films together with cubic, wurtzic, and explosive high-pressure phases, were successfully deposited on the metal alloy substrates by tuned substrate radio frequency magnetron sputtering. The percentage of cubic boron nitride phase in the film was about 50% as calculated by Fourier transform infrared measurements. Infrared peak position of cubic boron nitride at 1006.3 cm sup - sup 1 , which is close to the stressless state, indicates that the film has very low internal stress. Transition electron microscope micrograph shows that pure cubic boron nitride phase exits on the surface of the film. The growth mechanism of the BN films was also discussed.

  13. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  14. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  15. Low-temperature CVD of iron, cobalt, and nickel nitride thin films from bis[di(tert-butyl)amido]metal(II) precursors and ammonia

    International Nuclear Information System (INIS)

    Cloud, Andrew N.; Abelson, John R.; Davis, Luke M.; Girolami, Gregory S.

    2014-01-01

    Thin films of late transition metal nitrides (where the metal is iron, cobalt, or nickel) are grown by low-pressure metalorganic chemical vapor deposition from bis[di(tert-butyl)amido]metal(II) precursors and ammonia. These metal nitrides are known to have useful mechanical and magnetic properties, but there are few thin film growth techniques to produce them based on a single precursor family. The authors report the deposition of metal nitride thin films below 300 °C from three recently synthesized M[N(t-Bu) 2 ] 2 precursors, where M = Fe, Co, and Ni, with growth onset as low as room temperature. Metal-rich phases are obtained with constant nitrogen content from growth onset to 200 °C over a range of feedstock partial pressures. Carbon contamination in the films is minimal for iron and cobalt nitride, but similar to the nitrogen concentration for nickel nitride. X-ray photoelectron spectroscopy indicates that the incorporated nitrogen is present as metal nitride, even for films grown at the reaction onset temperature. Deposition rates of up to 18 nm/min are observed. The film morphologies, growth rates, and compositions are consistent with a gas-phase transamination reaction that produces precursor species with high sticking coefficients and low surface mobilities

  16. Low temperature (< 100 °C) deposited P-type cuprous oxide thin films: Importance of controlled oxygen and deposition energy

    International Nuclear Information System (INIS)

    Li, Flora M.; Waddingham, Rob; Milne, William I.; Flewitt, Andrew J.; Speakman, Stuart; Dutson, James; Wakeham, Steve; Thwaites, Mike

    2011-01-01

    With the emergence of transparent electronics, there has been considerable advancement in n-type transparent semiconducting oxide (TSO) materials, such as ZnO, InGaZnO, and InSnO. Comparatively, the availability of p-type TSO materials is more scarce and the available materials are less mature. The development of p-type semiconductors is one of the key technologies needed to push transparent electronics and systems to the next frontier, particularly for implementing p–n junctions for solar cells and p-type transistors for complementary logic/circuits applications. Cuprous oxide (Cu 2 O) is one of the most promising candidates for p-type TSO materials. This paper reports the deposition of Cu 2 O thin films without substrate heating using a high deposition rate reactive sputtering technique, called high target utilisation sputtering (HiTUS). This technique allows independent control of the remote plasma density and the ion energy, thus providing finer control of the film properties and microstructure as well as reducing film stress. The effect of deposition parameters, including oxygen flow rate, plasma power and target power, on the properties of Cu 2 O films are reported. It is known from previously published work that the formation of pure Cu 2 O film is often difficult, due to the more ready formation or co-formation of cupric oxide (CuO). From our investigation, we established two key concurrent criteria needed for attaining Cu 2 O thin films (as opposed to CuO or mixed phase CuO/Cu 2 O films). First, the oxygen flow rate must be kept low to avoid over-oxidation of Cu 2 O to CuO and to ensure a non-oxidised/non-poisoned metallic copper target in the reactive sputtering environment. Secondly, the energy of the sputtered copper species must be kept low as higher reaction energy tends to favour the formation of CuO. The unique design of the HiTUS system enables the provision of a high density of low energy sputtered copper radicals/ions, and when combined with a

  17. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Directory of Open Access Journals (Sweden)

    Christof Wöll

    2012-09-01

    Full Text Available The liquid phase epitaxy (LPE of the metal-organic framework (MOF HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA, 4’-carboxyterphenyl-4-methanethiol (TPMTA and 9-carboxy-10-(mercaptomethyltriptycene (CMMT. The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100 direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111 direction.

  18. Preventing bacterial growth on implanted device with an interfacial metallic film and penetrating X-rays.

    Science.gov (United States)

    An, Jincui; Sun, An; Qiao, Yong; Zhang, Peipei; Su, Ming

    2015-02-01

    Device-related infections have been a big problem for a long time. This paper describes a new method to inhibit bacterial growth on implanted device with tissue-penetrating X-ray radiation, where a thin metallic film deposited on the device is used as a radio-sensitizing film for bacterial inhibition. At a given dose of X-ray, the bacterial viability decreases as the thickness of metal film (bismuth) increases. The bacterial viability decreases with X-ray dose increases. At X-ray dose of 2.5 Gy, 98% of bacteria on 10 nm thick bismuth film are killed; while it is only 25% of bacteria are killed on the bare petri dish. The same dose of X-ray kills 8% fibroblast cells that are within a short distance from bismuth film (4 mm). These results suggest that penetrating X-rays can kill bacteria on bismuth thin film deposited on surface of implant device efficiently.

  19. Electrical and optical properties of indium tin oxide thin films deposited on unheated substrates by d.c. reactive sputtering

    International Nuclear Information System (INIS)

    Karasawa, T.; Miyata, Y.

    1993-01-01

    Transparent conducting thin films of indium tin oxide (ITO) have been deposited by d.c. reactive planar magnetron sputtering by using metal In-Sn alloy target in an Ar-O 2 gas mixture. The study demonstrates that the deposition on unheated substrates achieved sheet resistance of as low as about 50-60 Ω/□ (or a resistivity of about 7 x 10 -4 Ω cm), and visible transmission of about 90% for a wavelength of 420 nm. The effects of heat treatment at 450 C in air depends on the deposition conditions of the as-deposited ITO films. Although annealing improves the properties of as-deposited ITO films which were deposited with non-optimum conditions, the optimized condition for the formation of the film in the as-deposited state is essential to obtain a high quality transparent conducting coating. (orig.)

  20. Kinetics of Hydrocarbon formation in a-C:H film deposition plasmas

    International Nuclear Information System (INIS)

    De la Cal, E.; Tabares, F.L.

    1993-01-01

    The formation of C 2 and C 3 hydrocarbons during the PACVD of a-C-H films from admixtures of methane with H 2 and He has been investigated by mass spectrometry under several deposition condition. The time evolution of the observed species indicates that the formation mechanism of ethylene and acetylene are sensitive to the conditions of the wall during the growing of the film. Acetylene are sensitive to the conditions of the wall during the growing of the carburized metal. (Author)

  1. Metal (Ag/Ti)-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics.

    Science.gov (United States)

    Constantinou, Marios; Nikolaou, Petros; Koutsokeras, Loukas; Avgeropoulos, Apostolos; Moschovas, Dimitrios; Varotsis, Constantinos; Patsalas, Panos; Kelires, Pantelis; Constantinides, Georgios

    2018-03-30

    This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a-C:H:Me) of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD) and Physical Vapor Deposition (PVD) technologies. The a-C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF) plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC) technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti). The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR), Raman spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a-C:H:Ag and a-C:H:Ti) exhibited enhanced nanoscratch resistance (up to +50%) and low values of friction coefficient (<0.05), properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  2. Orientation control of chemical solution deposited LaNiO3 thin films

    International Nuclear Information System (INIS)

    Ueno, Kengo; Yamaguchi, Toshiaki; Sakamoto, Wataru; Yogo, Toshinobu; Kikuta, Koichi; Hirano, Shin-ichi

    2005-01-01

    High quality LaNiO 3 (LNO) thin films with preferred orientation could be synthesized on Pt/Ti/SiO 2 /Si substrates at 700 deg. C using the chemical solution deposition method. The homogeneous and stable LNO precursor solutions were prepared using lanthanum isopropoxide and nickel (II) acetylacetonate in a mixed solvent of absolute ethanol and 2-methoxyethanol. The oriented LNO thin films exhibit metallic electro-conduction, and their resistivity at room temperature is sufficiently low for making them an alternative electrode material for functional ceramic thin films

  3. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  4. Novel method to deposit metal particles on transition metal oxide films and its application in lithium-ion batteries

    International Nuclear Information System (INIS)

    Pan Qinmin; Wang Min; Wang Hongbo; Zhao Jianwei; Yin Geping

    2008-01-01

    A novel method to modify the surfaces of transition metal oxides (MO) film-electrode was proposed in this study. At first, a monolayer of terephthalic acid was covalently bonded to the surfaces of Cu 2 O films. Then silver (Ag) particles were electrodeposited on the monolayer-grafted films by a potential-step process. The resulting Ag-Cu 2 O films exhibited improved electrochemical performance as negative electrodes in lithium-ion batteries compared to the original Cu 2 O films. An increase in electrical contact between Cu 2 O particles was considered to be responsible for the improvement in the electrochemical properties

  5. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  6. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  7. Metal induced crystallization of amorphous silicon thin films studied by x-ray absorption fine structure spectroscopy

    International Nuclear Information System (INIS)

    Naidu, K Lakshun; Mohiddon, Md Ahamad; Dalba, G; Krishna, M Ghanashyam; Rocca, F

    2013-01-01

    The role of thin metallic layer (Chromium or Nickel) in the crystallization of a-Si film has been studied using X-ray absorption fine structure spectroscopy (XAFS). The films were grown at different substrate temperatures in two different geometrical structures : (a) a 200 nm metal layer (Cr or Ni) was deposited on fused silica (FS) followed by 400 nm of a-Si and (b) the 400 nm a-Si layer was deposited on FS followed by 200 nm of metal layer. XAFS measurements at Cr K-edge and Ni K-edge were done at BM08 – GILDA beamline of the European Synchrotron Research Facility (ESRF, Grenoble, F) in fluorescence mode. To understand the evolution of the local structure of Cr/Ni diffusing from bottom to top and from top to bottom, total reflection and higher incidence angles were employed. The relative content of metal, metal oxide and metal silicides compounds on the upper surface and/or in the bulk of different films has been evaluated as a function of thermal treatment.

  8. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  9. Morphological and structural characterizations of dendrimer-mediated metallic Ti and Al thin film nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Curry, M. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Li, X. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Metallurgical and Materials Science and Engineering, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Zhang, J. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Weaver, M.L. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Metallurgical and Materials Science and Engineering, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Street, S.C. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States) and Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States)]. E-mail: sstreet@bama.ua.edu

    2007-02-26

    Evidence is presented here for significant influence on the surface topography of Ti and Al films in the presence of poly(amidoamine) dendrimer monolayers [generations G(4-8)] on SiO {sub x}. X-ray photoelectron spectroscopy analysis clearly indicates formation of nitrides and carbides for Ti metal grown on dendrimer monolayers. In addition, obvious trends in measured correlation lengths and crystalline growth modes of Ti films indicate grain sizes tracking the intrinsic roughness of dendrimer monolayers. No formation of metal nitride is observed for Al depositions. Atomic force microscopy analyses show significant changes in rms vertical roughness and aggregation of as-deposited Ti or Al in presence of dendrimer monolayers.

  10. Plasmonic nanoparticle films for solar cell applications fabricated by size-selective aerosol deposition

    NARCIS (Netherlands)

    Pfeiffer, T.V.; Ortiz Gonzalez, J.; Santbergen, R.; Tan, H.; Schmidt-Ott, A.; Zeman, M.; Smets, A.H.M.

    2014-01-01

    A soft deposition method for incorporating surface plasmon resonant metal nanoparticles within photovoltaic devices was studied. This self-assembly method provides excellent control over both nanoparticle size and surface coverage. Films of spherical Ag nanoparticles with diameter of ?100 nm were

  11. Use of cermet thin film resistors with nitride passivated metal insulator field effect transistor

    Science.gov (United States)

    Brown, G. A.; Harrap, V.

    1971-01-01

    Film deposition of cermet resistors on same chip with metal nitride oxide silicon field effect transistors permits protection of contamination sensitive active devices from contaminants produced in cermet deposition and definition processes. Additional advantages include lower cost, greater reliability, and space savings.

  12. Thin films by metal-organic precursor plasma spray

    International Nuclear Information System (INIS)

    Schulz, Douglas L.; Sailer, Robert A.; Payne, Scott; Leach, James; Molz, Ronald J.

    2009-01-01

    While most plasma spray routes to coatings utilize solids as the precursor feedstock, metal-organic precursor plasma spray (MOPPS) is an area that the authors have investigated recently as a novel route to thin film materials. Very thin films are possible via MOPPS and the technology offers the possibility of forming graded structures by metering the liquid feed. The current work employs metal-organic compounds that are liquids at standard temperature-pressure conditions. In addition, these complexes contain chemical functionality that allows straightforward thermolytic transformation to targeted phases of interest. Toward that end, aluminum 3,5-heptanedionate (Al(hd) 3 ), triethylsilane (HSi(C 2 H 5 ) 3 or HSiEt 3 ), and titanium tetrakisdiethylamide (Ti(N(C 2 H 5 ) 2 ) 4 or Ti(NEt 2 ) 4 ) were employed as precursors to aluminum oxide, silicon carbide, and titanium nitride, respectively. In all instances, the liquids contain metal-heteroatom bonds envisioned to provide atomic concentrations of the appropriate reagents at the film growth surface, thus promoting phase formation (e.g., Si-C bond in triethylsilane, Ti-N bond in titanium amide, etc.). Films were deposited using a Sulzer Metco TriplexPro-200 plasma spray system under various experimental conditions using design of experiment principles. Film compositions were analyzed by glazing incidence x-ray diffraction and elemental determination by x-ray spectroscopy. MOPPS films from HSiEt 3 showed the formation of SiC phase but Al(hd) 3 -derived films were amorphous. The Ti(NEt 2 ) 4 precursor gave MOPPS films that appear to consist of nanosized splats of TiOCN with spheres of TiO 2 anatase. While all films in this study suffered from poor adhesion, it is anticipated that the use of heated substrates will aid in the formation of dense, adherent films.

  13. Plasma interactions determine the composition in pulsed laser deposited thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Laboratory of Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2014-09-15

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La{sub 0.6}Sr{sub 0.4}MnO{sub 3}, we demonstrate for as grown La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} films that a congruent transfer of metallic species is achieved in two pressure windows: ∼10{sup −3} mbar and ∼2 × 10{sup −1} mbar. In the intermediate pressure range, La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  14. Optimization of synthesis protocols to control the nanostructure and the morphology of metal oxide thin films for memristive applications

    Energy Technology Data Exchange (ETDEWEB)

    Baldi, G., E-mail: giacomo.baldi@cnr.it; Bosi, M.; Attolini, G.; Berzina, T.; Mosca, R.; Ponraj, J. S.; Iannotta, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, I-43124 Parma (Italy); Giusti, G.; Nozar, P.; Toccoli, T.; Verucchi, R. [IMEM-CNR Institute, Via alla Cascata 56/C, Povo – I-38123 Trento (Italy); Collini, C.; Lorenzelli, L. [FBK Bruno Kessler Foundation, Via Sommarive 18, I-38123 Trento (Italy)

    2015-03-10

    We propose a multi-technique approach based on in-vacuum synthesis of metal oxides to optimize the memristive properties of devices that use a metal oxide thin film as insulating layer. Pulsed Microplasma Cluster Source (PMCS) is based on supersonic beams seeded by clusters of the metal oxide. Nanocrystalline TiO{sub 2} thin films can be grown at room temperature, controlling the oxide stoichiometry from titanium metal up to a significant oxygen excess. Pulsed Electron beam Deposition (PED) is suitable to grow crystalline thin films on large areas, a step towards producing device arrays with controlled morphology and stoichiometry. Atomic Layer Deposition (ALD) is a powerful technique to grow materials layer-by-layer, finely controlling the chemical and structural properties of the film up to thickness of 50-80 nm. We will present a few examples of metal-insulator-metal structures showing a pinched hysteresis loop in their current-voltage characteristic. The structure, stoichiometry and morphology of the metal oxide layer, either aluminum oxide or titanium dioxide, is investigated by means of scanning electron microscopy (SEM) and by Raman scattering.

  15. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  16. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  17. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  18. Electrophoretic deposition of thin film zirconia electrolyte on non-conducting NiO-YSZ substrate

    International Nuclear Information System (INIS)

    Das, Debasish; Basu, Rajendra N.

    2014-01-01

    Eight (8) mol% yttria stabilized zirconia (YSZ), an electrolyte material for solid oxide fuel cell (SOFC), has been deposited onto porous non-conducting NiO-YSZ substrate using electrophoretic deposition technique (EPD) from a stable non-aqueous suspension of YSZ. Normally, EPD cannot be performed on a non-conducting substrate, but, in this present study, YSZ particulate film has been successfully deposited on a non-conducting NiO-YSZ substrate following two different EPD approaches:(a) using a conducting metallic plate on the reverse side of the porous NiO-YSZ anode substrate and (b) using a conducting polymer coated NiO-YSZ substrate. The deposited films are then formed dense coatings of 5-15 μm after sintering at 1400℃ for 6 h in air. Surface and cross-sectional morphologies of green and sintered films deposited by different EPD approaches are investigated using SEM. La 0.65 Sr 0.3 MnO 3 (LSM), a cathode for SOFC, is then screen-printed onto the electrolyte layer of such sintered half cells (anode+electrolyte) prepared by both the above approaches to construct SOFC single cells. A maximum output power density of 0.37 W.cm -2 is obtained using single cells prepared by conducting metallic plate assisted EPD compared to that of 0.73 W.cm -2 for polymer coated at 800℃ using H 2 as fuel and O 2 as oxidant. (author)

  19. Block Copolymer-Templated Approach to Nanopatterned Metal-Organic Framework Films.

    Science.gov (United States)

    Zhou, Meimei; Wu, Yi-Nan; Wu, Baozhen; Yin, Xianpeng; Gao, Ning; Li, Fengting; Li, Guangtao

    2017-08-17

    The fabrication of patterned metal-organic framework (MOF) films with precisely controlled nanoscale resolution has been a fundamental challenge in nanoscience and nanotechnology. In this study, nanopatterned MOF films were fabricated using a layer-by-layer (LBL) growth method on functional templates (such as a bicontinuous nanoporous membrane or a structure with highly long-range-ordered nanoscopic channels parallel to the underlying substrate) generated by the microphase separation of polystyrene-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymers. HKUST-1 can be directly deposited on the templates without any chemical modification because the pyridine groups in P2VP interact with metal ions via metal-BCP complexes. As a result, nanopatterned HKUST-1 films with feature sizes below 50 nm and controllable thicknesses can be fabricated by controlling the number of LBL growth cycles. The proposed fabrication method further extends the applications of MOFs in various fields. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Selective Metallization of Well Aligned PS-b-P2VP Block Copolymers in Thin Films and in Confined Geometries

    Science.gov (United States)

    Sievert, James D.; Watkins, James J.; Russell, Thomas P.

    2006-03-01

    Well aligned, microphase-separated structures of styrene-2-vinylpyridine block copolymers are being used as templates for macromolecule-metal nanocomposites. These composites are either prepared as thin films or confined in nanoporous aluminum oxide membranes. Under optimal conditions, templates are prepared as thin films or confined nanorods and metallized without disturbing the ordered structure. We have developed a procedure that deposits metal within the polymer using supercritical carbon dioxide-soluble metal precursors. The use of supercritical carbon dioxide allows for selective metallization of the polymer at or below the glass transition, without disrupting the morphology. In addition, similar procedures have been investigated using metal salts and acids. Using these techniques, metals and metal-sulfides including silver, gold, platinum and zinc sulfide have been selectively deposited.

  1. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  2. Supersonic cluster beams: a powerful method for the deposition of nanostructured thin films with tailored properties

    International Nuclear Information System (INIS)

    Milani, P.

    2002-01-01

    By using a pulsed micro-plasma cluster source and by exploiting aero-dynamical effects typical of supersonic beams it is possible to obtain very high deposition rates with a control on neutral cluster mass distribution, allowing the deposition of thin films with controlled nanostructure. Due to high deposition rates, high lateral resolution, low temperature processing supersonic cluster beams can also be used for the micro and nano-patterning of cluster-assembled films when little or no post-growth manipulation or assembly is required. For example the nano and meso-structure of films obtained by carbon cluster beam deposition can be controlled by selecting in the beam the elemental building blocks, moreover functional properties such as field emission can be controlled and tailored. The use of supersonic cluster beams opens also new perspectives for the production of nano-structured films with novel physico-chemical and topological properties such as nano-structured carbon matrices containing carbide and transition metal particles. (Author)

  3. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  4. Metal (Ag/Ti-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics

    Directory of Open Access Journals (Sweden)

    Marios Constantinou

    2018-03-01

    Full Text Available This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a–C:H:Me of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD and Physical Vapor Deposition (PVD technologies. The a–C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti. The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR, Raman spectroscopy, Scanning Electron Microscopy (SEM, Atomic Force Microscopy (AFM, Transmission Electron Microscopy (TEM and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a–C:H:Ag and a–C:H:Ti exhibited enhanced nanoscratch resistance (up to +50% and low values of friction coefficient (<0.05, properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  5. Adherence of diamond films on refractory metal substrates for thermionic applications

    International Nuclear Information System (INIS)

    Tsao, B.H.; Ramalingam, M.L.; Adams, S.F.; Cloyd, J.S.

    1991-01-01

    Diamond films are currently being considered as electrical insulation material for application in the thermionic fuel element of a power producing nuclear reactor system. The function of the diamond insulator in this application is to electrically isolate the collector of each cell in the TFE from the coolant and outer sheath. Deposition of diamond films on plane surfaces of Si/SiO 2 have already been demonstrated to be quite effective. However, the diamond films on refractory metal surfaces tend to spall off in the process of deposition revealing an inefficient adherence characteristic between the film and the substrate. This paper is geared towards explaining this deficiency by way of selected experimentation and the use of analytical tools to predict uncertainties such as the mismatch in coefficient of expansion, micrographic study of the interface between the film and the substrate and X-ray diffraction spectra. The investigation of the adherence characteristics of several diamond films on Mo and Nb substrates revealed that there was an allowable stress that resulted in the formation of the critical thickness for the diamond film

  6. A high-performance, flexible and robust metal nanotrough-embedded transparent conducting film for wearable touch screen panels

    Science.gov (United States)

    Im, Hyeon-Gyun; An, Byeong Wan; Jin, Jungho; Jang, Junho; Park, Young-Geun; Park, Jang-Ung; Bae, Byeong-Soo

    2016-02-01

    We report a high-performance, flexible and robust metal nanotrough-embedded transparent conducting hybrid film (metal nanotrough-GFRHybrimer). Using an electro-spun polymer nanofiber web as a template and vacuum-deposited gold as a conductor, a junction resistance-free continuous metal nanotrough network is formed. Subsequently, the metal nanotrough is embedded on the surface of a glass-fabric reinforced composite substrate (GFRHybrimer). The monolithic composite structure of our transparent conducting film allows simultaneously high thermal stability (24 h at 250 °C in air), a smooth surface topography (Rrms touch screen panel (TSP) is fabricated using the transparent conducting films. The flexible TSP device stably operates on the back of a human hand and on a wristband.We report a high-performance, flexible and robust metal nanotrough-embedded transparent conducting hybrid film (metal nanotrough-GFRHybrimer). Using an electro-spun polymer nanofiber web as a template and vacuum-deposited gold as a conductor, a junction resistance-free continuous metal nanotrough network is formed. Subsequently, the metal nanotrough is embedded on the surface of a glass-fabric reinforced composite substrate (GFRHybrimer). The monolithic composite structure of our transparent conducting film allows simultaneously high thermal stability (24 h at 250 °C in air), a smooth surface topography (Rrms touch screen panel (TSP) is fabricated using the transparent conducting films. The flexible TSP device stably operates on the back of a human hand and on a wristband. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07657a

  7. Structure and Morphology Effects on the Optical Properties of Bimetallic Nanoparticle Films Laser Deposited on a Glass Substrate

    Directory of Open Access Journals (Sweden)

    A. O. Kucherik

    2017-01-01

    Full Text Available Moving nanosecond laser system is used for laser-assisted thermodiffusion deposition of metallic nanoparticles from water-based colloidal solutions. The results obtained for both gold and silver nanoparticles show that film morphology strongly depends on laser scanning speed and the number of passages. We show, furthermore, the possibility of producing bimetallic Au:Ag thin films by laser irradiation of the mixed solutions. As a result of several laser scans, granular nanometric films are found to grow with a well-controlled composition, thickness, and morphology. By changing laser scanning parameters, film morphology can be varied from island structures to quasi-periodic arrays. The optical properties of the deposited structures are found to depend on the film composition, thickness, and mean separation between the particles. The transparency spectra of the deposited films are shown to be defined by their morphology.

  8. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  9. Optimum deposition, structure, and properties of tantalum oxide films

    International Nuclear Information System (INIS)

    Lin, Y.C.

    1985-01-01

    Amorphous, ductile, and uniform Ta 2 O 5 films that acted as diffusion barriers were developed by sputter depositing Ta metal on Al single crystals (99.99%) and subsequently anodizing these thin films. The morphology, microstructure, composition and properties were characterized by scanning and transmission electron microscopy, surface and Fourier transform infrared spectroscopy, X-ray diffraction, and fluorescence. Superior corrosion resistance in a water saturated Cl 2 atmosphere was provided by Ta 2 O 5 coating on Al single crystal substrates but not on Al alloys. The strong Ta-O bond, the non-porous nature of the film and good adhesion to the substrate are attributed to the outstanding corrosion resistance of these oxide coatings. Al alloy surfaces are not protected, since the anodic film formed over grain boundaries, processing lines and emergent precipitates is poorly adherent, thus providing loci for corrosion. These problems were eliminated by casting a 400 A layer of tantalum oxyhydroxide polymer from ethanol solution onto Al substrate and curing to a Ta 2 O 5 layer that effectively resisted attack by wet Cl 2 . The mechanical properties of Ta 2 O 5 films on Al alloys were studied at various pH's by in-situ fatigue loading coupled with electrochemical measurements of corrosion potential and corrosion current. These results indicate the fatigue resistance of this oxide film effectively protects the underlying metal from strong HCl solution attack. The very unusual ductility and high corrosion resistance of Ta 2 O 5 films could be related to the graphite-like structure that exists in the amorphous state of this oxide

  10. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  11. Flame-Sprayed Y2O3 Films with Metal-EDTA Complex Using Various Cooling Agents

    Science.gov (United States)

    Komatsu, Keiji; Toyama, Ayumu; Sekiya, Tetsuo; Shirai, Tomoyuki; Nakamura, Atsushi; Toda, Ikumi; Ohshio, Shigeo; Muramatsu, Hiroyuki; Saitoh, Hidetoshi

    2017-01-01

    In this study, yttrium oxide (Y2O3) films were synthesized from a metal-ethylenediaminetetraacetic (metal-EDTA) complex by employing a H2-O2 combustion flame. A rotation apparatus and various cooling agents (compressed air, liquid nitrogen, and atomized purified water) were used during the synthesis to control the thermal history during film deposition. An EDTA·Y·H complex was prepared and used as the staring material for the synthesis of Y2O3 films with a flame-spraying apparatus. Although thermally extreme environments were employed during the synthesis, all of the obtained Y2O3 films showed only a few cracks and minor peeling in their microstructures. For instance, the Y2O3 film synthesized using the rotation apparatus with water atomization units exhibited a porosity of 22.8%. The maximum film's temperature after deposition was 453 °C owing to the high heat of evaporation of water. Cooling effects of substrate by various cooling units for solidification was dominated to heat of vaporization, not to unit's temperatures.

  12. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  13. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  14. Research on Lessening of Bonding Effects Between the Metallic and Non-Metallic Surfaces Through the Graphite Films Deposited with Pulsed Electrical Discharges Process

    Science.gov (United States)

    Marin, L.; Topala, P.

    2017-06-01

    The paper presents the results of experimental research on the physics of natural graphite film formation, the establishment of chemical composition and functional properties of the graphite films, formed on metal surfaces, as a result of the action of plasma in the air environment, at a normal pressure, under the electrical discharge in impulse conditions (EDI). The researchings were performed in the frame of doctoral thesis “Research on lessening of the bonding effects between the metallic and nonmetallic surfaces through the graphite films” and aimed to identify the phenomena that occur at the interface metal/ film of graphite, and to identify also the technological applications that it may have the surface treatment for submitting the films of graphite on metallic surfaces achieved through an innovative process of electrical pulsed discharges. After the research works from the PhD theme above mentioned, a number of interesting properties of graphite pellicle have been identified ie reducing of metal surface polarity. This led to drastic decreases for the values of adhesion when bonding of metal surfaces was performed using a structural polyurethane adhesive designed by ICECHIM. Following the thermo-gravimetric analysis, performed of the graphite film obtained by process of electrical pulsed discharges, have been also discovered other interesting properties for this, ie reversible mass additions at specific values of the working temperature Chemical and scanning electron microscopy analysis have revealed that on the metallic surface subjected to electrical pulsed discharges process, outside the graphite film, it is also obtained a series of spatial formation composed of carbon atoms fullerenes type which are responsible for the phenomenon of addition of mass.

  15. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  16. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  17. Photovoltaic effect in transition metal modified polycrystalline BiFeO3 thin films

    International Nuclear Information System (INIS)

    Puli, Venkata Sreenivas; Chrisey, Douglas B; Pradhan, Dhiren Kumar; Katiyar, Rajesh Kumar; Misra, Pankaj; Scott, J F; Katiyar, Ram S; Coondoo, Indrani; Panwar, Neeraj

    2014-01-01

    We report photovoltaic (PV) effect in multiferroic Bi 0.9 Sm 0.1 Fe 0.95 Co 0.05 O 3 (BSFCO) thin films. Transition metal modified polycrystalline BiFeO 3 (BFO) thin films have been deposited on Pt/TiO 2 /SiO 2 /Si substrate successfully through pulsed laser deposition (PLD). PV response is observed under illumination both in sandwich and lateral electrode configurations. The open-circuit voltage (V oc ) and the short-circuit current density (J sc ) of the films in sandwich electrode configuration under illumination are measured to be 0.9 V and −0.051 µA cm −2 . Additionally, we report piezoresponse for BSFCO films, which confirms ferroelectric piezoelectric behaviour. (paper)

  18. Uniform deposition of ternary chalcogenide nanoparticles onto mesoporous TiO{sub 2} film using liquid carbon dioxide-based coating

    Energy Technology Data Exchange (ETDEWEB)

    Nursanto, Eduardus Budi [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Park, Se Jin [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Jeon, Hyo Sang; Hwang, Yun Jeong [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Kim, Jaehoon, E-mail: jaehoonkim@skku.edu [School of Mechanical Engineering, Sungkyunkwan University, 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); Min, Byoung Koun, E-mail: bkmin@kist.re.kr [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Green School, Korea University, 145,Anam-ro, Seongbuk-gu, Seoul 136–713 (Korea, Republic of)

    2014-08-28

    We report the simultaneous deposition of two different metal precursors dissolved in liquid carbon dioxide (l-CO{sub 2}), aiming to the synthesis of ternary chalcopyrite (e.g. CuInS{sub 2}) nanoparticles on a mesoporous TiO{sub 2} film. The l-CO{sub 2}-based deposition of Cu and In precursors and subsequent reaction with a dilute H{sub 2}S gas resulted in Cu{sub x}In{sub y}S{sub z} nanoparticles uniformly deposited across the entire thickness of a mesoporous TiO{sub 2} film. Further heat treatment (air annealing and sulfurization) led to the formation of more stoichiometric CuInS{sub 2} nanoparticles. The formation of CuInS{sub 2} on TiO{sub 2} was confirmed by scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction, and Raman spectroscopy. The crystal growth of CuInS{sub 2} was also found to be controllable by adjusting the number of coating cycles of the l-CO{sub 2}-based deposition. - Highlights: • Simultaneous deposition of two different metal precursors dissolved in l-CO{sub 2}. • Uniform deposition of CuInS{sub 2} nanoparticles across mesoporous TiO{sub 2} film. • Highly crystalline CuInS{sub 2} formed on mesoporous TiO{sub 2} film. • Nearly stoichiometric ratio of Cu:In:S was obtained.

  19. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  20. ''In-situ'' spectro-electrochemical studies of radionuclide-contaminated surface films on metals

    International Nuclear Information System (INIS)

    Melendres, C.A.; Mini, S.; Mansour, A.N.

    2000-01-01

    The incorporation of heavy metal ions and radioactive contaminants into hydrous oxide films has been investigated in order to provide fundamental knowledge that could lead to the technological development of cost-effective processes and techniques for the decontamination of storage tanks, piping systems, surfaces, etc., in DOE nuclear facilities. The formation of oxide/hydroxide films was simulated by electrodeposition onto a graphite substrate from solutions of the appropriate metal salt. Synchrotron X-ray Absorption Spectroscopy (XAS), supplemented by Laser Raman Spectroscopy (LRS), was used to determine the structure and composition of the host oxide film, as well as the impurity ion. Results have been obtained for the incorporation of Ce, Sr, Cr, Fe, and U into hydrous nickel oxide films. Ce and Sr oxides/hydroxides are co-precipitated with the nickel oxides in separate phase domains. Cr and Fe, on the other hand, are able to substitute into Ni lattice sites or intercalate in the interlamellar positions of the brucite structure of Ni(OH) 2 . U was found to co-deposit as a U(VI) hydroxide. The mode of incorporation of metal ions depends both on the size and charge of the metal ion. The structure of iron oxide (hydroxide) films prepared by both anodic and cathodic deposition has also been extensively studied. The structure of Fe(OH) 2 was determined to be similar to that of α-Ni(OH) 2 . Anodic deposition from solutions containing Fe 2+ results in a film with a structure similar to γ-FeOOH. From the knowledge gained from the present studies, principles and methods for decontamination have become apparent. Contaminants sorbed on oxide surfaces or co-precipitated may be removed by acid wash and selective dissolution or complexation. Ions incorporated into lattice sites and interlamellar layers will require more drastic cleaning procedures. Electropolishing and the use of an electrochemical brush are among concepts that should be considered seriously for the latter

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  3. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  4. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    Science.gov (United States)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  5. Nanohole 3D-size tailoring through polystyrene bead combustion during thin film deposition

    International Nuclear Information System (INIS)

    Peng Xiaofeng; Kamiya, Itaru

    2009-01-01

    A novel approach is presented for nanohole 3D-size tailoring. The process starts with a monolayer of polystyrene (PS) beads spun coat on silicon wafer as a template. The holes can be directly prepared through combustion of PS beads by oxygen plasma during metal or oxide thin film deposition. The incoming particles are prevented from adhering on PS beads by H 2 O and CO 2 generated from the combustion of the PS beads. The hole depth generally depends on the film thickness. The hole diameter can be tailored by the PS bead size, film deposition rate, and also the combustion speed of the PS beads. In this work, a series of holes with depth of 4-24 nm and diameter of 10-36 nm has been successfully prepared. The hole wall materials can be selected from metals such as Au or Pt and oxides such as SiO 2 or Al 2 O 3 . These templates could be suitable for the preparation and characterization of novel nanodevices based on single quantum dots or single molecules, and could be extended to the studies of a wide range of coating materials and substrates with controlled hole depth and diameters.

  6. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  7. Structure and phase composition of the titanium dioxide thin films deposited on the surface of the metallized track membranes from polyethyleneterephthalate by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Artoshina, O.V.; Semina, V.K.; Kochnev, Yu.K.; Nechaev, A.N.; Apel', P.Yu.; Milovich, F.O.; Iskhakova, L.D.; Ermakov, R.P.; Rossouw, A.; Gorberg, B.L.

    2016-01-01

    Thin films of TiO 2 , Ag, Ag-TiO 2 , Cu-TiO 2 deposited on the surface of polyethyleneterephthalate track membranes (TM) were investigated. Metals and oxide deposition was carried out by the method of vacuum reactive sputtering with application of a planar magnetron. The microstructure of samples was studied by the scanning and transmission electron microscopy (TEM) techniques. The elemental composition of coatings was investigated using energy-dispersive spectroscopy. For the identification of phase structure, X-ray diffraction phase analysis was used at various temperatures, and the XRD crystal structure patterns of the samples were obtained by the selected area electron diffraction (SAED) in TEM analysis. It was found that titanium dioxide on the TM surface can be present in three forms: nanocrystals of tetragonal anatase with impurity of rhombic brookite and the so-called X-ray amorphous TiO 2 . Cubical Cu 2 O was identified in TM metallized by copper. Optical properties of composite membranes and films were investigated by the method of absorption spectroscopy. Calculation of energies of the direct and indirect allowed optical transitions was carried out based on the analysis of absorption spectra of the studied composite membranes. [ru

  8. Influences of different oxidants on the characteristics of HfAlOx films deposited by atomic layer deposition

    International Nuclear Information System (INIS)

    Fan Ji-Bin; Liu Hong-Xia; Ma Fei; Zhuo Qing-Qing; Hao Yue

    2013-01-01

    A comparative study of two kinds of oxidants (H 2 O and O 3 ) with the combinations of two metal precursors [trimethylaluminum (TMA) and tetrakis(ethylmethylamino) hafnium (TEMAH)] for atomic layer deposition (ALD) hafnium aluminum oxide (HfAlO x ) films is carried out. The effects of different oxidants on the physical properties and electrical characteristics of HfAlO x films are studied. The preliminary testing results indicate that the impurity level of HfAlO x films grown with both H 2 O and O 3 used as oxidants can be well controlled, which has significant effects on the dielectric constant, valence band, electrical properties, and stability of HfAlO x film. Additional thermal annealing effects on the properties of HfAlO x films grown with different oxidants are also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  9. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  10. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    Science.gov (United States)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  11. Optical and electrical properties of In-doped CdO thin films fabricated by pulse laser deposition

    International Nuclear Information System (INIS)

    Zheng, B.J.; Lian, J.S.; Zhao, L.; Jiang, Q.

    2010-01-01

    Transparent indium-doped cadmium oxide (In-CdO) thin films were deposited on quartz glass substrates by pulse laser deposition (PLD) from ablating Cd-In metallic target at a fixed pressure 10 Pa and a fixed substrate temperature 300 deg. C. The influences of indium concentrations in target on the microstructure, optical and electrical performances were studied. When the indium concentration reaches to 3.9 wt%, the as-deposited In-CdO film shows high optical transmission in visible light region, obviously enhanced direct band gap energy (2.97 eV), higher carrier concentration and lower electric resistivity compared with the undoped CdO film, while a further increase of indium concentration to 5.6 wt% induces the formation of In 2 O 3 , which reverse the variation of these parameters and performance.

  12. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  13. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  14. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  15. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  16. Structural properties of WO{sub 3} dependent of the annealing temperature deposited by hot-filament metal oxide deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flores M, J. E. [Benemerita Universidad Autonoma de Puebla, Facultad de Ciencias de la Electronica, Av. San Claudio y 18 Sur, Ciudad Universitaria, Col. Jardines de San Manuel, 72570 Puebla (Mexico); Diaz R, J. [IPN, Centro de Investigacion en Biotecnologia Aplicada, Ex-Hacienda de San Molino Km 1.5 Tepetitla, 90700 Tlaxcala (Mexico); Balderas L, J. A., E-mail: eflores@ece.buap.mx [IPN, Unidad Profesional Interdisciplinaria de Biotecnologia, Av. Acueducto s/n, Col. Barrio la Laguna, 07340 Mexico D. F. (Mexico)

    2012-07-01

    In this work presents a study of the effect of the annealing temperature on structural and optical properties of WO{sub 3} that has been grown by hot-filament metal oxide deposition. The chemical stoichiometry was determined by X-ray photoelectron spectroscopy. By X-ray diffraction obtained that the as-deposited WO{sub 3} films present mainly monoclinic crystalline phase. WO{sub 3} optical band gap energy can be varied from 2.92 to 3.15 eV obtained by transmittance measurements by annealing WO{sub 3} from 100 to 500 C. The Raman spectrum of the as-deposited WO{sub 3} film shows four intense peaks that are typical Raman peaks of crystalline WO{sub 3} (m-phase) that corresponds to the stretching vibrations of the bridging oxygen that are assigned to W-O stretching ({upsilon}) and W-O bending ({delta}) modes, respectively, which enhanced and increased their intensity with the annealing temperature. (Author)

  17. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  18. Swift heavy ion induced surface and microstructural evolution in metallic glass thin films

    International Nuclear Information System (INIS)

    Thomas, Hysen; Thomas, Senoy; Ramanujan, Raju V.; Avasthi, D.K.; Al- Omari, I.A.; Al-Harthi, Salim; Anantharaman, M.R.

    2012-01-01

    Swift heavy ion induced changes in microstructure and surface morphology of vapor deposited Fe–Ni based metallic glass thin films have been investigated by using atomic force microscopy, X-ray diffraction and transmission electron microscopy. Ion beam irradiation was carried out at room temperature with 103 MeV Au 9+ beam with fluences ranging from 3 × 10 11 to 3 × 10 13 ions/cm 2 . The atomic force microscopy images were subjected to power spectral density analysis and roughness analysis using an image analysis software. Clusters were found in the image of as-deposited samples, which indicates that the film growth is dominated by the island growth mode. As-deposited films were amorphous as evidenced from X-ray diffraction; however, high resolution transmission electron microscopy measurements revealed a short range atomic order in the samples with crystallites of size around 3 nm embedded in an amorphous matrix. X-ray diffraction pattern of the as-deposited films after irradiation does not show any appreciable changes, indicating that the passage of swift heavy ions stabilizes the short range atomic ordering, or even creates further amorphization. The crystallinity of the as-deposited Fe–Ni based films was improved by thermal annealing, and diffraction results indicated that ion beam irradiation on annealed samples results in grain fragmentation. On bombarding annealed films, the surface roughness of the films decreased initially, then, at higher fluences it increased. The observed change in surface morphology of the irradiated films is attributed to the interplay between ion induced sputtering, volume diffusion and surface diffusion.

  19. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  20. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  1. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  2. A rapid process of Yba2Cu3O7-δ thin film fabrication using trifluoroacetate metal-organic deposition with polyethylene glycol additive

    DEFF Research Database (Denmark)

    Wu, Wei; Feng, Feng; Shi, Kai

    2013-01-01

    Trifluoroacetate metal-organic deposition (TFA-MOD) is a promising technique to fabricate YBa2Cu3O7-δ (YBCO) superconducting films. However, its slow pyrolysis process, which usually takes more than 10 h, constitutes a barrier for industrial production. In this study, polyethylene glycol (PEG......) was utilized to reduce the stress generation inside the coated films when the strong pyrolysis reactions happen. With the addition of 30 wt% PEG2000 to the precursor solution, a smooth film surface could be obtained through a rapid pyrolysis process of 15 min. After the optimizations of the crystallization...... and oxygenation processes, mass percentage and molecular weight of PEG additive, YBCO thin films with Jc of about 4.5 MA cm-2 (77 K, self-field) could be routinely fabricated using (20-30) wt% PEG(1000-2000) additive with a total treatment time of about 2 h including the 15 min pyrolysis process time. The effects...

  3. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  4. Fabrication of Cu–Zn–Sn–S–O Thin Films by the Electrochemical Deposition Method and Application to Heterojunction Cells

    Directory of Open Access Journals (Sweden)

    Kai Yang

    2012-01-01

    Full Text Available A new multinary semiconductor Cu2ZnSnS4−O (CZTSO, which does not contain toxic elements and expensive rare metals, was fabricated by the electrochemical deposition (ECD method. CZTSO thin films were deposited onto indium tin oxide (ITO- coated glass substrates by DC and two-step pulsed ECD from aqueous solutions containing CuSO4, ZnSO4, SnSO4, and Na2S2O3. The films deposited by pulsed ECD contained smaller amount of oxygen than those deposited by DC ECD. The films had band gap energies in a range from 1.5 eV and 2.1 eV. By a photoelectrochemical measurement, it was confirmed that CZTSO films showed p-type conduction and photosensitivity. CZTSO/ZnO heterojunctions exhibited rectification properties in a current-voltage measurement.

  5. FIB NANOPATTERNING OF METAL FILMS ON PMMA SUBSTRATES: NON-SPUTTERING MODE

    DEFF Research Database (Denmark)

    Tavares, Luciana; Adashkevich, Vadzim; Chiriaev, Serguei

    polymer materials, which results in material shrinkage in the irradiated areas [2]. In this work, we demonstrate that this mechanism can be used for nanopatterning thin metal films deposited on PMMA resist spin-coated onto a silicon substrate. For this purpose, the samples were irradiated with He+ FIB...

  6. Growth and characterization of ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)

    2016-09-01

    Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.

  7. Space-qualified optical thin films by ion-beam-assisted deposition

    International Nuclear Information System (INIS)

    Hsiao, C.N.; Chen, H.P.; Chiu, P.K.; Lin, Y.W.; Chen, F.Z.; Tsai, D.P.

    2013-01-01

    Optical interference coatings designed for use in a space-grade multispectral assembly in a complementary metal-oxide‐semiconductor sensor were deposited on glass by ion-beam-assisted deposition for a Cassegrain-type space-based remote-sensing platform. The patterned multispectral assembly containing blue, green, red, near infrared, and panchromatic multilayer high/low alternated dielectric band-pass filter arrays in a single chip was fabricated by a mechanical mask and the photolithography process. The corresponding properties of the films were investigated by in situ optical monitoring and spectrometry. It was found that the optical properties were significantly improved by employing ion-beam-assisted deposition. The average transmittances were above 88% for the multispectral assembly, with a rejection transmittance of less than 1% in the spectral range 350–1100 nm. To estimate the optical stability of optical coatings for aerospace applications, a space environment assuming a satellite orbiting the Earth at an altitude of near 800 km was simulated by a Co 60 gamma (γ) radiation test. - Highlights: ►Parameters of optical filters were optimized by using admittance loci analysis. ►Higher index of refraction of films prepared by ion beam assisted deposition. ►The dielectric filters have acceptable resistance after γ radiation exposure

  8. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  9. Experimental studies of thin films deposition by magnetron sputtering method for CIGS solar cell fabrication

    Science.gov (United States)

    Gułkowski, Sławomir; Krawczak, Ewelina

    2017-10-01

    Among a variety of the thin film solar cell technologies of second generation, copper-indium-gallium-diselenide device (CIGS) with the latest highest lab cell efficiency record of 22.4 % seems to be the most promising for the power generation. This is partly due to the advantages of using low cost films of few microns thick not only as a metallic contacts but also as a main structure of the solar cell consisted of high quality semiconductor layers. This paper reports the experimental studies of the CIGS absorber formation on Soda Lime Glass substrate covered by thin molybdenum film as a back contact layer. All structures were deposited with the use of magnetron sputtering method only. Technological parameters of the deposition process such as deposition power, pressure and deposition time were optimized for each layer of the structure. Mo back contact was examined in terms of resistivity. EDS measurements were carried out to verify stoichiometric composition of CIGS absorber. Thin film of Al was used as a top contact in order to examine the quality of p-n junction. The I-V electrical characteristic of the p-n junction was analysed in terms of solar cell application.

  10. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  11. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  12. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  13. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  14. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  15. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  16. Benefits of carbon addition on the hydrogen absorption properties of Mg-based thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Darok, X.; Rougier, A.; Bhat, V.; Aymard, L.; Dupont, L.; Laffont, L.; Tarascon, J.-M.

    2006-01-01

    Mg-Ni thin films were grown using Pulsed Laser Deposition. In situ optical changes from shiny metallic to transparent states were observed for films deposited in vacuum and under an Ar/H 2 gas mixture (93/7%), respectively. Optical changes were also achieved by ex situ hydrogenation under hydrogen gas pressure of 15 bars at 200 deg. C. However, after ex situ hydrogenation, the optical transmittance of the Mg-based hydrogenated thin films did not exceed 25%. Such limitation was attributed to oxygen contamination, as deduced by High Resolution Transmission Electron Microscopy observations, showing the co-existence of both Mg-based and MgO phases for as-deposited films. A significant decrease in oxygen contamination was successfully achieved with the addition of carbon, leading to the preparation of (Mg-based)-C x (x < 20%) thin films showing a faster and easier hydrogenation

  17. Effect of chemical treatment on surface characteristics of sputter deposited Ti-rich NiTi shape memory alloy thin-films

    International Nuclear Information System (INIS)

    Sharma, S.K.; Mohan, S.

    2014-01-01

    Graphical abstract: FTIR spectra recorded for sputter deposited (a) untreated and (b) chemically treated NiTi SMA thin-films. - Highlights: • The effect of chemical treatment on surface properties of NiTi films demonstrated. • Chemically treated films offer strong ability to form protective TiO 2 layer. • TiO 2 layer formation offer great application prospects in biomedical fields. - Abstract: NiTi thin-films were deposited by DC magnetron sputtering from single alloy target (Ni/Ti:45/55 at.%). The rate of deposition and thickness of sputter deposited films were maintained to ∼35 nm min −1 and 4 μm respectively. A set of sputter deposited NiTi films were selected for specific chemical treatment with the solution comprising of de-ionized water, HF and HNO 3 respectively. The influence of chemical treatment on surface characteristics of NiTi films before and after chemical treatment was investigated for their structure, micro-structure and composition using different analytical techniques. Prior to chemical treatment, the composition of NiTi films using energy dispersive X-ray dispersive spectroscopy (EDS), were found to be 51.8 atomic percent of Ti and 48.2 atomic percent of Ni. The structure and morphology of these films were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD investigations, demonstrated the presence of dominant Austenite (1 1 0) phase along with Martensite phase, for untreated NiTi films whereas some additional diffraction peaks viz. (1 0 0), (1 0 1), and (2 0 0) corresponding to Rutile and Anatase phase of Titanium dioxide (TiO 2 ) along with parent Austenite (1 1 0) phase were observed for chemically treated NiTi films. FTIR studies, it can be concluded that chemically treated films have higher tendency to form metal oxide/hydroxide than the untreated NiTi films. XPS investigations, demonstrated the presence of Ni-free surface and formation of a protective metal oxide (TiO 2 ) layer on the surface of

  18. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  19. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  20. Optical performance of thin films produced by the pulsed laser deposition of SiAlON and Er targets

    Energy Technology Data Exchange (ETDEWEB)

    Camps, I., E-mail: camps@io.cfmac.csic.es [Laser Processing Group, Instituto de Óptica, CSIC, C/Serrano 121, 28006 Madrid (Spain); Ramírez, J.M. [MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, c/Martí i Franqués 1, 08028 Barcelona (Spain); Mariscal, A.; Serna, R. [Laser Processing Group, Instituto de Óptica, CSIC, C/Serrano 121, 28006 Madrid (Spain); Garrido, B. [MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, c/Martí i Franqués 1, 08028 Barcelona (Spain); Perálvarez, M.; Carreras, J. [IREC, Fundació Privada Institut de Recerca en Energia de Catalunya (Spain); Barradas, N.P.; Alves, L.C. [C" 2TN, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10, 2695-066 Bobadela (Portugal); Alves, E. [IPFN, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10, 2695-066 Bobadela (Portugal)

    2015-05-01

    Highlights: • PLD production of Er-doped thin films from a low cost commercial SiAlON target. • The role of the ablation fluence on the composition, optical properties as well as on the light emission performance at 1.5 μm. • The optimized performance is obtained for the samples deposited at the higher used ablation energy density. Further improvement was achieved through annealing. - Abstract: We report the preparation and optical performance of thin films produced by pulsed laser deposition in vacuum at room temperature, by focusing an ArF excimer laser onto two separate targets: a commercial ceramic SiAlON and a metallic Er target. As a result of the alternate deposition Er:SiAlON films were formed. The as grown films exhibited an Er-related emission peaking at 1532 nm. The role of the PLD energy density during deposition on the final matrix film was investigated, in order to achieve an optimized matrix composition with enhanced optical properties, and its effect on the light emission performance.

  1. Transmission of reactive pulsed laser deposited VO{sub 2} films in the THz domain

    Energy Technology Data Exchange (ETDEWEB)

    Émond, Nicolas; Hendaoui, Ali; Ibrahim, Akram; Al-Naib, Ibraheem; Ozaki, Tsuneyuki; Chaker, Mohamed, E-mail: chaker@emt.inrs.ca

    2016-08-30

    Highlights: • Synthesis of vanadium dioxide (VO{sub 2}) thin films as a function of oxygen pressure (2–25 mTorr) using Reactive Pulsed Laser Deposition (RPLD). • Characterization of RPLD-grown VO{sub 2} thin films in the THz frequency range. • THz switches and/or sensors require VO{sub 2} films deposited at low oxygen pressure (i.e. low transition temperature, large amplitude contrast of THz transmission, narrow hysteresis width). • THz optical memory applications require VO{sub 2} films deposited at high oxygen pressure (broad hysteresis width). - Abstract: This work reports on the characteristics of the insulator-to-metal transition (IMT) of reactive pulsed laser deposited vanadium dioxide (VO{sub 2}) films in the terahertz (THz) frequency range, namely the transition temperature T{sub IMT}, the amplitude contrast of the THz transmission over the IMT ΔA, the transition sharpness ΔT and the hysteresis width ΔH. XRD analysis shows the sole formation of VO{sub 2} monoclinic structure with an enhancement of (011) preferential orientation when varying the O{sub 2} pressure (P{sub O2}) during the deposition process from 2 to 25 mTorr. THz transmission measurements as a function of temperature reveal that VO{sub 2} films obtained at low P{sub O2} exhibit low T{sub IMT}, large ΔA, and narrow ΔH. Increasing P{sub O2} results in VO{sub 2} films with higher T{sub IMT}, smaller ΔA, broader ΔH and asymmetric hysteresis loop. The good control of the VO{sub 2} IMT features in the THz domain could be further exploited for the development of advanced smart devices, such as ultrafast switches, modulators, memories and sensors.

  2. Surface Plasmon Waves on Thin Metal Films.

    Science.gov (United States)

    Craig, Alan Ellsworth

    Surface-plasmon polaritons propagating on thin metal films bounded by dielectrics of nearly equal refractive indexes comprise two bound modes. Calculations indicate that, while the modes are degenerate on thick films, both the real and the imaginary components of the propagation constants for the modes split into two branches on successively thinner films. Considering these non-degenerate modes, the mode exhibiting a symmetric (antisymmetric) transverse profile of the longitudinally polarized electric field component, has propagation constant components both of which increase (decrease) with decreasing film thickness. Theoretical propagation constant eigenvalue (PCE) curves have been plotted which delineate this dependence of both propagation constant components on film thickness. By means of a retroreflecting, hemispherical glass coupler in an attenuated total reflection (ATR) configuration, light of wavelength 632.8 nm coupled to the modes of thin silver films deposited on polished glass substrates. Lorentzian lineshape dips in the plots of reflectance vs. angle of incidence indicate the presence of the plasmon modes. The real and imaginary components of the propagation constraints (i.e., the propagation constant and loss coefficient) were calculated from the angular positions and widths of the ATR resonances recorded. Films of several thicknesses were probed. Results which support the theoretically predicted curves were reported.

  3. Thin films of metal-organic compounds and metal nanoparticle

    Indian Academy of Sciences (India)

    Thin films of metal-organic compounds and metal nanoparticle-embedded polymers for nonlinear optical applications. S Philip Anthony Shatabdi Porel D ... Thin films based on two very different metal-organic systems are developed and some nonlinear optical applications are explored. A family of zinc complexes which ...

  4. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  5. Structure and electrical properties of Pb(ZrxTi1-x)O3 deposited on textured Pt thin films

    International Nuclear Information System (INIS)

    Hong, Jongin; Song, Han Wook; Lee, Hee Chul; Lee, Won Jong; No, Kwangsoo

    2001-01-01

    The texturing of the bottom electrode plays a key role in the structure and electrical properties of Pb(Zr,Ti)O 3 (PZT) thin films. We fabricated Pt bottom electrodes having a different thickness on MgO single crystals at 600 o C by rf magnetron sputtering. As the thickness of platinum (Pt) thin film increased, the preferred orientation of Pt thin film changed from (200) to (111). PZT thin films were fabricated at 450 o C by electron cyclotron resonance-plasma enhanced metal organic chemical vapor deposition on the textured Pt thin films. The texturing of the bottom electrode caused drastic changes in the C--V characteristics, P--E characteristics, and fatigue characteristics of metal/ferroelectric material/metal (MFM) capacitors. The difference of the electrical properties between the PZT thin films having different texturing was discussed in terms-of the x--y alignment and the interface between electrode and PZT in MFM capacitors. copyright 2001 American Institute of Physics

  6. Composite materials obtained by the ion-plasma sputtering of metal compound coatings on polymer films

    Science.gov (United States)

    Khlebnikov, Nikolai; Polyakov, Evgenii; Borisov, Sergei; Barashev, Nikolai; Biramov, Emir; Maltceva, Anastasia; Vereshchagin, Artem; Khartov, Stas; Voronin, Anton

    2016-01-01

    In this article, the principle and examples composite materials obtained by deposition of metal compound coatings on polymer film substrates by the ion-plasma sputtering method are presented. A synergistic effect is to obtain the materials with structural properties of the polymer substrate and the surface properties of the metal deposited coatings. The technology of sputtering of TiN coatings of various thicknesses on polyethylene terephthalate films is discussed. The obtained composites are characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDX), atomic force microscopy (AFM), and scanning tunneling microscopy (STM) is shown. The examples of application of this method, such as receiving nanocomposite track membranes and flexible transparent electrodes, are considered.

  7. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  8. The influence of metal interlayers on the structural and optical properties of nano-crystalline TiO 2 films

    KAUST Repository

    Yang, Yong

    2012-03-01

    TiO 2-M-TiO 2 (M = W, Co and Ag) multilayer films have been deposited on glass substrates using reactive magnetron sputtering, then annealed in air for 2 h at 500°C. The structure, surface morphology and optical properties of the films have been studied using X-ray diffraction, Raman spectroscopy, atomic force microscopy and UV-vis spectroscopy. The TiO 2-W-TiO 2 and TiO 2-Co-TiO 2 films showed crystalline phases, whereas the TiO 2-Ag-TiO 2 films remained in the amorphous state. The crystallization temperature for the TiO 2-M-TiO 2 films decreased significantly compared with pure TiO 2 film deposited on quartz. Detailed analysis of the Raman spectra suggested that the crystallization of TiO 2-M-TiO 2 films was associated with the large structural deformation imposed by the oxidation of intermediate metal layers. Moreover, the optical band gap of the films narrowed due to the appearance of impurity levels as the metal ions migrated into the TiO 2 matrix. These results indicate that the insertion of intermediate metal layers provides a feasible access to improve the structural and optical properties of anatase TiO 2 films, leading to promising applications in the field of photocatalysis. © 2011 Elsevier B.V. All rights reserved.

  9. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  10. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  11. TXRF study of electrochemical deposition of metals on glass-ceramic carbon electrode surfaces

    International Nuclear Information System (INIS)

    Alov, N.; Oskolok, K.; Wittershagen, A.; Mertens, M.; Rittmeyer, C.; Kolbesen, B.O.

    2000-01-01

    Nowadays the methods of solid surface analysis are widely used to study the thermodynamic and kinetic aspects of joint electrochemical deposition of metals on solid substrates. In this work the surfaces of some binary and ternary metal electrodeposits on disc glass-ceramic carbon electrodes were studied by total-reflection x-ray fluorescence spectroscopy (TXRF). Metal alloys were obtained as a result of electrochemical co-deposition of copper, cadmium and lead from n x 10 -4 M (Cu, Cd, Pb)(NO 3 ) 2 + 0.01 M HNO 3 solutions under mixing. TXRF measurements were performed with an ATOMIKA EXTRA II A spectrometer using Mo K α and W (Brems) primary excitation. The serious advantage of TXRF as a method of near-surface analysis is very high element sensitivity. Apart from main elements (Cu, Cd, Pb) we have detected trace elements (Cl, Ag, Pt, Hg) which are present in working solution and has an effect to the electrodeposit formation. The comparison of TXRF data with information obtained by X-ray photoelectron spectroscopy and electron-probe x-ray microanalysis permits to realize depth profiling electrochemical alloys. In particular it was found that in binary systems Cu-Pb and Cu-Cd the relative lead and cadmium content on the electrodeposit surface is considerably greater than in the bulk. These phenomena are due to the features of metal nucleation and growth mechanisms. High sensitivity of TXRF to surface morphology and the correlation of TXRF and scanning electron microscopy data allow to determine the area of prevailing location of metal in the heterogeneous alloy surface. So we have established that in Cu-Pb and Cu-Cd-Pb systems solid solution of copper and lead is formed: significant part of lead is deposited not only in specific 3D-clusters but also in copper thin film. It was demonstrated that the near-surface TXRF analysis of metal electrodeposits on solid electrodes is highly effective to study the mechanisms of metal nucleation, metal cluster and thin film

  12. Deposition of highly oriented (K,Na)NbO3 films on flexible metal substrates

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Thydén, Karl; Bowen, Jacob R.

    2018-01-01

    In view of developing flexible, highly textured Pb-free piezoelectric thin films, (K,Na)NbO3 was deposited by chemical solution deposition on cube-textured Ni-W alloy substrates. After heat treatment, a strong (001)pc out-of-plane preferential orientation is created in the (K,Na)NbO3 layer, which...

  13. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  14. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  15. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  16. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  17. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  18. Interfacial electronic structure of Na deposited on rubrene thin film studied by synchrotron radiation photoemission

    International Nuclear Information System (INIS)

    Wei, Ching-Hsuan; Cheng, Chiu-Ping; Lin, Hong-Cheu; Pi, Tun-Wen

    2015-01-01

    Graphical abstract: - Highlights: • Na deposited on rubrene had undergone three-stage development process via (1) atomic diffusion, (2) atomic incorporation in the surface region, (3) formation of a metallic film. • High resolution core-level photoemission was used to determine the location of the doped Na atoms, which is affiliated at the end position of the tetracene-like backbone. • Na metal was formed on the rubrene thin film. • Ionization potential of the organic molecule regulated with different Na doping concentration could be controllable and favorable in practical applications. - Abstract: The electronic structure of rubrene doped with various concentrations of Na was studied by synchrotron-radiation photoemission. Three stages of development were found with increasing Na concentration; Na penetrating deep into the organic film, followed by development of gap states, and ended with a metallic Na film. The charge transfer from Na to rubrene resulted in a vacuum-level shift. By doping Na into rubrene, we could control the IP of the organic molecule, which is favorable for application in organic semiconductor devices.

  19. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  20. A comparison of multi-metal deposition processes utilising gold nanoparticles and an evaluation of their application to 'low yield' surfaces for finger mark development.

    Science.gov (United States)

    Fairley, C; Bleay, S M; Sears, V G; NicDaeid, N

    2012-04-10

    This paper reports a comparison of the effectiveness and practicality of using different multi-metal deposition processes for finger mark development. The work investigates whether modifications can be made to improve the performance of the existing process published by Schnetz. Secondly, we compare the ability of different multi-metal deposition processes to develop finger marks on a range of surfaces with that of other currently used development processes. All published multi-metal deposition processes utilise an initial stage of colloidal gold deposition followed by enhancement of the marks with using a physical developer. All possible combinations of colloidal gold and physical developer stages were tested. The method proposed by Schnetz was shown to be the most effective process, however a modification which reduced the pH of the enhancement solution was revealed to provide the best combination of effectiveness and practicality. In trials comparing the modified formulation with vacuum metal deposition, superglue and powder suspensions on surfaces which typically give low finger mark yields (cling film, plasticised vinyl, leather and masking tape), the modified method produced significantly better results over existing processes for cling film and plasticised vinyl. The modified formulation was found to be ineffective on both masking tape and leather. It is recommended that further tests be carried out on the modified multi-metal deposition formulation to establish whether it could be introduced for operational work on cling film material in particular. Copyright © 2011 Elsevier Ireland Ltd. All rights reserved.

  1. Energy harvesting efficiency of piezoelectric polymer film with graphene and metal electrodes.

    Science.gov (United States)

    Park, Sanghoon; Kim, Yura; Jung, Hyosub; Park, Jun-Young; Lee, Naesung; Seo, Yongho

    2017-12-11

    In this study, we investigated an energy harvesting effect of tensile stress using piezoelectric polymers and flexible electrodes. A chemical-vapor-deposition grown graphene film was transferred onto both sides of the PVDF and P(VDF-TrFE) films simultaneously by means of a conventional wet chemical method. Output voltage induced by sound waves was measured and analyzed when a mechanical tension was applied to the device. Another energy harvester was made with a metallic electrode, where Al and Ag were deposited by using an electron-beam evaporator. When acoustic vibrations (105 dB) were applied to the graphene/PVDF/graphene device, an induced voltage of 7.6 V pp was measured with a tensile stress of 1.75 MPa, and this was increased up to 9.1 V pp with a stress of 2.18 MPa for the metal/P(VDF-TrFE)/metal device. The 9 metal/PVDF/metal layers were stacked as an energy harvester, and tension was applied by using springs. Also, we fabricated a full-wave rectifying circuit to store the electrical energy in a 100 μF capacitor, and external vibration generated the electrical charges. As a result, the stored voltage at the capacitor, obtained from the harvester via a bridge diode rectifier, was saturated to ~7.04 V after 180 s charging time.

  2. Thermal Effusivity Determination of Metallic Films of Nanometric Thickness by the Electrical Micropulse Method

    Science.gov (United States)

    Lugo, J. M.; Oliva, A. I.

    2017-02-01

    The thermal effusivity of gold, aluminum, and copper thin films of nanometric thickness (20 nm to 200 nm) was investigated in terms of the films' thickness. The metallic thin films were deposited onto glass substrates by thermal evaporation, and the thermal effusivity was estimated by using experimental parameters such as the specific heat, thermal conductivity, and thermal diffusivity values obtained at room conditions. The specific heat, thermal conductivity, and thermal diffusivity values of the metallic thin films are determined with a methodology based on the behavior of the thermal profiles of the films when electrical pulses of few microseconds are applied at room conditions. For all the investigated materials, the thermal effusivity decreases with decreased thickness. The thermal effusivity values estimated by the presented methodology are consistent with other reported values obtained under vacuum conditions and more elaborated methodologies.

  3. Electrosprayed Metal Oxide Semiconductor Films for Sensitive and Selective Detection of Hydrogen Sulfide

    Directory of Open Access Journals (Sweden)

    Maryam Siadat

    2009-11-01

    Full Text Available Semiconductor metal oxide films of copper-doped tin oxide (Cu-SnO2, tungsten oxide (WO3 and indium oxide (In2O3 were deposited on a platinum coated alumina substrate employing the electrostatic spray deposition technique (ESD. The morphology studied with scanning electron microscopy (SEM and atomic force microscopy (AFM shows porous homogeneous films comprising uniformly distributed aggregates of nano particles. The X-ray diffraction technique (XRD proves the formation of crystalline phases with no impurities. Besides, the Raman cartographies provided information about the structural homogeneity. Some of the films are highly sensitive to low concentrations of H2S (10 ppm at low operating temperatures (100 and 200 °C and the best response in terms of Rair/Rgas is given by Cu-SnO2 films (2500 followed by WO3 (1200 and In2O3 (75. Moreover, all the films exhibit no cross-sensitivity to other reducing (SO2 or oxidizing (NO2 gases.

  4. Study of the crystallographic phase change on copper (I) selenide thin films prepared through chemical bath deposition by varying the pH of the solution

    Energy Technology Data Exchange (ETDEWEB)

    Sandoval-Paz, M.G., E-mail: myrnasandoval@udec.cl [Departament of Physics, Faculty of Physical Sciences and Mathematics, University of Concepcion, Box 160-C, Concepción (Chile); Rodríguez, C.A. [Department of Materials Engineering, Faculty of Engineering, University of Concepción, Edmundo Larenas 270, Concepción 4070409 (Chile); Porcile-Saavedra, P.F. [Departament of Physics, Faculty of Physical Sciences and Mathematics, University of Concepcion, Box 160-C, Concepción (Chile); Trejo-Cruz, C. [Department of Physics, Faculty of Science, University of Biobío, Avenue Collao 1202, Box 5C, Concepción 4051381 (Chile)

    2016-07-15

    Copper (I) selenide thin films with orthorhombic and cubic structure were deposited on glass substrates by using the chemical bath deposition technique. The effects of the solution pH on the films growth and subsequently the structural, optical and electrical properties of the films were studied. Films with orthorhombic structure were obtained from baths wherein both metal complex and hydroxide coexist; while films with cubic structure were obtained from baths where the metal hydroxide there is no present. The structural modifications are accompanied by changes in bandgap energy, morphology and electrical resistivity of the films. - Graphical abstract: “Study of the crystallographic phase change on copper (I) selenide thin films prepared through chemical bath deposition by varying the pH of the solution” by M. G. Sandoval-Paz, C. A. Rodríguez, P. F. Porcile-Saavedra, C. Trejo-Cruz. Display Omitted - Highlights: • Copper (I) selenide thin films were obtained by chemical bath deposition. • Orthorhombic to cubic phase change was induced by varying the reaction solution pH. • Orthorhombic phase is obtained mainly from a hydroxides cluster mechanism. • Cubic phase is obtained mainly from an ion by ion mechanism. • Structural, optical and electrical properties are presented as a function of pH.

  5. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  6. Atomic layer deposition of VO{sub 2} films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xinrui [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); Yan, Lu; Li, Ying; Song, Lixin [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-02-28

    Highlights: • VO{sub 2} film was easily deposited by ALD using novel vanadium precursor V(NMe{sub 2}){sub 4}. • Deposition and annealing condition were systematically investigated. • Comparable transition properties of VO{sub 2} film on resistance and spectral transmittance were studied. - Abstract: VO{sub 2} thin films have been grown on Si(100) (VO{sub 2}/Si) and fused silica substrates (VO{sub 2}/SiO{sub 2}) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO{sub 2} thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150–200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO{sub 2} films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO{sub 2}/Si film. AFM was applied to study the surface morphology of VO{sub 2}/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO{sub 2}/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (T{sub c,h}) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of T{sub c,h} compared with the bulk VO{sub 2} (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO{sub 2}/SiO{sub 2} films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across

  7. Optical and electrochromic properties of sol-gel deposited Ti- doped vanadium oxide films

    International Nuclear Information System (INIS)

    Oezer, N.; Sabuncu, S.

    1997-01-01

    Because of the yellowish color, vanadium oxide films in the as deposited state is not as favorable as transparent coatings for most elector chromic devices. an interesting possibility to alter the yellowish colours is the doping with other non-absorbing metal oxides. Ti doped vanadium oxide films with various amounts of titanium were synthesized and investigated as transparent counter electrodes for electrochromic transmissive device application. Electrochromic titanium doped vanadium pentoxide (V sub 2 O 5) coatings were prepared by the sol-gel dip coating technique. The coating solutions were synthesized from vanadium tri(isopropoxide) precursors. X-ray diffraction (XRD) studies showed that the sol-gel deposited doped films heat treated at temperatures below 350 degree centigrade, were amorphous, whereas hose heat treated at higher temperatures were slight y crystalline. The optical and electrochemical properties of the Ti doped vanadium oxide films has been investigated in 0.1 m LiClO sub 4 propylene carbonate solution color changes by dropping were noted for all investigated films exhibits good electrochemical cycling (CV) measurements also showed that Ti doped V sub 2 O sub 5 films exhibits good electrochemical cycling reversibility, 'in situ' optical measurement revealed that those films exhibits good electrochemical cycling the spectra range 300 < lambda < 800 nm and change color between yellow and light green. The change in visible transmittance was 25 % for 5% Ti doped film. (author)

  8. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO3 thin films

    International Nuclear Information System (INIS)

    Sreenivas Puli, Venkata; Kumar Pradhan, Dhiren; Gollapudi, Sreenivasulu; Coondoo, Indrani; Panwar, Neeraj; Adireddy, Shiva; Chrisey, Douglas B.; Katiyar, Ram S.

    2014-01-01

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO 3 (BFO) thin films have been deposited on Pt/TiO 2 /SiO 2 /Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d 33 ) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO 3 thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO 3 thin films. • High magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO 3 thin films. • A notable piezoelectric constant d 33 ∼94 pm/V was found in BiFeO 3 thin films

  9. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  10. Experimental studies of thin films deposition by magnetron sputtering method for CIGS solar cell fabrication

    Directory of Open Access Journals (Sweden)

    Gułkowski Sławomir

    2017-01-01

    Full Text Available Among a variety of the thin film solar cell technologies of second generation, copper-indium-gallium-diselenide device (CIGS with the latest highest lab cell efficiency record of 22.4 % seems to be the most promising for the power generation. This is partly due to the advantages of using low cost films of few microns thick not only as a metallic contacts but also as a main structure of the solar cell consisted of high quality semiconductor layers. This paper reports the experimental studies of the CIGS absorber formation on Soda Lime Glass substrate covered by thin molybdenum film as a back contact layer. All structures were deposited with the use of magnetron sputtering method only. Technological parameters of the deposition process such as deposition power, pressure and deposition time were optimized for each layer of the structure. Mo back contact was examined in terms of resistivity. EDS measurements were carried out to verify stoichiometric composition of CIGS absorber. Thin film of Al was used as a top contact in order to examine the quality of p-n junction. The I-V electrical characteristic of the p-n junction was analysed in terms of solar cell application.

  11. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  12. The resistance changes of carbon and metal oxide film resistors by irradiation of 60Co γ rays

    International Nuclear Information System (INIS)

    Okamoto, Shinichi; Fujino, Takahiro; Furuta, Junichiro; Yoshida, Toshio

    1979-01-01

    The resistance changes of glass-sealed deposited-carbon-film and carbon-coated-film resitors and metal oxide glazed resistors made in USA were studied by gamma-ray irradiation. (1) The resistances of deposited-carbon-film resistors of 50, 100 and 200 megohm did not change by irradiation of gamma rays up to 1.9 x 10 9 R. (2) The carbon-coated-film resistors of 100, 1000, 10000 and 100000 megohm had negative resistance changes by irradiation of gamma rays up to 9.9 x 10 8 R. (3) The resistances of metal oxide glazed resistors of 100, 1000 and 10000 megohm did not change by irradiation of gamma rays up to 8.8 x 10 8 R. When radiation monitoring instruments with hi-meg resistors are used in a gamma field with high intensity, the resistors must not be exposed to gamma rays with high doses, or the resistors which do not change by gamma-ray irradiation must be selected. (author)

  13. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  14. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  15. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  16. Ion beam assisted deposition of nano-structured C:Ni films

    Energy Technology Data Exchange (ETDEWEB)

    Abrasonis, G.; Muecklich, A.; Heller, R.; Heinig, K.H.; Gemming, S.; Moeller, W. [Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany); Krause, M. [Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany); Institute of Physics, TU Dresden (Germany)

    2012-07-01

    Nanostructures influence material properties dramatically due to size, shape and interface effects. Thus the control of the structure at the nanoscale is a key issue in nanomaterials science. The interaction of hyperthermal ions with solids is confined to the nanometer scale. Thus, it can be used to control the morphology evolution during multiphase film deposition. Ion-induced displacements occur in a thin surface layer of the growing film where they increase the atomic mobility for the phase separation. Here the growth-structure relationship of C:Ni (15 at.%) nanocomposite films grown by oblique incidence (45 ) ion beam assisted deposition is reported. The influences of the flux of an assisting Ar+ ion beam (0-140 eV) as well as of an elevated substrate temperature have been studied. The formation of elongated nickel nanoparticles is strongly promoted by the ion beam assistance. Moreover, the metal nanocolumns no longer align with the advancing surface, but with the incoming ions. A window of conditions is established within which the ion assistance leads to the formation of regular composition modulations with a well defined periodicity and tilt. As the dominating driving force for the pattern formation is of physical origin, this approach might be applicable to other immiscible systems.

  17. Effects of different needles and substrates on CuInS{sub 2} deposited by electrostatic spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Roncallo, S. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Painter, J.D., E-mail: j.d.painter@cranfield.ac.u [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Healy, M.J.F. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Ritchie, S.A.; Finnis, M.V. [Department of Engineering Systems and Management, Cranfield University, Shrivenham, Swindon SN6 8LA (United Kingdom); Rogers, K.D. [Cranfield Health, Cranfield University, Cranfield, Bedfordshire, MK43 0AL (United Kingdom); Scragg, J.J. [University of Bath, Claverton Down, Bath, BA2 7AY (United Kingdom); Dale, P.J. [Laboratoire Photovoltaique, University of Luxembourg, 41 Rue du Brill, L-4422, Belvaux (Luxembourg); Zoppi, G. [Northumbria Photovoltaics Applications Centre, Northumbria, University, Newcastle upon Tyne NE1 8ST (United Kingdom)

    2011-03-31

    Copper indium disulphide (CuInS{sub 2}) thin films were deposited using the electrostatic spray deposition method. The effects of applied voltage and solution flow rate on the aerosol cone shape, film composition, surface morphology and current conversion were investigated. The effect of aluminium substrates and transparent fluorine doped tin oxide (SnO{sub 2}:F) coated glass substrates on the properties of as-deposited CuInS{sub 2} films were analysed. An oxidation process occurs during the deposition onto the metallic substrates which forms an insulating layer between the photoactive film and substrate. The effects of two different spray needles on the properties of the as-deposited films were also studied. The results reveal that the use of a stainless steel needle results in contamination of the film due to the transfer of metal impurities through the spray whilst this is not seen for the glass needle. The films were characterised using a number of different analytical techniques such as X-ray diffraction, scanning electron microscopy, Rutherford back-scattering and secondary ion mass spectroscopy and opto-electronic measurements.

  18. Modeling and simulation of the deposition/relaxation processes of polycrystalline diatomic structures of metallic nitride films

    Science.gov (United States)

    García, M. F.; Restrepo-Parra, E.; Riaño-Rojas, J. C.

    2015-05-01

    This work develops a model that mimics the growth of diatomic, polycrystalline thin films by artificially splitting the growth into deposition and relaxation processes including two stages: (1) a grain-based stochastic method (grains orientation randomly chosen) is considered and by means of the Kinetic Monte Carlo method employing a non-standard version, known as Constant Time Stepping, the deposition is simulated. The adsorption of adatoms is accepted or rejected depending on the neighborhood conditions; furthermore, the desorption process is not included in the simulation and (2) the Monte Carlo method combined with the metropolis algorithm is used to simulate the diffusion. The model was developed by accounting for parameters that determine the morphology of the film, such as the growth temperature, the interacting atomic species, the binding energy and the material crystal structure. The modeled samples exhibited an FCC structure with grain formation with orientations in the family planes of , and . The grain size and film roughness were analyzed. By construction, the grain size decreased, and the roughness increased, as the growth temperature increased. Although, during the growth process of real materials, the deposition and relaxation occurs simultaneously, this method may perhaps be valid to build realistic polycrystalline samples.

  19. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  20. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  1. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    Science.gov (United States)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  2. Surface Finish after Laser Metal Deposition

    Science.gov (United States)

    Rombouts, M.; Maes, G.; Hendrix, W.; Delarbre, E.; Motmans, F.

    Laser metal deposition (LMD) is an additive manufacturing technology for the fabrication of metal parts through layerwise deposition and laser induced melting of metal powder. The poor surface finish presents a major limitation in LMD. This study focuses on the effects of surface inclination angle and strategies to improve the surface finish of LMD components. A substantial improvement in surface quality of both the side and top surfaces has been obtained by laser remelting after powder deposition.

  3. Ferroelectric and piezoelectric properties of lead-free BaTiO{sub 3} doped Bi{sub 0.5}Na{sub 0.5}TiO{sub 3} thin films from metal-organic solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Acharya, Susant Kumar [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Department of Semiconductor Science and Technology, Basic Research Laboratory (BRL), Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Lee, Sang-Kwon; Hyung, Jung-Hwan [Department of Semiconductor Science and Technology, Basic Research Laboratory (BRL), Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Yang, Yun-Ho; Kim, Bok-Hee [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Ahn, Byung-Guk, E-mail: bkahn@jbnu.ac.kr [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2012-11-05

    Highlights: Black-Right-Pointing-Pointer Lead-free BNT-BT thin films from an optimized metal-organic solution deposition. Black-Right-Pointing-Pointer Phase and microstructure evolution with annealing temperature. Black-Right-Pointing-Pointer A relatively low leakage current density. Black-Right-Pointing-Pointer Good dielectric constant of 613 at a frequency of 1 kHz. Black-Right-Pointing-Pointer High remanent polarization and piezoelectric constant comparable to PZT thin films. - Abstract: Lead-free 0.94Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}-0.06BaTiO{sub 3} (BNT-BT) piezoelectric thin films were prepared by metal-organic solution deposition onto a Pt/Ti/SiO{sub 2}/Si substrate. A dense and well crystallized thin film with a perovskite phase was obtained by annealing these films at 700 Degree-Sign C. Atomic force microscopy showed that these films were smooth and crack-free with an average grain size on the order of 200 nm. Thin films of 356 nm thickness exhibited a small signal dielectric constant and a loss tangent at 1 kHz of 613 and 0.044, respectively. Ferroelectric hysteresis measurements indicated a remanent polarization value of 21.5 {mu}C/cm{sup 2} with a coercive field of 164.5 kV/cm. The leakage current density of the thin film was 4.08 Multiplication-Sign 10{sup -4} A/cm{sup 2} at an applied electric field of 200 kV/cm. A typical butterfly-shaped piezoresponse loop was observed and the effective piezoelectric coefficient (d{sub 33}) of the BNT-BT thin film was approximately 51.6 pm/V.

  4. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  5. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  6. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  7. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  8. Hetero-Colloidal Metal Particle Multilayer Films Grown Using Electrostatic Interactions at the Air-water Interface

    International Nuclear Information System (INIS)

    Sastry, Murali; Mayya, K.S.

    2000-01-01

    The formation of nanoparticle multilayer films by electrostatic immobilization of surface-modified colloidal particles at the air-water interface has been recently demonstrated by us. In this paper, we extend our study to show that multilayer assemblies consisting of metal particles of different chemical nature (hetero-colloidal particle superlattices) and size can be deposited by the versatile Langmuir-Blodgett technique. Multilayer films consisting of a different number of bilayers of gold and silver colloidal particles have been deposited and characterized using quartz crystal microgravimetry and UV-visible spectroscopy measurements. It is observed that while layer-by-layer deposition of the different colloidal particle assemblies is possible by this technique without a detectable variation in the cluster density in the different layers, a degree of post-deposition reorganization of the clusters occurs in the film. In addition to this aging behavior, the effect of different organic solvents on the reorganization process has also been studied

  9. Impact of post deposition annealing in the electrically active traps at the interface between Ge(001) substrates and LaGeO{sub x} films grown by molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Baldovino, Silvia; Fanciulli, Marco [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Tsoutsou, Dimitra; Golias, Evangelos; Dimoulas, Athanasios [MBE Laboratory, Institute of Materials Science, NCSR DEMOKRITOS, Athens 153 10 (Greece)

    2011-10-15

    Changes in the electron trapping at the interface between Ge substrates and LaGeO{sub x} films grown by atomic O assisted molecular beam deposition are inferred upon post deposition annealing treatment on the as-deposited films from electrically detected magnetic resonance (EDMR) spectroscopy and from the electrical response of Pt/LaGeO{sub x}/Ge metal oxide semiconductor (MOS) capacitors. The improved electrical performance of the MOS capacitors upon annealing is consistent with the EDMR detected reduction of oxide defects which are associated with GeO species in the LaGeO{sub x} layer as evidenced by x-ray photoelectron spectroscopy.

  10. Highly uniform resistive switching properties of amorphous InGaZnO thin films prepared by a low temperature photochemical solution deposition method.

    Science.gov (United States)

    Hu, Wei; Zou, Lilan; Chen, Xinman; Qin, Ni; Li, Shuwei; Bao, Dinghua

    2014-04-09

    We report on highly uniform resistive switching properties of amorphous InGaZnO (a-IGZO) thin films. The thin films were fabricated by a low temperature photochemical solution deposition method, a simple process combining chemical solution deposition and ultraviolet (UV) irradiation treatment. The a-IGZO based resistive switching devices exhibit long retention, good endurance, uniform switching voltages, and stable distribution of low and high resistance states. Electrical conduction mechanisms were also discussed on the basis of the current-voltage characteristics and their temperature dependence. The excellent resistive switching properties can be attributed to the reduction of organic- and hydrogen-based elements and the formation of enhanced metal-oxide bonding and metal-hydroxide bonding networks by hydrogen bonding due to UV irradiation, based on Fourier-transform-infrared spectroscopy, X-ray photoelectron spectroscopy, and Field emission scanning electron microscopy analysis of the thin films. This study suggests that a-IGZO thin films have potential applications in resistive random access memory and the low temperature photochemical solution deposition method can find the opportunity for further achieving system on panel applications if the a-IGZO resistive switching cells were integrated with a-IGZO thin film transistors.

  11. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  12. Experimental characterization of electrochemically polymerized polycarbazole film and study of its behavior with different metals contacts

    Science.gov (United States)

    Srivastava, Aditi; Chakrabarti, P.

    2017-12-01

    In this paper, we present the method of fabrication, experimental characterization, and comparison of electrical parameters of semiconducting polycarbazole film with different rectifying metals contacts. Electrochemical polymerization and deposition of organic semiconductor, i.e., polycarbazole on ITO-coated glass substrate, were performed using an electrochemical workstation. Experimental characterization of the prepared polymer film was done in respect of morphology, absorption, bandgap, and thickness. The stability and electro-activity of polycarbazole film were verified by the cyclic voltammetric method. Study of the behavior of prepared polycarbazole film with the different metals contacts such as Aluminum, Copper, Tungsten, and Tin has been done using semiconductor device analyzer. Various electrical parameters such as barrier height, ideality factor, and reverse saturation current have been extracted with different metal contacts, and the values were compared and contrasted. The nature of I- V characteristic of polycarbazole film in non-contact mode has also been analyzed using scanning tunneling microscope. The rectifying I- V characteristics obtained with different metals contacts have also been validated by the simulation on Deckbuild platform of the of ATLAS® software tool from Silvaco Inc.

  13. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  14. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  15. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  16. Microstructural, thermal and mechanical behavior of co-sputtered binary Zr–Cu thin film metallic glasses

    Energy Technology Data Exchange (ETDEWEB)

    Apreutesei, M. [MATEIS Laboratory-INSA de Lyon, Bât. B. Pascal, 7 Avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Steyer, P., E-mail: philippe.steyer@insa-lyon.fr [MATEIS Laboratory-INSA de Lyon, Bât. B. Pascal, 7 Avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Joly-Pottuz, L. [MATEIS Laboratory-INSA de Lyon, Bât. B. Pascal, 7 Avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Billard, A. [LERMPS-UTBM, Site de Montbéliard, 90010 Belfort Cédex (France); Qiao, J.; Cardinal, S. [MATEIS Laboratory-INSA de Lyon, Bât. B. Pascal, 7 Avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Sanchette, F. [LASMIS-UTT, UMR CNRS 6279, 12 rue Marie Curie, CS 42060, 10004 Troyes Cedex (France); Pelletier, J.M.; Esnouf, C. [MATEIS Laboratory-INSA de Lyon, Bât. B. Pascal, 7 Avenue Jean Capelle, 69621 Villeurbanne Cedex (France)

    2014-06-30

    Bulk metallic glasses have attracted considerable attention over the last decades for their outstanding mechanical features (high strength, super-elasticity) and physico-chemical properties (corrosion resistance). Recently, some attempts to assign such original behavior from bulk materials to modified surfaces have been reported in the literature based on multicomponent alloys. In this paper we focused on the opportunity to form a metallic glass coating from the binary Zr–Cu system using a magnetron co-sputtering physical vapor deposition process. The composition of the films can be easily controlled by the relative intensities applied to both pure targets, which made possible the study of the whole Zr–Cu system (from 13.4 to 85.0 at.% Cu). The chemical composition of the films was obtained by energy dispersive X-ray spectroscopy, and their microstructure was characterized by scanning and transmission electron microscopy. The thermal stability of the films was deduced from an in situ X-ray diffraction analysis (from room temperature up to 600 °C) and correlated with the results of the differential scanning calorimetry technique. Their mechanical properties were determined by nanoindentation experiments. - Highlights: • We reported deposition of Zr-Cu thin film metallic glasses by co-sputtering • Films were XRD-amorphous in a wide composition range (33.3 – 85.0 at.% Cu) • Microstructure investigation revealed some local nanodomains • We examined the thermal stability by means of in situ X-ray diffraction • Nanoindentation was used to obtained mechanical properties of thin films.

  17. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  18. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  19. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  20. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  1. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    Science.gov (United States)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  2. Role of temperature and energy density in the pulsed laser deposition of zirconium oxide thin film

    International Nuclear Information System (INIS)

    Mittra, Joy; Abraham, G.J.; Viswanadham, C.S.; Kulkarni, U.D.; Dey, G.K.

    2011-01-01

    Present work brings out the effects of energy density and substrate temperature on pulsed laser deposition of zirconium oxide thin film on Zr-base alloy substrates. The ablation of sintered zirconia has been carried out using a KrF excimer laser having 30 ns pulse width and 600 mJ energy at source at 10 Hz repetition rate. To comprehend effects of these parameters on the synthesized thin film, pure zirconia substrate has been ablated at two different energy densities, 2 J.cm -2 and 5 J.cm -2 , keeping the substrate at 300 K, 573 K and 873 K, respectively. After visual observation, deposited thin films have been examined using Raman Spectroscopy (RS) and X-ray Photo-electron Spectroscopy (XPS). It has been found that the oxide deposited at 300 K temperature does not show good adherence with the substrate and deteriorates further with the reduction in energy density of the incident laser. The oxide films, deposited at 573 K and 873 K, have been found to be adherent with the substrate and appear lustrous black. These indicate that the threshold for adherence of the zirconia film on the Zr-base alloy substrate lies in between 300 K and 573 K. Analysis of Raman spectra has indicated that thin films of zirconia, deposited using pulsed laser, on the Zr-base metallic substrate are initially in amorphous state. Experimental evidence has indicated a strong link among the degree of crystallinity of the deposited oxide film, the substrate temperature and the energy density. It also has shown that the crystallization of the oxide film is dependent on the substrate temperature and the duration of holding at high temperature. The O:Zr ratios of the films, analyzed from the XPS data, have been found to be close to but less than 2. This appears to explain the reason for the transformation of amorphous oxide into monoclinic and tetragonal phases, below 573 K, and not into cubic phase, which is reported to be more oxygen deficient. (author)

  3. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  4. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  5. Structural, chemical and nanomechanical investigations of SiC/polymeric a-C:H films deposited by reactive RF unbalanced magnetron sputtering

    Science.gov (United States)

    Tomastik, C.; Lackner, J. M.; Pauschitz, A.; Roy, M.

    2016-03-01

    Amorphous carbon (or diamond-like carbon, DLC) films have shown a number of important properties usable for a wide range of applications for very thin coatings with low friction and good wear resistance. DLC films alloyed with (semi-)metals show some improved properties and can be deposited by various methods. Among those, the widely used magnetron sputtering of carbon targets is known to increase the number of defects in the films. Therefore, in this paper an alternative approach of depositing silicon-carbide-containing polymeric hydrogenated DLC films using unbalanced magnetron sputtering was investigated. The influence of the C2H2 precursor concentration in the deposition chamber on the chemical and structural properties of the deposited films was investigated by Raman spectroscopy, X-ray photoelectron spectroscopy and elastic recoil detection analysis. Roughness, mechanical properties and scratch response of the films were evaluated with the help of atomic force microscopy and nanoindentation. The Raman spectra revealed a strong correlation of the film structure with the C2H2 concentration during deposition. A higher C2H2 flow rate results in an increase in SiC content and decrease in hydrogen content in the film. This in turn increases hardness and elastic modulus and decreases the ratio H/E and H3/E2. The highest scratch resistance is exhibited by the film with the highest hardness, and the film having the highest overall sp3 bond content shows the highest elastic recovery during scratching.

  6. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  7. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  8. Spray deposition of steam treated and functionalized single-walled and multi-walled carbon nanotube films for supercapacitors

    International Nuclear Information System (INIS)

    Zhao Xin; Chu, Bryan T T; Johnston, Colin; Sykes, John M; Grant, Patrick S; Ballesteros, Belen; Wang Weiliang

    2009-01-01

    Steam purified, carboxylic and ester functionalized single-walled carbon nanotube (SWNT) and multi-walled carbon nanotube (MWNT) films with homogeneous distribution and flexible control of thickness and area were fabricated on polymeric and metallic substrates using a modified spray deposition technique. By employing a pre-sprayed polyelectrolyte, the adhesion of the carbon nanotube (CNT) films to the substrates was significantly enhanced by electrostatic interaction. Carboxylic and ester functionalization improved electrochemical performance when immersed in 0.1 M H 2 SO 4 and the specific capacitance reached 155 and 77 F g -1 for carboxylic functionalized SWNT and MWNT films respectively. Compared with existing techniques such as hot pressing, vacuum filtration and dip coating, the ambient pressure spray deposition technique is suggested as particularly well suited for preparing CNT films at large scale for applications including providing electrodes for electrochemical supercapacitors and paper batteries.

  9. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  10. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sreenivas Puli, Venkata, E-mail: pvsri123@gmail.com [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Kumar Pradhan, Dhiren [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Gollapudi, Sreenivasulu [Department of Physics, Oakland University, Rochester, MI 48309-4401 (United States); Coondoo, Indrani [Department of Materials and Ceramic and CICECO, University of Aveiro, 3810-193 Aveiro (Portugal); Panwar, Neeraj [Department of Physics, Central University of Rajasthan, Bandar Sindri, Kishangarh 305801, Rajasthan (India); Adireddy, Shiva; Chrisey, Douglas B. [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Katiyar, Ram S. [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States)

    2014-11-15

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO{sub 3} (BFO) thin films have been deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d{sub 33}) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO{sub 3} thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO{sub 3} thin films. • High magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO{sub 3} thin films. • A notable piezoelectric constant d{sub 33} ∼94 pm/V was found in BiFeO{sub 3} thin films.

  11. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  12. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  13. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  14. Vapour and electro-deposited metal films on copper: structure and reactivity

    OpenAIRE

    McEvoy, Thomas F.

    2004-01-01

    The systems studied involve deposition of metals of a larger atomic diameter on a Cu{100} single crystal surface under vacuum and determining the structures formed along with the effect on the Cu{100} substrate. Cu microelectrodes were fabricated and characterised with Indium electrodeposited on the electrode surface. The In on Cu{ 100} growth mode is compared with the growth mode of electrodeposited Indium on Cu microelectrodes. The Cu{100}/In system has been studied for the In coverage ...

  15. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  16. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  17. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  18. Reactive pulsed laser deposition of Cu2ZnSnS4 thin films in H2S

    International Nuclear Information System (INIS)

    Surgina, G.D.; Zenkevich, A.V.; Sipaylo, I.P.; Nevolin, V.N.; Drube, W.; Teterin, P.E.; Minnekaev, M.N.

    2013-01-01

    Cu 2 ZnSnS 4 (CZTS) thin films have been grown by reactive pulsed laser deposition in H 2 S atmosphere, combining the alternate ablation from the metallic (Cu) and alloyed (Zn x Sn) targets at room temperature. The morphological, structural and optical properties of as grown CZTS thin films with varying compositions as well as upon annealing in N 2 atmosphere are investigated by Rutherford backscattering spectrometry, X-ray diffraction, Raman spectroscopy and optical spectrophotometry. The chemical bonding in the “bulk” of the CZTS films is elucidated via hard X-ray photoemission spectroscopy measurements. The formation of the good quality stoichiometric polycrystalline CZTS films is demonstrated upon optimization of the growth parameters. - Highlights: ► The new method of Cu 2 ZnSnS 4 (CZTS) thin films growth in H 2 S was realized. ► CZTS films were grown by pulsed laser deposition from Cu and alloyed Zn–Sn targets. ► The effect of the processing parameters on the CZTS properties was investigated. ► The chemical bonding in the “bulk” of CZTS films was studied

  19. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  20. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  1. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  2. The influence of nanoscale morphology on the resistivity of cluster-assembled nanostructured metallic thin films

    International Nuclear Information System (INIS)

    Barborini, E; Bertolini, G; Repetto, P; Leccardi, M; Vinati, S; Corbelli, G; Milani, P

    2010-01-01

    We have studied in situ the evolution of the electrical resistivity of Fe, Pd, Nb, W and Mo cluster-assembled films during their growth by supersonic cluster beam deposition. We observed resistivity of cluster-assembled films several orders of magnitude larger than the bulk, as well as an increase in resistivity by increasing the film thickness in contrast to what was observed for atom-assembled metallic films. This suggests that the nanoscale morphological features typical of ballistic films growth, such as the minimal cluster-cluster interconnection and the evolution of surface roughness with thickness, are responsible for the observed behaviour.

  3. Phase coexistence in the metal-insulator transition of a VO2 thin film

    International Nuclear Information System (INIS)

    Chang, Y.J.; Koo, C.H.; Yang, J.S.; Kim, Y.S.; Kim, D.H.; Lee, J.S.; Noh, T.W.; Kim, Hyun-Tak; Chae, B.G.

    2005-01-01

    Vanadium dioxide (VO 2 ) shows a metal-insulator transition (MIT) near room temperature, accompanied by an abrupt resistivity change. Since the MIT of VO 2 is known to be a first order phase transition, it is valuable to check metallic and insulating phase segregation during the MIT process. We deposited (100)-oriented epitaxial VO 2 thin films on R-cut sapphire substrates. From the scanning tunneling spectroscopy (STS) spectra, we could distinguish metallic and insulating regions by probing the band gap. Optical spectroscopic analysis also supported the view that the MIT in VO 2 occurs through metal and insulator phase coexistence

  4. Multi-layer thin-film electrolytes for metal supported solid oxide fuel cells

    Science.gov (United States)

    Haydn, Markus; Ortner, Kai; Franco, Thomas; Uhlenbruck, Sven; Menzler, Norbert H.; Stöver, Detlev; Bräuer, Günter; Venskutonis, Andreas; Sigl, Lorenz S.; Buchkremer, Hans-Peter; Vaßen, Robert

    2014-06-01

    A key to the development of metal-supported solid oxide fuel cells (MSCs) is the manufacturing of gas-tight thin-film electrolytes, which separate the cathode from the anode. This paper focuses the electrolyte manufacturing on the basis of 8YSZ (8 mol.-% Y2O3 stabilized ZrO2). The electrolyte layers are applied by a physical vapor deposition (PVD) gas flow sputtering (GFS) process. The gas-tightness of the electrolyte is significantly improved when sequential oxidic and metallic thin-film multi-layers are deposited, which interrupt the columnar grain structure of single-layer electrolytes. Such electrolytes with two or eight oxide/metal layers and a total thickness of about 4 μm obtain leakage rates of less than 3 × 10-4 hPa dm3 s-1 cm-2 (Δp: 100 hPa) at room temperature and therefore fulfill the gas tightness requirements. They are also highly tolerant with respect to surface flaws and particulate impurities which can be present on the graded anode underground. MSC cell tests with double-layer and multilayer electrolytes feature high power densities more than 1.4 W cm-2 at 850 °C and underline the high potential of MSC cells.

  5. Influence of deposition temperature and amorphous carbon on microstructure and oxidation resistance of magnetron sputtered nanocomposite Crsbnd C films

    Science.gov (United States)

    Nygren, Kristian; Andersson, Matilda; Högström, Jonas; Fredriksson, Wendy; Edström, Kristina; Nyholm, Leif; Jansson, Ulf

    2014-06-01

    It is known that mechanical and tribological properties of transition metal carbide films can be tailored by adding an amorphous carbon (a-C) phase, thus making them nanocomposites. This paper addresses deposition, microstructure, and for the first time oxidation resistance of magnetron sputtered nanocomposite Crsbnd C/a-C films with emphasis on studies of both phases. By varying the deposition temperature between 20 and 700 °C and alternating the film composition, it was possible to deposit amorphous, nanocomposite, and crystalline Crsbnd C films containing about 70% C and 30% Cr, or 40% C and 60% Cr. The films deposited at temperatures below 300 °C were X-ray amorphous and 500 °C was required to grow crystalline phases. Chronoamperometric polarization at +0.6 V vs. Ag/AgCl (sat. KCl) in hot 1 mM H2SO4 resulted in oxidation of Crsbnd C, yielding Cr2O3 and C, as well as oxidation of C. The oxidation resistance is shown to depend on the deposition temperature and the presence of the a-C phase. Physical characterization of film surfaces show that very thin C/Cr2O3/Crsbnd C layers develop on the present material, which can be used to improve the oxidation resistance of, e.g. stainless steel electrodes.

  6. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  7. Lanthanoid titanate film structure deposited at different temperatures in vacuum

    International Nuclear Information System (INIS)

    Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.

    1991-01-01

    Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films

  8. Assembly of metallic nanoparticle arrays on glass via nanoimprinting and thin-film dewetting

    Directory of Open Access Journals (Sweden)

    Sun-Kyu Lee

    2017-05-01

    Full Text Available We propose a nanofabrication process to generate large-area arrays of noble metal nanoparticles on glass substrates via nanoimprinting and dewetting of metallic thin films. Glass templates were made via pattern transfer from a topographic Si mold to an inorganically cross-linked sol–gel (IGSG resist on glass using a two-layer polydimethylsiloxane (PDMS stamp followed by annealing, which turned the imprinted resist into pure silica. The transparent, topographic glass successfully templated the assembly of Au and Ag nanoparticle arrays via thin-film deposition and dewetting at elevated temperatures. The microstructural and mechanical characteristics that developed during the processes were discussed. The results are promising for low-cost mass fabrication of devices for several photonic applications.

  9. Assembly of metallic nanoparticle arrays on glass via nanoimprinting and thin-film dewetting.

    Science.gov (United States)

    Lee, Sun-Kyu; Hwang, Sori; Kim, Yoon-Kee; Oh, Yong-Jun

    2017-01-01

    We propose a nanofabrication process to generate large-area arrays of noble metal nanoparticles on glass substrates via nanoimprinting and dewetting of metallic thin films. Glass templates were made via pattern transfer from a topographic Si mold to an inorganically cross-linked sol-gel (IGSG) resist on glass using a two-layer polydimethylsiloxane (PDMS) stamp followed by annealing, which turned the imprinted resist into pure silica. The transparent, topographic glass successfully templated the assembly of Au and Ag nanoparticle arrays via thin-film deposition and dewetting at elevated temperatures. The microstructural and mechanical characteristics that developed during the processes were discussed. The results are promising for low-cost mass fabrication of devices for several photonic applications.

  10. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  11. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  12. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  13. Tight comparison of Mg and Y thin film photocathodes obtained by the pulsed laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Solombrino, L. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy); Broitman, E. [Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-58183 Linköping (Sweden); Perrone, A. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy)

    2016-11-11

    In this work Magnesium (Mg) and Yttrium (Y) thin films have been deposited on Copper (Cu) polycrystalline substrates by the pulsed laser ablation technique for photocathode application. Such metallic materials are studied for their interesting photoemission properties and are proposed as a good alternative to the Cu photocathode, which is generally used in radio-frequency guns. Mg and Y films were uniform with no substantial differences in morphology; a polycrystalline structure was found for both of them. Photoemission measurements of such cathodes based on thin films were performed, revealing a quantum efficiency higher than Cu bulk. Photoemission theory according to the three-step model of Spicer is invoked to explain the superior photoemission performance of Mg with respect to Y. - Highlights: • Mg and Y thin film photocathodes were successfully prepared by pulsed laser deposition. • Mg quantum efficiency is higher than Y, despite its higher work function. • The three-step model of Spicer justify the difference in quantum efficiency.

  14. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  15. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  16. Effect of the nozzle tip’s geometrical shape on electrospray deposition of organic thin films

    Science.gov (United States)

    Ueda, Hiroyuki; Takeuchi, Keita; Kikuchi, Akihiko

    2017-04-01

    Electrospray deposition (ESD) is a favorable wet fabrication technique for organic thin films. We investigated the effects of the nozzle tip’s geometrical shape on the spraying properties of an organic solution used for ESD. Five types of cylindrical metal nozzles with zero (flat end) to four protrusions at the tips were prepared for depositing a solution of a small-molecule compound, tris(8-hydroxyquinolinato)aluminum (Alq3) solution. We confirmed that the diameter of the deposited droplets and their size dispersion decreased with an increase in the number of protrusions. The area occupation ratio of small droplets with a diameter smaller than 2 µm increased from 21 to 83% as the number of protrusions was increased from zero to four. The surface roughness root mean square of 60-nm-thick Alq3 films substantially improved from 32.5 to 6.8 nm with increasing number of protrusions.

  17. Reaction kinetics of metal deposition via surface limited red-ox replacement of underpotentially deposited metal monolayers

    International Nuclear Information System (INIS)

    Gokcen, Dincer; Bae, Sang-Eun; Brankovic, Stanko R.

    2011-01-01

    The study of the kinetics of metal deposition via surface limited red-ox replacement of underpotentially deposited metal monolayers is presented. The model system was Pt submonolayer deposition on Au(1 1 1) via red-ox replacement of Pb and Cu UPD monolayers on Au(1 1 1). The kinetics of a single replacement reaction was studied using the formalism of the comprehensive analytical model developed to fit the open circuit potential transients from deposition experiments. The practical reaction kinetics parameters like reaction half life, reaction order and reaction rate constant are determined and discussed with their relevance to design and control of deposition experiments. The effects of transport limitation and the role of the anions/electrolyte on deposition kinetics are investigated and their significance to design of effective deposition process is discussed.

  18. Structural surprises in friction-deposited films of poly(tetrafluoroethylene)

    DEFF Research Database (Denmark)

    Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver

    2005-01-01

    Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...

  19. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  20. YSZ thin films deposited on NiO-CSZ anodes by pulsed injection MOCVD for intermediate temperature-SOFC applications

    International Nuclear Information System (INIS)

    Garcia, G.; Pardo, J.A.; Santiso, J.; Merino, R.I.; Orera, V.M.; Larrea, A.; Pena, J.I.; Laguna-Bercero, M.A.; Figueras, A.

    2004-01-01

    Yttria-stabilized zirconia (YSZ) films are prepared on NiO-CaSZ by PIMOCVD (pulsed injection metal organic chemical vapor deposition). High quality, 5 to 10 μm thick, totally dense YSZ layers are prepared by controlling the oxygen partial pressure during the deposition. YSZ solid electrolyte deposition onto Ni-YSZ eutectic substrate is found to be a promising combination with regard to intermediate-temperature solid-oxide fuel cell applications. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  1. Stress evolution during and after sputter deposition of thin Cu Al alloy films

    Science.gov (United States)

    Pletea, M.; Wendrock, H.; Kaltofen, R.; Schmidt, O. G.; Koch, R.

    2008-06-01

    The stress evolution during and after sputter deposition of thin Cu-Al alloy films containing 1 and 2 at.% Al onto oxidized Si(100) substrates has been studied up to thicknesses of 300 nm by means of in situ substrate curvature measurements. In order to correlate stress and morphology, the microstructure was investigated by focused ion beam microscopy, scanning electron microscopy, and atomic force microscopy. The evolution of the stress and microstructure of the Cu-Al alloy films is similar to that for sputtered pure Cu films. Film growth proceeds in the Volmer-Weber mode, typical for high mobility metals. It is characterized by nucleation, island, percolation, and channel stages before the films become continuous, as well as lateral grain growth in the compact films. With increasing Al content the overall atom mobility and, thus, the average grain size of the alloy films are reduced. Increase of the sputter pressure from 0.5 to 2 Pa leads to films with larger grain size, rougher surface morphology and higher electrical resistivity.

  2. Evaporation-Driven Deposition of ITO Thin Films from Aqueous Solutions with Low-Speed Dip-Coating Technique.

    Science.gov (United States)

    Ito, Takashi; Uchiyama, Hiroaki; Kozuka, Hiromitsu

    2017-05-30

    We suggest a novel wet coating process for preparing indium tin oxide (ITO) films from simple solutions containing only metal salts and water via evaporation-driven film deposition during low-speed dip coating. Homogeneous ITO precursor films were deposited on silica glass substrates from the aqueous solutions containing In(NO 3 ) 3 ·3H 2 O and SnCl 4 ·5H 2 O by dip coating at substrate withdrawal speeds of 0.20-0.50 cm min -1 and then crystallized by the heat treatment at 500-800 °C for 10-60 min under N 2 gas flow of 0.5 L min -1 . The ITO films heated at 600 °C for 30 min had a high optical transparency in the visible range and a good electrical conductivity. Multiple-coating ITO films obtained with five-times dip coating exhibited the lowest sheet (ρ S ) and volume (ρ V ) resistivities of 188 Ω sq -1 and 4.23 × 10 -3 Ω cm, respectively.

  3. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  4. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    measure atomic hydrogen in a molecular hydrogen flow environment. Atomic hydrogen recombines to form H2 on different surfaces at different rates. The rate of this recombination was measured for stainless steel, aluminum, pyrex, and quartz. Knowing the hydrogen recombination rate of various materials allowed for an atomic hydrogen assisted ALD flow reactor to be constructed. An atomic hydrogen assisted ALD flow reactor was constructed and used to deposit titanium and tin metals. The metal growth was monitored using quartz crystal microbalance. The metal films were characterized ex situ using x-ray diffraction and stylus profilometry.

  5. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  6. Influence of ion/atom arrival ratio on structure and optical properties of AlN films by ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Jian-ping [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Fu, Zhi-qiang, E-mail: fuzq@cugb.edu.cn [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Liu, Xiao-peng [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); Yue, Wen; Wang, Cheng-biao [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China)

    2014-10-30

    Highlights: • AlN films were fabricated by dual ion beam sputtering. • Chemical bond status and phase composition of the films were studied by XPS and XRD. • Optical constants were measured by spectroscopic ellipsometry. • Influence of ion/atom arrival ratio on the films was studied. - Abstract: In order to improve the optical properties of AlN films, the influence of the ion/atom arrival ratio on the structure and optical characteristics of AlN films deposited by dual ion beam sputtering was studied by using X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy, spectroscopic ellipsometry and UV–vis spectroscopy. The films prepared at the ion/atom arrival ratio of 1.4 are amorphous while the crystalline quality is improved with the increase of the ion/atom arrival ratio. The films deposited at the ion/atom arrival ratio of no less than 1.8 have an approximately stoichiometric ratio and mainly consist of aluminum nitride with little aluminum oxynitride, while metallic aluminum component appears in the films deposited at the ion/atom arrival ratio of 1.4. When the ion/atom arrival ratio is not less than 1.8, films are smooth, high transmitting and dense. The films prepared with high ion/atom arrival ratio (≥1.8) display the characteristic of a dielectric. The films deposited at the ion/atom arrival ratio of 1.4 are coarse, opaque and show characteristic of cermet.

  7. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  8. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  9. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  10. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  11. Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study

    Directory of Open Access Journals (Sweden)

    Francesco Ruffino

    2018-03-01

    Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.

  12. In-situ XPS analysis of oxidized and reduced plasma deposited ruthenium-based thin catalytic films

    Science.gov (United States)

    Balcerzak, Jacek; Redzynia, Wiktor; Tyczkowski, Jacek

    2017-12-01

    A novel in-situ study of the surface molecular structure of catalytically active ruthenium-based films subjected to the oxidation (in oxygen) and reduction (in hydrogen) was performed in a Cat-Cell reactor combined with a XPS spectrometer. The films were produced by the plasma deposition method (PEMOCVD). It was found that the films contained ruthenium at different oxidation states: metallic (Ru0), RuO2 (Ru+4), and other RuOx (Ru+x), of which content could be changed by the oxidation or reduction, depending on the process temperature. These results allow to predict the behavior of the Ru-based catalysts in different redox environments.

  13. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  14. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  15. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  16. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  17. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  18. Morphological study of electrophoretically deposited TiO2 film for DSSC application

    Science.gov (United States)

    Patel, Alkesh B.; Patel, K. D.; Soni, S. S.; Sonigara, K. K.

    2018-05-01

    In the immerging field of eco-friendly and low cost photovoltaic devices, dye sensitized solar cell (DSSC) [1] has been investigated as promising alternative to the conventional silicon-based solar cells. In the DSSC device, photoanode is crucial component that take charge of holding sensitizer on it and inject the electrons from the sensitizer to current collector. Nanoporous TiO2 is the most relevant candidate for the preparation of photoanode in DSSCs. Surface properties, morphology, porosity and thickness of TiO2 film as well as preparation technique determine the performance of device. In the present work we have report the study of an effect of nanoporous anatase titanium dioxide (TiO2) film thickness on DSSC performance. Photoanode TiO2 (P25) film was deposited on conducting substrate by electrophoresis technique (EPD) and film thickness was controlled during deposition by applying different current density for a constant time interval. Thickness and surface morphology of prepared films was studied by SEM and transmittance analysis. The same set of photoanode was utilized in DSSC devices using metal free organic dye sensitizer to evaluate the photovoltaic performance. Devices were characterized through Current-Voltage (I-V) characteristic, electrochemical impedance spectroscopy (EIS) and open circuit voltage decay curves. Dependency of device performance corresponding to TiO2 film thickness is investigated through the lifetime kinetics of electron charge transfer mechanism trough impedance fitting. It is concluded that appropriate thickness along with uniformity and porosity are required to align the dye molecules to respond efficiently the incident light photons.

  19. Growth, morphology, and conductivity in semimetallic/metallic films on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Jnawali, Giriraj

    2009-06-09

    This dissertation deals with the study of epitaxial growth of semimetallic (Bi) and metallic (Ag) films on Si(001) as well as in situ electrical transport study of those films via surface manipulation. The focus of the transport measurements is to study the influence of the surface morphology or structure on the resistance of the film. In spite of the large lattice mismatch and different lattice geometry, it is possible to grow epitaxial Bi(111) films on Si(001) substrates, which are surprisingly smooth, relaxed and almost free of defects. Due to the two-fold symmetry of the substrates, the Bi(111) film is composed of crystallites rotated by 90 with respect to each other. Annealing of 6 nm film from 150 K to 450 K enables the formation of a periodic interfacial misfit dislocations, which accommodates a remaining lattice mismatch of 2.3 %. The surface/interface roughness and the bulk defect density of the film found to be extremely low, indicating the high crystalline quality of the film with atomically smooth surface and abrupt interface. Similar to the Bi films, Ag grows in a (111) orientation on Si(001) with two 90 rotated domains. The remaining strain of 2.2 % (tensile) is accommodated by the formation of an ordered network of dislocations. The Ag film exhibits atomically smooth surface. Those Bi films and Ag films were used as model systems to study the influence of the surface morphology on the electrical resistance. Surprisingly, all the Bi films (3-170 nm thicknesses) have shown an anomalous behavior of conductance with temperature and thickness. As in the case of doped semiconductor, the conductance increases exponentially from 150 K to 300 K and saturates at 350 K before finally decreasing with temperature. In situ measurements of the resistance during additional Bi deposition on the smooth Bi(111) films exhibit a square root dependent with coverage after a linear increase at very low coverage (1 % of a BL). During additional deposition of Bi, carriers are

  20. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of); An, Tae Kyu [Department of Polymer Science & Engineering, Korea National University of Transportation, 50 Daehak-Ro, Chungju (Korea, Republic of); Nam, Sooji, E-mail: sjnam15@etri.re.kr [Information Control Device Section, Electronics and Telecommunications Research Institute, Daejeon, 305-700 (Korea, Republic of); Kim, Se Hyun, E-mail: shkim97@yu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, North Gyeongsang 712-749 (Korea, Republic of); Jang, Jaeyoung, E-mail: jyjang15@hanyang.ac.kr [Department of Energy Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Park, Chan Eon, E-mail: cep@postech.ac.kr [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of)

    2017-08-31

    Highlights: • Sol-gel-derived aluminum oxide thin films were prepared using ultraviolet (UV) annealing. • UV irradiation dramatically promoted the densification of AlO{sub x} during the annealing stage, thereby forming a close-packed AlO{sub x} film. • The resulting AlO{sub x} films deposited on polymer substrates exhibited good water vapor blocking properties with low water vapor transmission rates (WVTRs). - Abstract: Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlO{sub x}) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlO{sub x} thin film at 180 °C was comparable to that of AlO{sub x} thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlO{sub x} thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10{sup −7} A/cm{sup 2} at 2 MV/cm). Finally, we confirmed that a dense AlO{sub x} thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlO{sub x} thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m{sup −2} day{sup −1} (25 °C, 50% relative humidity) and 0.26 g m{sup −2} day{sup −1}, respectively.

  1. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  2. Superhydrophobic films and methods for making superhydrophobic films

    Science.gov (United States)

    Aytug, Tolga; Paranthaman, Mariappan Parans; Simpson, John T.; Bogorin, Daniela Florentina

    2017-09-26

    This disclosure relates to methods that include depositing a first component and a second component to form a film including a plurality of nanostructures, and coating the nanostructures with a hydrophobic layer to render the film superhydrophobic. The first component and the second component can be immiscible and phase-separated during the depositing step. The first component and the second component can be independently selected from the group consisting of a metal oxide, a metal nitride, a metal oxynitride, a metal, and combinations thereof. The films can have a thickness greater than or equal to 5 nm; an average surface roughness (Ra) of from 90 to 120 nm, as measured on a 5 .mu.m.times.5 .mu.m area; a surface area of at least 20 m.sup.2/g; a contact angle with a drop of water of at least 120 degrees; and can maintain the contact angle when exposed to harsh conditions.

  3. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  4. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  5. Ammonia release method for depositing metal oxides

    Energy Technology Data Exchange (ETDEWEB)

    Silver, G.L.; Martin, F.S.

    1993-12-31

    A method of depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates.

  6. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    International Nuclear Information System (INIS)

    Saulou, Claire; Despax, Bernard; Raynaud, Patrice; Zanna, Sandrine; Marcus, Philippe; Mercier-Bonin, Muriel

    2009-01-01

    Composite thin films (∼170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH) n -Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag + progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  7. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Saulou, Claire [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France); Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Despax, Bernard; Raynaud, Patrice [Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Zanna, Sandrine; Marcus, Philippe [LPCS, UMR CNRS/ENSCP 7045, 11 rue P. et M. Curie, 75005 Paris (France); Mercier-Bonin, Muriel, E-mail: muriel.mercier-bonin@insa-toulouse.fr [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France)

    2009-11-15

    Composite thin films ({approx}170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH){sub n}-Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag{sup +} progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  8. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  9. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  10. Enhanced thermoelectric properties of metal film on bismuth telluride-based materials

    International Nuclear Information System (INIS)

    Chao, Wen Hsuan; Chen, Yi Ray; Tseng, Shih Chun; Yang, Ping Hsing; Wu, Ren Jye; Hwang, Jenn Yeu

    2014-01-01

    Diffusion barriers have a significant influence on the reliability and life time of thermoelectric modules. Although nickel is commonly used as a diffusion barrier in commercial thermoelectric modules, several studies have verified that Ni migrates to bismuth telluride-based material during high temperature cycles and causes a loss in efficacy. In this paper, the influence of metal layers coated to p-type and n-type Bi 2 Te 3 on the interface characterization and thermoelectric property is studied using a RF magnetron sputtering. The findings from this study demonstrate the structural and thermoelectric properties of p-type and n-type Bi 2 Te 3 coated with different metal layers. The crystalline phase and compositional change of the interface between the Bi 2 Te 3 materials and the metal layers were determined using an X-ray diffractometer and scanning electron microscopy with energy dispersive spectroscopy. Formation of NiTe was observed in the sample of Ni/p-type Bi 2 Te 3 based films post-annealed in an N 2 atmosphere at 200 °C. In contrast, no Co x Te y was formed in the sample of Co/p-type Bi 2 Te 3 based films post-annealed at 200 °C. For as-deposited Ni/p-type and n-type Bi 2 Te 3 based legs, the Ni slightly diffused into the Bi 2 Te 3 based legs. A similar phenomenon also occurred in the as-deposited Co/p-type and n-type Bi 2 Te 3 based legs. The Seebeck coefficients of the Co contacts on the Bi 2 Te 3 based material displayed better behavior than those of the Ni contacts on the Bi 2 Te 3 based legs. Thus Co could be a suitable diffusion barrier for bulk Bi 2 Te 3 based material. The observed effects on the thermoelectric and structural properties of metal/Bi 2 Te 3 based material are crucial for understanding the interface between the diffusion barrier and thermoelectric materials. - Highlights: • Interface characterization of metal coated to p-type and n-type Bi 2 Te 3 is studied. • We examined the phase transformation of metal/Bi 2 Te 3 based films

  11. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  12. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  13. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  14. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  15. Growing barium hexaferrite (BaFe{sub 12}O{sub 19}) thin films using chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Budiawanti, Sri, E-mail: awanty77@yahoo.com [Graduate Program of Materials Science, Department of Physics, FMIPA, Universitas Indonesia, Kampus UI Depok (Indonesia); Faculty of Teacher Training and Education, Sebelas Maret University (Indonesia); Soegijono, Bambang [Multiferroic Laboratory, Department of Physics, FMIPA, Universitas Indonesia, Kampus UI Depok (Indonesia)

    2016-04-19

    Barium hexaferrite (BaFe{sub 12}O{sub 19}, or simply known as BaM) thin films has been recognized as a potential candidate for microwave-based devices, magnetic recording media and data storage. To grow BaM thin films, chemical solution deposition is conducted using the aqueous solution of metal nitrates, which involves spin coatings on Si substrates. Furthermore, Thermal Gravimeter Analysis (TGA), X-Ray Diffractometer (XRD), Scanning Electron Microscopy (SEM) and Vibrating Sample Magnetometer (VSM) are applied to evaluate the decomposition behavior, structure, morphology, and magnetic properties of BaM thin films. Additionally, the effects of number of layers variation are also investigated. Finally, magnetic properties analysis indicates the isotropic nature of the films.

  16. Growing barium hexaferrite (BaFe_1_2O_1_9) thin films using chemical solution deposition

    International Nuclear Information System (INIS)

    Budiawanti, Sri; Soegijono, Bambang

    2016-01-01

    Barium hexaferrite (BaFe_1_2O_1_9, or simply known as BaM) thin films has been recognized as a potential candidate for microwave-based devices, magnetic recording media and data storage. To grow BaM thin films, chemical solution deposition is conducted using the aqueous solution of metal nitrates, which involves spin coatings on Si substrates. Furthermore, Thermal Gravimeter Analysis (TGA), X-Ray Diffractometer (XRD), Scanning Electron Microscopy (SEM) and Vibrating Sample Magnetometer (VSM) are applied to evaluate the decomposition behavior, structure, morphology, and magnetic properties of BaM thin films. Additionally, the effects of number of layers variation are also investigated. Finally, magnetic properties analysis indicates the isotropic nature of the films.

  17. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  18. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  19. Solvent properties of hydrazine in the preparation of metal chalcogenide bulk materials and films.

    Science.gov (United States)

    Yuan, Min; Mitzi, David B

    2009-08-21

    A combination of unique solvent properties of hydrazine enables the direct dissolution of a range of metal chalcogenides at ambient temperature, rendering this an extraordinarily simple and soft synthetic approach to prepare new metal chalcogenide-based materials. The extended metal chalcogenide parent framework is broken up during this process, and the resulting metal chalcogenide building units are re-organized into network structures (from 0D to 3D) based upon their interactions with the hydrazine/hydrazinium moieties. This Perspective will review recent crystal and materials chemistry developments within this family of compounds and will briefly discuss the utility of this approach in metal chalcogenide thin-film deposition.

  20. Metal-insulator transition in SrTi1−xVxO3 thin films

    International Nuclear Information System (INIS)

    Gu, Man; Wolf, Stuart A.; Lu, Jiwei

    2013-01-01

    Epitaxial SrTi 1−x V x O 3 (0 ≤ x ≤ 1) thin films were grown on (001)-oriented (LaAlO 3 ) 0.3 (Sr 2 AlTaO 6 ) 0.7 (LSAT) substrates using the pulsed electron-beam deposition technique. The transport study revealed a temperature driven metal-insulator transition (MIT) at 95 K for x = 0.67. The films with higher vanadium concentration (x > 0.67) were metallic corresponding to a Fermi liquid system. In the insulating phase (x < 0.67), the resistivity behavior was governed by Mott's variable range hopping mechanism. The possible mechanisms for the induced MIT are discussed, including the effects of electron correlation, lattice distortion, and Anderson localization

  1. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy and Environmental Engineering, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do 483-777 (Korea, Republic of); Hudaya, Chairul [Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Kampus Baru UI, Depok 16424 (Indonesia); Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, including a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.

  2. Deposition of mullite and mullite-like coatings on silicon carbide by dual-source metal plasma immersion. Topical report, October 1995--September 1996

    Energy Technology Data Exchange (ETDEWEB)

    Brown, I.G.; Monteiro, O.R. [Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    Mullite and mullite-like coatings on silicon carbide have been produced by a Metal Plasma Immersion Ion Implantation and Deposition (Mepiiid) technique based on two cathodic vacuum arc sources and concurrent pulse biasing of the substrate in an oxygen atmosphere. The deposition was carried out at oxygen partial pressures of between 0.66 and 3.33 Pa. The Al:Si ratio in the films varied from 1:1 to 8:1 and was controlled by varying the pulse duration of the separate plasma guns. High bias voltage was used early in the deposition process in order to produce atomic mixing at the film-substrate interface, while lower bias voltage was used later in the deposition; low ion energy allows control of the physical properties of the film as well as faster deposition rates. The as-deposited films were amorphous, and crystalline mullite was formed by subsequent annealing at 1,100 C for 2 hours in air. Strong adhesion between the mullite and the SiC was achieved, in some cases exceeding the 70 MPa instrumental limit of the pull-tester.

  3. Nanomechanical investigation of thin-film electroceramic/metal-organic framework multilayers

    Science.gov (United States)

    Best, James P.; Michler, Johann; Liu, Jianxi; Wang, Zhengbang; Tsotsalas, Manuel; Maeder, Xavier; Röse, Silvana; Oberst, Vanessa; Liu, Jinxuan; Walheim, Stefan; Gliemann, Hartmut; Weidler, Peter G.; Redel, Engelbert; Wöll, Christof

    2015-09-01

    Thin-film multilayer stacks of mechanically hard magnetron sputtered indium tin oxide (ITO) and mechanically soft highly porous surface anchored metal-organic framework (SURMOF) HKUST-1 were studied using nanoindentation. Crystalline, continuous, and monolithic surface anchored MOF thin films were fabricated using a liquid-phase epitaxial growth method. Control over respective fabrication processes allowed for tuning of the thickness of the thin film systems with a high degree of precision. It was found that the mechanical indentation of such thin films is significantly affected by the substrate properties; however, elastic parameters were able to be decoupled for constituent thin-film materials (EITO ≈ 96.7 GPa, EHKUST-1 ≈ 22.0 GPa). For indentation of multilayer stacks, it was found that as the layer thicknesses were increased, while holding the relative thickness of ITO and HKUST-1 constant, the resistance to deformation was significantly altered. Such an observation is likely due to small, albeit significant, changes in film texture, interfacial roughness, size effects, and controlling deformation mechanism as a result of increasing material deposition during processing. Such effects may have consequences regarding the rational mechanical design and utilization of MOF-based hybrid thin-film devices.

  4. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  5. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  6. Properties of Co-deposited indium tin oxide and zinc oxide films using a bipolar pulse power supply and a dual magnetron sputter source

    International Nuclear Information System (INIS)

    Hwang, Man-Soo; Seob Jeong, Heui; Kim, Won Mok; Seo, Yong Woon

    2003-01-01

    Multilayer coatings consisting of metal layers sandwiched between transparent conducting oxide layers are widely used for flat panel display electrodes and electromagnetic shield coatings for plasma displays, due to their high electrical conductivity and light transmittance. The electrical and optical properties of these multilayer films depend largely on the surface characteristics of the transparent conducting oxide thin films. A smoother surface on the transparent conducting oxide thin films makes it easier for the metal layer to form a continuous film, thus resulting in a higher conductivity and visible light transmittance. Indium tin oxide (ITO) and zinc oxide (ZnO) films were co-deposited using a dual magnetron sputter and a bipolar pulse power supply to decrease the surface roughness of the transparent conducting oxide films. The symmetric pulse mode of the power supply was used to simultaneously sputter an In 2 O 3 (90 wt %) : SnO 2 (10 wt %) target and a ZnO target. We varied the duty of the pulses to control the ratio of ITO : ZnO in the thin films. The electrical and optical properties of the films were studied, and special attention was paid to the surface roughness and the crystallinity of the films. By co-depositing ITO and ZnO at a pulse duty ratio of ITO:ZnO=45:45 using a dual magnetron sputter and a bipolar pulse power supply, we were able to obtain amorphous transparent conducting oxide films with a very smooth surface which had a Zn-rich buffer layer under a In-rich surface layer. All of the films exhibited typical electrical and optical properties of transparent conducting oxide films deposited at room temperature

  7. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  8. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  9. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  10. CORROSION ANALYSIS OF METALLIZED FILMS AFTER PRINTING

    OpenAIRE

    Mr. Dhirender*1, Mr. Rajeev2 & Mr.Manoj3

    2017-01-01

    Print quality has always been a point of strong concern among printers and consumers. Metalized films have gain a wide spread popularity among printers because of its low cost and high usages in food packaging applications. But sometimes corrosion spoils the printed products causing huge financial loss to the printers or customers.This paper throws light on major causes of corrosion on metallized films and possible remedies for the same. The metallized films with polyester base were base coat...

  11. Structural anomalies induced by the metal deposition methods in 2D silver nanoparticle arrays prepared by nanosphere lithography

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Shengli, E-mail: huangsl@xmu.edu.cn [Fujian Provincial Key Lab of Semiconductors and Applications, Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Yang, Qianqian [Fujian Provincial Key Lab of Semiconductors and Applications, Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Zhang, Chunjing; Kong, Lingqi; Li, Shuping; Kang, Junyong [Fujian Provincial Key Lab of Semiconductors and Applications, Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China)

    2013-06-01

    Silver nanoparticle arrays with 2-dimensional hexagonal arrangement were fabricated on the silicon substrates by nanosphere lithography. The silver film was deposited either by thermal evaporation or by magnetron sputtering under different conditions. The nanostructures of the achieved sphere template and the array units were characterized by scanning electron microscopy and atomic force microscopy, and were found to be anomalous under different deposition parameters. Comparative study indicated that the formation of the various 2-dimensional silver nanoparticle array structures was dominated by the thermal energy (temperature), kinetic energy and deposition direction of the deposited metal atoms as well as the size and nanocurvature of the colloidal particles and the metal clusters. - Highlights: • Silver nanoparticle arrays with different nanostructures on silicon substrates. • Various deposition parameters in arrays formation systematically examined. • Possible mechanisms and optimization of nanostructures formation addressed.

  12. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    Science.gov (United States)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  13. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  14. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  15. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  16. Study on the Properties of Ionized Metal Plasma Methodology on Titanium

    International Nuclear Information System (INIS)

    Leow, M. T.; Hassan, Z.; Lee, K. E.; Omar, G.; Lim, S. P.; Chan, C. F.; Siew, E. T.; Chuah, Z. M.

    2010-01-01

    Ionized Metal Plasma (IMP) deposition was used in depositing metal interconnection of titanium metal film. Inductively coupled plasma (ICP) was attached to chamber wall where it creates an electromagnetic field, thus, ionizing the sputtered metal atoms from target. The film morphology was observed by scanning electron microscope (SEM). Acoustic measurement of titanium film thickness showed that there was a comparable result with film resistance measured by 4-point probe. Results show that higher plasma density would cause tensile properties on the film stress.

  17. Composition and morphology of metal-containing diamond-like carbon films obtained by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Corbella, C.; Pascual, E.; Oncins, G.; Canal, C.; Andujar, J.L.; Bertran, E.

    2005-01-01

    The addition of metal atoms within the matrix of diamond-like carbon films leads to the improvement of their mechanical properties. The present paper discusses the relationship between the composition and morphology of metal-containing (W, Nb, Mo, Ti) diamond-like carbon thin films deposited at room temperature by reactive magnetron sputtering from a metal target in an argon and methane atmosphere. Composition was measured either by electron microprobe technique or by X-ray photoelectron spectroscopy and shows a smooth variation with relative methane flow. High relative methane flows lead to a bulk saturation of carbon atoms, which leads to a lack of homogeneity in the films as confirmed by secondary ion mass spectrometry. Cross-section micrographs were observed by transmission electron microscopy and revealed a structure strongly influenced by the metal inserted and its abundance. The surface pattern obtained by scanning electrochemical potential microscopy provided the metallicity distribution. These measurements were completed with atomic force microscopy of the surface. Selected area electron diffraction and X-ray diffraction measurements provided data of the crystalline structure along with nano-crystallite size. High-resolution transmission electron microscopy provided images of these crystallites

  18. Controlled growth of Au nanoparticles in co-evaporated metal/polymer composite films and their optical and electrical properties

    Science.gov (United States)

    Takele, H.; Schürmann, U.; Greve, H.; Paretkar, D.; Zaporojtchenko, V.; Faupel, F.

    2006-02-01

    Nanocomposite films containing Au nanoparticles embedded in a polymer matrix were prepared by vapour phase co-deposition of Au and polymers (Teflon AF and Poly(α -methylstyrene)) in high vacuum. The microstructure of the composite materials as well as metal content strongly depend on the condensation coefficient of the Au atoms, the deposition rates of the components, the substrate temperature, and the type of polymer matrix. The condensation coefficient, which varies between 0.03 and 1, was determined from energy dispersive X-ray spectrometer (EDX) and surface profilometry. It is shown that the microstructure of nanocomposites (size, size distribution, and interparticle separation of metal clusters), which was determined by transmission electron microscopy, can be controlled by the deposition parameters and the choice of polymer matrix. The optical absorption in the visible region due to the particle plasmon resonance has a strong dependence on the metal filling factor. The correlation between the microstructure of nanocomposites and optical properties, studied using UV-Vis spectroscopy, was also established. Further more, the electrical properties of the composites were studied as a function of the metal volume fraction. It was observed that the nanocomposite films exhibit a percolation threshold at a metal volume fraction of 0.43 and 0.20 for gold nanoclusters in Teflon AF and Poly(α-methylstyrene), respectively.

  19. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  20. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  1. Tribological behavior of in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films

    International Nuclear Information System (INIS)

    Guo Yanbao; Wang Deguo; Liu Shuhai

    2010-01-01

    Multilayer polyelectrolyte films containing silver ions were obtained by molecular deposition method on a glass plate or a quartz substrate. The in situ Ag nanoparticles were synthesized in the multilayer polyelectrolyte films which were put into fresh NaBH 4 aqueous solution. The structure and surface morphology of composite molecular deposition films were observed by UV-vis spectrophotometer, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Tribological characteristic was investigated by AFM and micro-tribometer. It was found that the in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films have lower coefficient of friction and higher anti-wear life than pure polyelectrolyte molecular deposition films.

  2. Application of Discharges in Vapor of Evaporated Metals for the Film Deposition from the Ionized Stream

    International Nuclear Information System (INIS)

    Kostin, E.G.

    2006-01-01

    results of researches of the discharge device for ionization of the vapor of solid materials are presented. Evaporation of a material was made by an electron gun with a deviation of a beam on 180 degree. Diode type discharge device for ionization was placed above a surface of evaporated metal and was in a longitudinal adjustable magnetic field. Discharge was carried out in crossed electric and magnetic fields. Partial ionization of the vapor was made by primary and secondary electrons of the gun in a vapor cloud above evaporated substance. Physical properties and structure of the films. The comparative analysis of the films properties, besieged in conditions of influence of bombardment by ions of evaporated metal were studied depending on energy and the contents of ions in a stream of particles on a substrate

  3. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  4. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  5. Characterization of superconducting thin films deposited by laser ablation. Caracterisation de films minces supraconducteurs deposes par ablation laser

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy

    1991-05-01

    Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.

  6. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  7. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  8. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  9. Filled and empty states of Zn-TPP films deposited on Fe(001-p(1×1O

    Directory of Open Access Journals (Sweden)

    Gianlorenzo Bussetti

    2016-10-01

    Full Text Available Zn-tetraphenylporphyrin (Zn-TPP was deposited on a single layer of metal oxide, namely an Fe(001-p(1×1O surface. The filled and empty electronic states were measured by means of UV photoemission and inverse photoemission spectroscopy on a single monolayer and a 20 monolayer thick film. The ionization energy and the electron affinity of the organic film were deduced and the interface dipole was determined and compared with data available in the literature.

  10. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  11. Deposition of thin films by magnetron sputtering molybdenum in samples of pure copper; Deposicao de filmes finos de molibdenio por magnetron sputtering em amostra de cobre puro

    Energy Technology Data Exchange (ETDEWEB)

    Ferreira, N.M.; Almeida, E.O. de; Alves Junior, C. [Universidade Federal do Rio Grande do Norte, Campus Universitario Lagoa Nova, PPGCEM - Natal, RN (Brazil); Lourenco, J.M. [Instituto Federal de Educacao, Ciencias e Tecnologia do Rio Grande do Norte (IFRN), Natal, RN (Brazil)

    2010-07-01

    The deposition surface is a process of thermochemical treatment, which involves the deposition of a thin film usually about one to two microns on a metallic substrate, which constitutes one of the most important surface engineering techniques. The plasma deposition process with the configuration of magnetron sputtering it is removing material from a solid surface (target) through the impact of energetic particles from plasma. The aim of this study is to characterize the microstructure of the material under study using the techniques of optical microscopy and scanning electron microscopy. (author)

  12. Stress anisotropy and stress gradient in magnetron sputtered films with different deposition geometries

    International Nuclear Information System (INIS)

    Zhao, Z.B.; Yalisove, S.M.; Bilello, J.C.

    2006-01-01

    Mo films were deposited via magnetron sputtering with two different deposition geometries: dynamic deposition (moving substrate) and static deposition (fixed substrate). The residual stress and structural morphologies of these films were investigated, with particular focus on in-plane anisotropy of the biaxial stress and stress gradient across the film thickness. The results revealed that the Mo films developed distinct states of residual stress, which depended on both deposition geometry and film thickness. With the dynamic geometry, the Mo films generally exhibited anisotropic stress. Both the degree of anisotropy and the magnitude of stress varied as functions of film thickness. The variation of stress was linked to the evolution of anisotropic microstructures in the films. The Mo films from the static geometry developed isotropic residual stress, which was more compressive and noticeably larger in magnitude than that of the Mo films from the dynamic geometry. Aside from these disparities, the two types of Mo films (i.e., anisotropic and isotropic) exhibited notably similar trends of stress variation with film thickness. Depth profiling indicated the presence of large stress gradients for the Mo films, irrespective of the deposition geometries. This observation seems to be consistent with the premise that Mo films develop a zone T structure, which is inherently inhomogeneous along the film thickness. Moreover, the largest stress gradient for both types of deposition geometries arises at roughly the same film depth (∼240 nm from substrate), where the stresses sharply transits from highly compressive to less compressive or even tensile. This appears to correspond to the boundary region that separates two distinct stages of microstructural evolution, a feature unique to zone T-type structure

  13. Structure and properties of TiC, VC, and TiC/VC thin films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1996-01-01

    A study has been conducted on the mechanical, tribological and chemical properties of pulsed laser deposited (PLD) TiC, VC and TiC/VC thin films. The TiC films were deposited at 375 C and 5 mTorr Ar, while the TiC/VC films were deposited from a composite target at 475 C at pressures of base vacuum and 50 mTorr Ar. XRD analysis revealed the films had the expected B1 structure, although XPS analysis showed a significant oxygen content. Tribological studies were conducted using a ball-on-disk test, and the wear behavior depended on the surface condition and film composition. One TiC/VC film exhibited little wear but caused significant ball wear, indicating mixed carbide films are promising candidates for wear-resistant coatings

  14. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Yangang; Zhang, Xiaohang; Gong, Yunhui; Shin, Jongmoon; Wachsman, Eric D.; Takeuchi, Ichiro, E-mail: takeuchi@umd.edu [Department of Materials Science and Engineering, University of Maryland, College Park, Maryland 20740 (United States); Yao, Yangyi; Hsu, Wei-Lun; Dagenais, Mario [Department of Electrical and Computer Engineering, University of Maryland, College Park, Maryland 20740 (United States)

    2016-01-15

    We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD) of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH{sub 3}NH{sub 3}PbI{sub 3} thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offers a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.

  15. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Yangang Liang

    2016-01-01

    Full Text Available We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH3NH3PbI3 thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offers a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.

  16. Revealing the relationships between chemistry, topology and stiffness of ultrastrong Co-based metallic glass thin films: A combinatorial approach

    International Nuclear Information System (INIS)

    Schnabel, Volker; Köhler, Mathias; Evertz, Simon; Gamcova, Jana; Bednarcik, Jozef; Music, Denis; Raabe, Dierk; Schneider, Jochen M.

    2016-01-01

    An efficient way to study the relationship between chemical composition and mechanical properties of thin films is to utilize the combinatorial approach, where spatially resolved mechanical property measurements are conducted along a concentration gradient. However, for thin film glasses many properties including the mechanical response are affected by chemical topology. Here a novel method is introduced which enables spatially resolved short range order analysis along concentration gradients of combinatorially synthesized metallic glass thin films. For this purpose a CoZrTaB metallic glass film of 3 μm thickness is deposited on a polyimide foil, which is investigated by high energy X-ray diffraction in transmission mode. Through the correlative chemistry-topology-stiffness investigation, we observe that an increase in metalloid concentration from 26.4 to 32.7 at% and the associated formation of localized (hybridized) metal – metalloid bonds induce a 10% increase in stiffness. Concomitantly, along the same composition gradient, a metalloid-concentration-induced increase in first order metal - metal bond distances of 1% is observed, which infers itinerant (metallic) bond weakening. Hence, the metalloid concentration induced increase in hybridized bonding dominates the corresponding weakening of metallic bonds.

  17. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  18. Site-selective electroless nickel plating on patterned thin films of macromolecular metal complexes.

    Science.gov (United States)

    Kimura, Mutsumi; Yamagiwa, Hiroki; Asakawa, Daisuke; Noguchi, Makoto; Kurashina, Tadashi; Fukawa, Tadashi; Shirai, Hirofusa

    2010-12-01

    We demonstrate a simple route to depositing nickel layer patterns using photocross-linked polymer thin films containing palladium catalysts, which can be used as adhesive interlayers for fabrication of nickel patterns on glass and plastic substrates. Electroless nickel patterns can be obtained in three steps: (i) the pattern formation of partially quaterized poly(vinyl pyridine) by UV irradiation, (ii) the formation of macromolecular metal complex with palladium, and (iii) the nickel metallization using electroless plating bath. Metallization is site-selective and allows for a high resolution. And the resulting nickel layered structure shows good adhesion with glass and plastic substrates. The direct patterning of metallic layers onto insulating substrates indicates a great potential for fabricating micro/nano devices.

  19. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  20. Nanoparticle and nanorod films deposited by matrix assisted pulsed laser evaporation

    Science.gov (United States)

    Caricato, A. P.; Cesaria, M.; Luches, A.; Martino, M.

    2012-07-01

    The promising results obtained with the MAPLE-deposition of nanostructured thin films, to be used in different fields, are reviewed. Nanoparticles (TiO2, SnO2, CdS) and nanorods (TiO2) with well defined dimensions were suspended in appropriate solvents (distilled water, toluene) with low concentration (1wt% or less). The solutions were flash frozen at the liquid nitrogen temperature to form the targets to be laser irradiated. The MAPLE process allowed a successful transfer from the target to rough and flat substrates, preserving the starting composition and crystalline phase of the nanostructures in a wide range of experimental conditions. In contrast, a careful choice of the laser fluence is mandatory to avoid shape modifications. Growth of metal nanoparticles with a low dispersion in size was also obtained by the MAPLE technique, starting from target solutions of a metallorganic element (AcPd) diluted in different solvents (acetone, diethyl ether). It seems that selecting the solvent with appropriate values of viscosity and boiling temperatures, it is possible to modulate the nanoparticles size. Most of the deposited nanostructured films were tested as sensing elements for gas sensors.

  1. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  2. Effects of surface deposition and droplet injection on film cooling

    International Nuclear Information System (INIS)

    Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir

    2016-01-01

    Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.

  3. Effect of chromium and phosphorus on the physical properties of iron and titanium-based amorphous metallic alloy films

    Science.gov (United States)

    Distefano, S.; Rameshan, R.; Fitzgerald, D. J.

    1991-01-01

    Amorphous iron and titanium-based alloys containing various amounts of chromium, phosphorus, and boron exhibit high corrosion resistance. Some physical properties of Fe and Ti-based metallic alloy films deposited on a glass substrate by a dc-magnetron sputtering technique are reported. The films were characterized using differential scanning calorimetry, stress analysis, SEM, XRD, SIMS, electron microprobe, and potentiodynamic polarization techniques.

  4. Electrical transport properties of spray deposited transparent conducting ortho-Zn2SnO4 thin films

    Science.gov (United States)

    Ramarajan, R.; Thangaraju, K.; Babu, R. Ramesh; Joseph, D. Paul

    2018-04-01

    Ortho Zinc Stannate (Zn2SnO4) exhibits excellent electrical and optical properties to serve as alternate transparent electrode in optoelectronic devices. Here we have optimized ortho-Zn2SnO4 thin film by spray pyrolysis method. Deposition was done onto a pre-heated glass substrate at a temperature of 400 °C. The XRD pattern indicated films to be polycrystalline with cubic structure. The surface of films had globular and twisted metal sheet like morphologies. Films were transparent in the visible region with band gap around 3.6 eV. Transport properties were studied by Hall measurements at 300 K. Activation energies were calculated from Arrhenius's plot from temperature dependent electrical measurements and the conduction mechanism is discussed.

  5. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  6. Deposition and characterization of aluminum magnesium boride thin film coatings

    Science.gov (United States)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (boride films, high vacuum level-as deposited AlMgB14 films also possess a low n-type electrical resistivity, which is a consequence of high carrier concentration and moderate carrier mobility. The operative electrical transport mechanism and doping behavior for high vacuum level-as deposited AlMgB14

  7. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  8. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  9. Study of oxide/metal/oxide thin films for transparent electronics and solar cells applications by spectroscopic ellipsometry

    Directory of Open Access Journals (Sweden)

    Mihaela Girtan

    2017-05-01

    Full Text Available A comprehensive study of a class of Oxide/Metal/Oxide (Oxide = ITO, AZO, TiO2 and Bi2O3, Metal = Au thin films was done by correlating the spectrophotometric studies with the ellispometric models. Films were deposited by successive sputtering from metallic targets In:Sn, Zn:Al, Ti and Bi in reactive atmosphere (for the oxide films and respective inert atmosphere (for the metallic Au interlayer films on glass substrates. The measurements of optical constants n—the refractive index and k—the extinction coefficient, at different incident photon energies for single oxide films and also for the three layers films oxide/metal/oxide samples were made using the spectroscopic ellipsometry (SE technique. The ellipsometry modelling process was coupled with the recorded transmission spectra data of a double beam spectrophotometer and the best fitting parameters were obtained not only by fitting the n and k experimental data with the dispersion fitting curves as usual is practiced in the most reported data in literature, but also by comparing the calculated the transmission coefficient from ellipsometry with the experimental values obtained from direct spectrophotometry measurements. In this way the best dispersion model was deduced for each sample. Very good correlations were obtained for the other different thin films characteristics such as the films thickness, optical band gap and electrical resistivity obtained by other measurements and calculation techniques. The ellipsometric modelling, can hence give the possibility in the future to predict, by ellipsometric simulations, the proper device architecture in function of the preferred optical and electrical properties.

  10. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Nanostructured films of metal particles obtained by laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Muniz-Miranda, M., E-mail: muniz@unifi.it [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Gellini, C. [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Giorgetti, E.; Margheri, G.; Marsili, P. [Istituto Sistemi Complessi (CNR), Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Lascialfari, L.; Becucci, L. [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Trigari, S. [Istituto Sistemi Complessi (CNR), Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Giammanco, F. [Dipartimento di Fisica “E. Fermi”, Università di Pisa, Largo Pontecorvo 3, 56127 Pisa (Italy)

    2013-09-30

    Colloidal dispersions of silver and gold nanoparticles were obtained in pure water by ablation with nanosecond pulsed laser. Then, by filtration of the metal particles on alumina, we fabricated nanostructured films, whose surface morphology was examined by atomic force microscopy (AFM) and related to surface-enhanced Raman scattering (SERS) after adsorption of adenine. - Highlights: • Ag and Au colloidal nanoparticles were obtained by laser ablation. • Nanostructured Ag and Au films were fabricated by filtration of metal nanoparticles. • Surface morphology of metal films was investigated by atomic force microscopy. • Surface-enhanced Raman spectra (SERS) of adenine on metal films were obtained. • SERS enhancements were related to the surface roughness of the metal films.

  12. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  13. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  14. A study of using femtosecond LIBS in analyzing metallic thin film-semiconductor interface

    Science.gov (United States)

    Galmed, A. H.; Kassem, A. K.; von Bergmann, H.; Harith, M. A.

    2011-01-01

    Metals and metal alloys are usually employed as interconnections to guide electrical signals between components into the very large scale integrated (VLSI) devices. These devices demand higher complexity, better performance and lower cost. Thin film is a common geometry for these metallic applications, requiring a substrate for rigidity. Accurate depth profile analysis of coatings is becoming increasingly important with expanding industrial use in technological fields. A number of articles devoted to LIBS applications for depth-resolved analysis have been published in recent years. In the present work, we are studying the ability of femtosecond LIBS to make depth profiling for a Ti thin film of thickness 213 nm deposited onto a silicon (100) substrate before and after thermal annealing. The measurements revealed that an average ablation rates of 15 nm per pulse have been achieved. The thin film was examined using X-Ray Diffraction (XRD) and Atomic Force Microscope (AFM), while the formation of the interface was examined using Rutherford Back Scattering (RBS) before and after annealing. To verify the depth profiling results, a theoretical simulation model is presented that gave a very good agreement with the experimental results.

  15. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  16. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  17. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  18. La{sub 0.7}Sr{sub 0.3}MnO{sub 3} thin films deposited by pulsed laser ablation for spintronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Martino, Maurizio; Cesaria, Maura; Caricato, Anna Paola [Physics Department, University of Salento, Via Arnesano, 73100 Lecce (Italy); Maruccio, Giuseppe [Physics Department, University of Salento, Via Arnesano, 73100 Lecce (Italy); NNL CNR-Istituto di Nanoscienze, Via Arnesano, 73100 Lecce (Italy); Cola, Adriano; Farella, Isabella [Institute for Microelectronics and Microsystems, IMM-CNR, 73100 Lecce (Italy)

    2011-08-15

    Among spintronic materials, mixed-valence manganite La{sub 0.7}Sr{sub 0.3}MnO{sub 3} (LSMO) is widely investigated due to its half-metal nature. LSMO thin films were grown by pulsed laser deposition (PLD) onto amorphous silica substrates heated at nearly 600 C. An ArF excimer laser was chosen to induce ablation due to its more energetic photons compared to the other quoted excimer laser sources. Different oxygen pressures were considered in order to study the influence of oxygen on the LSMO optical and electrical properties. In this respect, the visible transparency percentage of the deposited films is found good enough for spin-OLED applications. The absorption coefficient shows an absorption band tunable as a function of the oxygen content. Its energetic location and evolution with the oxygen content demonstrate it originates from radiative transitions between the spin-majority bands separated by the Jahn-Teller distortion. All of this lets relate the deposition oxygen pressure to the Mn{sup 3+} ion content in each film and interpret electrical data. The 200 and 100 nm thick samples exhibit weak metallic transport behavior at room temperature with a resistivity of 4.8 and 6.9 {omega} cm, respectively. Concerning the resistivity response versus temperature, the measured low metal-insulator transition temperature (150 K) is related to the sample structural features as involved by the depositions. Two different transport mechanisms describe the conductivity regime of the deposited samples, namely the small polaron variable range hopping (VRH) and the Arrhenius law. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  20. Synthesis of LSM films deposited by dip-coating on YSZ substrate

    International Nuclear Information System (INIS)

    Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.

    2010-01-01

    The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)