Alhajri, Nawal Saad
2016-02-22
Interstitial nitrides and carbides of early transition metals in groups IV–VI exhibit platinum-like electronic structures, which make them promising candidates to replace noble metals in various catalytic reactions. Herein, we present the preparation and characterization of nano-sized transition metal nitries and carbides of groups IV–VI (Ti, V, Nb, Ta, Cr, Mo, and W) using mesoporous graphitic carbon nitride (mpg-C3N4), which not only provides confined spaces for restricting primary particle size but also acts as a chemical source of nitrogen and carbon. We studied the reactivity of the metals with the template under N2 flow at 1023 K while keeping the weight ratio of metal to template constant at unity. The produced nanoparticles were characterized by powder X-ray diffraction, CHN elemental analysis, nitrogen sorption, X-ray photoelectron spectroscopy, and transmission electron microscopy. The results show that Ti, V, Nb, Ta, and Cr form nitride phases with face centered cubic structure, whereas Mo and W forme carbides with hexagonal structures. The tendency to form nitride or carbide obeys the free formation energy of the transition metal nitrides and carbides. This method offers the potential to prepare the desired size, shape and phase of transition metal nitrides and carbides that are suitable for a specific reaction, which is the chief objective of materials chemistry.
Metal Immiscibility Route to Synthesis of Ultrathin Carbides, Borides, and Nitrides.
Wang, Zixing; Kochat, Vidya; Pandey, Prafull; Kashyap, Sanjay; Chattopadhyay, Soham; Samanta, Atanu; Sarkar, Suman; Manimunda, Praveena; Zhang, Xiang; Asif, Syed; Singh, Abhisek K; Chattopadhyay, Kamanio; Tiwary, Chandra Sekhar; Ajayan, Pulickel M
2017-08-01
Ultrathin ceramic coatings are of high interest as protective coatings from aviation to biomedical applications. Here, a generic approach of making scalable ultrathin transition metal-carbide/boride/nitride using immiscibility of two metals is demonstrated. Ultrathin tantalum carbide, nitride, and boride are grown using chemical vapor deposition by heating a tantalum-copper bilayer with corresponding precursor (C 2 H 2 , B powder, and NH 3 ). The ultrathin crystals are found on the copper surface (opposite of the metal-metal junction). A detailed microscopy analysis followed by density functional theory based calculation demonstrates the migration mechanism, where Ta atoms prefer to stay in clusters in the Cu matrix. These ultrathin materials have good interface attachment with Cu, improving the scratch resistance and oxidation resistance of Cu. This metal-metal immiscibility system can be extended to other metals to synthesize metal carbide, boride, and nitride coatings. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Platinum group metal nitrides and carbides: synthesis, properties and simulation
International Nuclear Information System (INIS)
Ivanovskii, Alexander L
2009-01-01
Experimental and theoretical data on new compounds, nitrides and carbides of the platinum group 4d and 5d metals (ruthenium, rhodium, palladium, osmium, iridium, platinum), published over the past five years are summarized. The extreme mechanical properties of platinoid nitrides and carbides, i.e., their high strength and low compressibility, are noted. The prospects of further studies and the scope of application of these compounds are discussed.
Synthesis and characterization of group V metal carbide and nitride catalysts
Kwon, Heock-Hoi
1998-11-01
Group V transition metal carbides and nitrides were prepared via the temperature programmed reaction (TPR) of corresponding oxides with NHsb3 or a CHsb4/Hsb2 mixture. Except for the tantalum compounds, phase-pure carbides and nitrides were prepared. The vanadium carbides and nitrides were the most active and selective catalysts. Therefore the principal focus of the research was the preparation, characterization, and evaluation of high surface area vanadium nitride catalysts. A series of vanadium nitrides with surface areas up to 60 msp2/g was prepared. Thermal gravimetric analysis coupled with x-ray diffraction and scanning electron microscopy indicated that the solid-state reaction proceeded by the sequential reduction of Vsb2Osb5 to VOsb{0.9} and concluded with the topotactic substitution of nitrogen for oxygen in VOsb{0.9}. The transformation of Vsb2Osb5 to VN was pseudomorphic. An experimental design was executed to determine effects of the heating rates and space velocities on the VN microstructures. The heating rates had minor effects on the surface areas and pore size distributions; however, increasing the space velocity significantly increased the surface area. The materials were mostly mesoporous. Oxygen chemisorption on the vanadium nitrides scaled linearly with the surface area. The corresponding O/Vsbsurface ratio was ≈0.6. The vanadium nitrides were active for butane activation and pyridine hydrodenitrogenation. During butane activation, their selectivities towards dehydrogenation products were as high as 98%. The major product in pyridine hydrodenitrogenation was pentane. The reaction rates increased almost linearly with the surface area suggesting that these reactions were structure insensitive. The vanadium nitrides were not active for crotonaldehyde hydrogenation; however, they catalyzed an interesting ring formation reaction that produced methylbenzaldehyde and xylene from crotonaldehyde. A new method was demonstrated for the production of very
Chapter 19: Catalysis by Metal Carbides and Nitrides
Energy Technology Data Exchange (ETDEWEB)
Schaidle, Joshua A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Nash, Connor P [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Yung, Matthew M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Chen, Yuan [Pacific Northwest National Laboratory; Carl, Sarah [University of Michigan; Thompson, Levi [University of Michigan
2017-08-09
Early transition metal carbides and nitrides (ETMCNs), materials in which carbon or nitrogen occupies interstitial sites within a parent metal lattice, possess unique physical and chemical properties that motivate their use as catalysts. Specifically, these materials possess multiple types of catalytic sites, including metallic, acidic, and basic sites, and as such, exhibit reactivities that differ from their parent metals. Moreover, their surfaces are dynamic under reaction conditions. This chapter reviews recent (since 2010) experimental and computational investigations into the catalytic properties of ETMCN materials for applications including biomass conversion, syngas and CO2 upgrading, petroleum and natural gas refining, and electrocatalytic energy conversion, energy storage, and chemicals production, and attempts to link catalyst performance to active site identity/surface structure in order to elucidate the present level of understanding of structure-function relationships for these materials. The chapter concludes with a perspective on leveraging the unique properties of these materials to design and develop improved catalysts through a dedicated, multidisciplinary effort.
Alhajri, Nawal Saad
2016-01-01
Interstitial carbides and nitrides of early transition metals in Groups IV-VI exhibit platinum-like behavior which makes them a promising candidate to replace noble metals in a wide variety of reactions. Most synthetic methods used to prepare these materials lead to bulk or micron size powder which limits their use in reactions in particular in catalytic applications. Attempts toward the production of transition metal carbide and nitride nanoparticles in a sustainable, simple and cheap manner have been rapidly increasing. In this thesis, a new approach was presented to prepare nano-scale transition metal carbides and nitrides of group IV-VI with a size as small as 3 nm through the reaction of transition metal precursor with mesoporous graphitic carbon nitride (mpg-C3N4) that not only provides confined spaces for nanoparticles formation but also acts as a chemical source of nitrogen and carbon. The produced nanoparticles were characterized by powder X-ray diffraction (XRD), temperature-programmed reaction with mass spectroscopy (MS), CHN elemental analyses, thermogravimetric analyses (TGA), nitrogen sorption, X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM). The effects of the reaction temperature, the ratio of the transition metal precursor to the reactive template (mpg-C3N4), and the selection of the carrier gas (Ar, N2, and NH3) on the resultant crystal phases and structures were investigated. The results indicated that different tantalum phases with cubic structure, TaN, Ta2CN, and TaC, can be formed under a flow of nitrogen by changing the reaction temperatures. Two forms of tantalum nitride, namely TaN and Ta3N5, were selectively formed under N2 and NH3 flow, respectively. Significantly, the formation of TaC, Ta2CN, and TaN can be controlled by altering the weight ratio of the C3N4 template relative to the Ta precursor at 1573 K under a flow of nitrogen where high C3N4/Ta precursor ratio generally resulted in high carbide
Binary and ternary carbides and nitrides of the transition metals and their phase relations
International Nuclear Information System (INIS)
Holleck, H.
1981-01-01
The occurrance and the structure of the binary and ternary transition metal carbides and nitrides are described. Phase diagrams are assessed for most of the binary and ternary systems. Many ternary phase diagrams are published in this report for the first time. (orig.) [de
New catalysts for coal processing: Metal carbides and nitrides
Energy Technology Data Exchange (ETDEWEB)
S. Ted Oyama; David F. Cox
1999-12-03
The subject of this research project was to investigate the catalytic properties of a new class of materials, transition metal carbides and nitrides, for treatment of coal liquid and petroleum feedstocks. The main objectives were: (1) preparation of catalysts in unsupported and supported form; (2) characterization of the materials; (3) evaluation of their catalytic properties in HDS and HDN; (4) measurement of the surface properties; and (5) observation of adsorbed species. All of the objectives were substantially carried out and the results will be described in detail below. The catalysts were transition metal carbides and nitrides spanning Groups 4--6 in the Periodic Table. They were chosen for study because initial work had shown they were promising materials for hydrotreating. The basic strategy was first to prepare the materials in unsupported form to identify the most promising catalyst, and then to synthesize a supported form of the material. Already work had been carried out on the synthesis of the Group VI compounds Mo{sub 2}C, Mo{sub 2}N, and WC, and new methods were developed for the Group V compounds VC and NbC. All the catalysts were then evaluated in a hydrotreating test at realistic conditions. It was found that the most active catalyst was Mo{sub 2}C, and further investigations of the material were carried out in supported form. A new technique was employed for the study of the bulk and surface properties of the catalysts, near edge x-ray absorption spectroscopy (NEXAFS), that fingerprinted the electronic structure of the materials. Finally, two new research direction were explored. Bimetallic alloys formed between two transition metals were prepared, resulting in catalysts having even higher activity than Mo{sub 2}C. The performance of the catalysts in hydrodechloration was also investigated.
Itatani, K.; Tsukamoto, R.; Delsing, A.C.A.; Hintzen, H.T.J.M.; Okada, I.
2002-01-01
Aluminum nitride (AlN)-silicon carbide (SiC) nanocomposite powders were prepared by the nitridation of aluminum-silicon carbide (Al4SiC4) with the specific surface area of 15.5 m2·g-1. The powders nitrided at and above 1400°C for 3 h contained the 2H-phases which consisted of AlN-rich and SiC-rich
Yuan, Wenyu; Cheng, Laifei; Wu, Heng; Zhang, Yani; Lv, Shilin; Guo, Xiaohui
2018-03-13
A novel one-step method to synthesize 2D carbon wrapped TiN (C@TiN) was proposed via using 2D metal carbides (MXenes) as precursors. This study provides a novel approach to synthesize carbon wrapped metal nitrides.
Hardness of carbides, nitrides, and borides
International Nuclear Information System (INIS)
Schroeter, W.
1981-01-01
Intermetallic compounds of metals with non-metals such as C, N, and B show different hardness. Wagner's interaction parameter characterizes manner and extent of the interaction between the atoms of the substance dissolved and the additional elements in metallic mixed phases. An attempt has been made to correlate the hardness of carbides, nitrides, and borides (data taken from literature) with certain interaction parameters and associated thermodynamic quantities (ΔH, ΔG). For some metals of periods 4, 5, and 6 corresponding relations were found between microhardness, interaction parameters, heat of formation, and atomic number
Directory of Open Access Journals (Sweden)
Lkhamsuren Bayarjargal
2011-09-01
Full Text Available Transition metal nitrides, carbides and borides have a high potential for industrial applications as they not only have a high melting point but are generally harder and less compressible than the pure metals. Here we summarize recent advances in the synthesis of binary transition metal nitrides, carbides and borides focusing on the reaction of the elements at extreme conditions generated within the laser-heated diamond anvil cell. The current knowledge of their structures and high-pressure properties like high-(p; T stability, compressibility and hardness is described as obtained from experiments.
Friedrich, Alexandra; Winkler, Björn; Juarez-Arellano, Erick A.; Bayarjargal, Lkhamsuren
2011-01-01
Transition metal nitrides, carbides and borides have a high potential for industrial applications as they not only have a high melting point but are generally harder and less compressible than the pure metals. Here we summarize recent advances in the synthesis of binary transition metal nitrides, carbides and borides focusing on the reaction of the elements at extreme conditions generated within the laser-heated diamond anvil cell. The current knowledge of their structures and high-pressure properties like high-(p,T) stability, compressibility and hardness is described as obtained from experiments. PMID:28824101
Alhajri, Nawal Saad
2016-01-01
Interstitial carbides and nitrides of early transition metals in Groups IV-VI exhibit platinum-like behavior which makes them a promising candidate to replace noble metals in a wide variety of reactions. Most synthetic methods used to prepare
Preparation and study of the nitrides and mixed carbide-nitrides of uranium and of plutonium
International Nuclear Information System (INIS)
Anselin, F.
1966-06-01
A detailed description is given of a simple method for preparing uranium and plutonium nitrides by the direct action of nitrogen under pressure at moderate temperatures (about 400 C) on the partially hydrogenated bulk metal. It is shown that there is complete miscibility between the UN and PuN phases. The variations in the reticular parameters of the samples as a function of temperature and in the presence of oxide have been used to detect and evaluate the solubility of oxygen in the different phases. A study has been made of the sintering of these nitrides as a function of the preparation conditions with or without sintering additives. A favorable but non-reproducible, effect has been found for traces of oxide. The best results were obtained for pure UN at 1600 C (96 per cent theoretical density) on condition that a well defined powder, was used. The criterion used is the integral width of the X-ray diffraction lines. The compounds UN and PuN are completely miscible with the corresponding carbides. This makes it possible to prepare carbide-nitrides of the general formula (U,Pu) (C,N) by solid-phase diffusion, at around 1400 C. The sintering of these carbide-nitrides is similar to that of the carbides if the nitrogen content is low; in particular, nickel is an efficient sintering agent. For high contents, the sintering is similar to that of pure nitrides. (author) [fr
Additive-assisted synthesis of boride, carbide, and nitride micro/nanocrystals
International Nuclear Information System (INIS)
Chen, Bo; Yang, Lishan; Heng, Hua; Chen, Jingzhong; Zhang, Linfei; Xu, Liqiang; Qian, Yitai; Yang, Jian
2012-01-01
General and simple methods for the syntheses of borides, carbides and nitrides are highly desirable, since those materials have unique physical properties and promising applications. Here, a series of boride (TiB 2 , ZrB 2 , NbB 2 , CeB 6 , PrB 6 , SmB 6 , EuB 6 , LaB 6 ), carbide (SiC, TiC, NbC, WC) and nitride (TiN, BN, AlN, MgSiN 2 , VN) micro/nanocrystals were prepared from related oxides and amorphous boron/active carbon/NaN 3 with the assistance of metallic Na and elemental S. In-situ temperature monitoring showed that the reaction temperature could increase quickly to ∼850 °C, once the autoclave was heated to 100 °C. Such a rapid temperature increase was attributed to the intense exothermic reaction between Na and S, which assisted the formation of borides, carbides and nitrides. The as-obtained products were characterized by XRD, SEM, TEM, and HRTEM techniques. Results in this report will greatly benefit the future extension of this approach to other compounds. - Graphical abstract: An additive-assisted approach is successfully developed for the syntheses of borides, carbides and nitrides micro/nanocrystals with the assistance of the exothermic reaction between Na and S. Highlights: ► An additive-assisted synthesis strategy is developed for a number of borides, carbides and nitrides. ► The reaction mechanism is demonstrated by the case of SiC nanowires. ► The formation of SiC nanowires is initiated by the exothermic reaction of Na and S.
Valence electronic structure of tantalum carbide and nitride
Institute of Scientific and Technical Information of China (English)
FAN; ChangZeng
2007-01-01
The valence electronic structures of tantalum carbide (TaC) and tantalum nitride (TaN) are studied by using the empirical electronic theory (EET). The results reveal that the bonds of these compounds have covalent, metallic and ionic characters. For a quantitative analysis of the relative strength of these components, their ionicities have been calculated by implanting the results of EET to the PVL model. It has been found that the ionicity of tantalum carbide is smaller than that of tantalum nitride. The EET results also reveal that the covalent electronic number of the strongest bond in the former is larger than that of the latter. All these suggest that the covalent bond of TaC is stronger than that of TaN, which coincides to that deduced from the first-principles method.……
Valence electronic structure of tantalum carbide and nitride
Institute of Scientific and Technical Information of China (English)
无
2007-01-01
@@ The valence electronic structures of tantalum carbide (TaC) and tantalum nitride (TaN) are studied by using the empirical electronic theory (EET). The results reveal that the bonds of these compounds have covalent, metallic and ionic characters. For a quantitative analysis of the relative strength of these components, their ionicities have been calculated by implanting the results of EET to the PVL model. It has been found that the ionicity of tantalum carbide is smaller than that of tantalum nitride. The EET results also reveal that the covalent electronic number of the strongest bond in the former is larger than that of the latter. All these suggest that the covalent bond of TaC is stronger than that of TaN, which coincides to that deduced from the first-principles method.
Iron Carbides and Nitrides: Ancient Materials with Novel Prospects.
Ye, Zhantong; Zhang, Peng; Lei, Xiang; Wang, Xiaobai; Zhao, Nan; Yang, Hua
2018-02-07
Iron carbides and nitrides have aroused great interest in researchers, due to their excellent magnetic properties, good machinability and the particular catalytic activity. Based on these advantages, iron carbides and nitrides can be applied in various areas such as magnetic materials, biomedical, photo- and electrocatalysis. In contrast to their simple elemental composition, the synthesis of iron carbides and nitrides still has great challenges, particularly at the nanoscale, but it is usually beneficial to improve performance in corresponding applications. In this review, we introduce the investigations about iron carbides and nitrides, concerning their structure, synthesis strategy and various applications from magnetism to the catalysis. Furthermore, the future prospects are also discussed briefly. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
2D metal carbides and nitrides (MXenes) for energy storage
Anasori, Babak; Lukatskaya, Maria R.; Gogotsi, Yury
2017-01-01
The family of 2D transition metal carbides, carbonitrides and nitrides (collectively referred to as MXenes) has expanded rapidly since the discovery of Ti3C2 in 2011. The materials reported so far always have surface terminations, such as hydroxyl, oxygen or fluorine, which impart hydrophilicity to their surfaces. About 20 different MXenes have been synthesized, and the structures and properties of dozens more have been theoretically predicted. The availability of solid solutions, the control of surface terminations and a recent discovery of multi-transition-metal layered MXenes offer the potential for synthesis of many new structures. The versatile chemistry of MXenes allows the tuning of properties for applications including energy storage, electromagnetic interference shielding, reinforcement for composites, water purification, gas- and biosensors, lubrication, and photo-, electro- and chemical catalysis. Attractive electronic, optical, plasmonic and thermoelectric properties have also been shown. In this Review, we present the synthesis, structure and properties of MXenes, as well as their energy storage and related applications, and an outlook for future research.
2D metal carbides and nitrides (MXenes) for energy storage
Anasori, Babak
2017-01-17
The family of 2D transition metal carbides, carbonitrides and nitrides (collectively referred to as MXenes) has expanded rapidly since the discovery of Ti3C2 in 2011. The materials reported so far always have surface terminations, such as hydroxyl, oxygen or fluorine, which impart hydrophilicity to their surfaces. About 20 different MXenes have been synthesized, and the structures and properties of dozens more have been theoretically predicted. The availability of solid solutions, the control of surface terminations and a recent discovery of multi-transition-metal layered MXenes offer the potential for synthesis of many new structures. The versatile chemistry of MXenes allows the tuning of properties for applications including energy storage, electromagnetic interference shielding, reinforcement for composites, water purification, gas- and biosensors, lubrication, and photo-, electro- and chemical catalysis. Attractive electronic, optical, plasmonic and thermoelectric properties have also been shown. In this Review, we present the synthesis, structure and properties of MXenes, as well as their energy storage and related applications, and an outlook for future research.
Hydrotreatment activities of supported molybdenum nitrides and carbides
Energy Technology Data Exchange (ETDEWEB)
Dolce, G.M.; Savage, P.E.; Thompson, L.T. [University of Michigan, Ann Arbor, MI (United States). Dept. of Chemical Engineering
1997-05-01
The growing need for alternative sources of transportation fuels encourages the development of new hydrotreatment catalysts. These catalysts must be active and more hydrogen efficient than the current commercial hydrotreatment catalysts. Molybdenum nitrides and carbides are attractive candidate materials possessing properties that are comparable or superior to those of commercial sulfide catalysts. This research investigated the catalytic properties of {gamma}-Al{sub 2}O{sub 3}-supported molybdenum nitrides and carbides. These catalysts were synthesized via temperature-programmed reaction of supported molybdenum oxides with ammonia or methane/hydrogen mixtures. Phase constituents and compositions were determined by X-ray diffraction, elemental analysis, and neutral activation analysis. Oxygen chemisorption was used to probe the surface properties of the catalysts. Specific activities of the molybdenum nitrides and carbides were competitive with those of a commercial sulfide catalyst for hydrodenitrogenation (HDN), hydrodesulfurization (HDS), and hydrodeoxygenation (HDO). For HDN and HDS, the catalytic activity on a molybdenum basis was a strong inverse function of the molybdenum loading. Product distributions of the HDN, HDO and HDS of a variety of heteroatom compounds indicated that several of the nitrides and carbides were more hydrogen efficient than the sulfide catalyst. 35 refs., 8 figs., 7 tabs.
Colloidal characterization of ultrafine silicon carbide and silicon nitride powders
Whitman, Pamela K.; Feke, Donald L.
1986-01-01
The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.
Dolce, Gregory Martin
1997-11-01
A series of gamma-Alsb2Osb3 supported molybdenum nitrides and carbides were prepared by the temperature programmed reaction of supported molybdates with ammonia and methane/hydrogen mixtures, respectively. In the first part of this research, the effects of synthesis heating rates and molybdenum loading on the catalytic properties of the materials were examined. A significant amount of excess carbon was deposited on the surface of the carbides during synthesis. The materials consisted of small particles which were very highly dispersed. Oxygen chemisorption indicated that the nitride particles may have been two-dimensional. The dispersion of the carbides, however, appeared to decrease as the loading increased. The catalysts were evaluated for hydrodenitrogenation (HDN), hydrodesulfurization (HDS), and hydrodeoxygenation (HDO). The molybdenum loading had the largest effect on the activity of the materials. For the nitrides, the HDN and HDS activities were inverse functions of the loading. This suggested that the most active HDN and HDS sites were located at the perimeter of the two-dimensional particles. The HDN and HDS activities of the carbides followed the same trend as the oxygen uptake. This result suggested that oxygen titrated the active sites on the supported carbides. Selected catalysts were evaluated for methylcarbazole HDN, dibenzothiophene HDS, and dibenzofuran HDO. The activity and selectivity of the nitrides and carbides were competitive with a presulfided commercial catalyst. In the second part of this work, a series of supported nitrides and carbides were prepared using a wider range of loadings (5-30 wt% Mo). Thermogravimetric analysis was used to determine the temperature at which excess carbon was deposited on the carbides. By modifying the synthesis parameters, the deposition of excess carbon was effectively inhibited. The dispersions of the supported nitrides and carbides were constant and suggested that the materials consisted of two
Colloidal characterization of silicon nitride and silicon carbide
Feke, Donald L.
1986-01-01
The colloidal behavior of aqueous ceramic slips strongly affects the forming and sintering behavior and the ultimate mechanical strength of the final ceramic product. The colloidal behavior of these materials, which is dominated by electrical interactions between the particles, is complex due to the strong interaction of the solids with the processing fluids. A surface titration methodology, modified to account for this interaction, was developed and used to provide fundamental insights into the interfacial chemistry of these systems. Various powder pretreatment strategies were explored to differentiate between true surface chemistry and artifacts due to exposure history. The colloidal behavior of both silicon nitride and carbide is dominated by silanol groups on the powder surfaces. However, the colloid chemistry of silicon nitride is apparently influenced by an additional amine group. With the proper powder treatments, silicon nitride and carbide powder can be made to appear colloidally equivalent. The impact of these results on processing control will be discussed.
International Nuclear Information System (INIS)
Tomashov, N.D.; Chukalovskaya, T.V.; Medova, I.L.; Duradzhi, V.N.; Plavnik, G.M.
1990-01-01
The composition, structure, microhardness and corrosion-electrochemical properties of oxide-carbide and oxide-nitride coatings on titanium in 5n H 2 SO 4 , 50 deg, produced by the method of chemical-heat treatment in electrolytic plasma, containing saturation components of nitrogen and carbon, were investigated. It is shown that the coatings produced have increased hardness, possess high corrosion resistance in sulfuric acid solution at increased temperature, as to their electrochemcial behaviour they are similar to titanium carbide and nitride respectively. It is shown that high corrosion resistance is ensured by electrochemical mechanism of the oxide-carbide and oxide-nitride coating protection
Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide
International Nuclear Information System (INIS)
Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.
2000-01-01
In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society
DEFF Research Database (Denmark)
Laursen, Mads Brink; Fernandes, Frederico Augusto Pires; Christiansen, Thomas Lundin
2015-01-01
. In this study halide-activated pack cementation techniques were used on tool steel Vanadis 6 and martensitic stainless steel AISI 420 in order to produce hard layers of titanium carbide (TiC), vanadium carbide (V8C7) and chromium carbides (Cr23C6 and Cr7C3). Surface layers were characterized by scanning......Hard wear resistant surface layers of transition metal carbides can be produced by thermo-reactive diffusion processes where interstitial elements from a steel substrate together with external sources of transition metals (Ti, V, Cr etc.) form hard carbide and/or nitride layers at the steel surface...... electron microscopy, X-ray diffraction and Vickers hardness testing. The study shows that porosityfree, homogenous and very hard surface layers can be produced by thermo-reactive diffusion processes. The carbon availability of the substrate influences thickness of obtained layers, as Vanadis 6 tool steel...
Safety research needs for carbide and nitride fueled LMFBR's. Final report
International Nuclear Information System (INIS)
Kastenberg, W.E.
1975-01-01
The results of a study initiated at UCLA during the academic year 1974--1975 to evaluate and review the potential safety related research needs for carbide and nitride fueled LMFBR's are presented. The tasks included the following: (1) Review Core and primary system designs for any significant differences from oxide fueled reactors, (2) Review carbide (and nitride) fuel element irradiation behavior, (3) Review reactor behavior in postulated accidents, (4) Examine analytical methods of accident analysis to identify major gaps in models and data, and (5) Examine post accident heat removal. (TSS)
Multilayer-Forming Behavior of Cr Nitrides and Carbides for Thermoreactive Deposition
Directory of Open Access Journals (Sweden)
Kyeongmo Park
2018-05-01
Full Text Available The effect of a nitride layer on the forming behavior of CrN and (Cr, Fe7C3 multilayers for thermoreactive deposition (TRD was investigated. Plasma nitriding followed by TRD (PN-TRD produced a larger coating thickness than the case of direct TRD with no plasma nitriding. For PN-TRD, an Fe2-3N layer of 10 μm in thickness was produced on AISI 52100 steels using plasma nitriding, followed by TRD using a mixed powder composed of 30 wt % Cr, 2 wt % NH4Cl, and 68 wt % Al2O3. During TRD at 800 °C, a CrN layer of 2 μm in thickness was formed along with a thin layer of mixed carbide (Cr7C3 and nitride (CrN on top. As the deposition temperature was increased to 950 °C, a new layer of Cr7C3 was formed underneath the outermost layer composed of mixed Cr7C3 and CrN. At 950 °C, a Cr-rich zone indicated a thickness of ~7 μm. As the deposition time increased to 3 h at 950 °C, a new layer of (Cr, Fe7C3 was produced at the interface between the CrN formed at 800 °C and the base metal. This layer formed because of the abundant resources of Cr and C provided from the TRD powder and base metal, respectively. The multilayer and interface were concretely filled without the formation of voids as the TRD time increased to 6 h at 950 °C. The TRD process on a pre-nitrided layer was successfully applied to produce multilayers of CrN and Cr7C3.
Fabrication of carbide and nitride pellets and the nitride irradiations Niloc 1 and Niloc 2
International Nuclear Information System (INIS)
Blank, H.
1991-01-01
Besides the relatively well-known advanced LMFBR mixed carbide fuel an advanced mixed nitride is also an attractive candidate for the optimised fuel cycle of the European Fast Reactor, but the present knowledge about the nitride is still insufficient and should be raised to the level of the carbide. For such an optimised fuel cycle the following general conditions have been set up for the fuel: (i) the burnup of the optimised MN and MC should be at least 15 a/o or even beyond, at moderate linear ratings of less than 75 kW/m (ii) the fuel will be used in a He-bonding pin concept and (iii) as far as available an advanced economic pellet fabrication method should be employed. (iv) The fuel structure must contain 15 - 20% porosity in order to accomodate the fission product swelling at high burnup. This report gives a comprehensive description of fuel and pellet fabrication and characterization, irradiation, and post-irradiation examination. From the results important conclusions can be drawn about future work on nitrides
A review of oxide, silicon nitride, and silicon carbide brazing
International Nuclear Information System (INIS)
Santella, M.L.; Moorhead, A.J.
1987-01-01
There is growing interest in using ceramics for structural applications, many of which require the fabrication of components with complicated shapes. Normal ceramic processing methods restrict the shapes into which these materials can be produced, but ceramic joining technology can be used to overcome many of these limitations, and also offers the possibility for improving the reliability of ceramic components. One method of joining ceramics is by brazing. The metallic alloys used for bonding must wet and adhere to the ceramic surfaces without excessive reaction. Alumina, partially stabilized zirconia, and silicon nitride have high ionic character to their chemical bonds and are difficult to wet. Alloys for brazing these materials must be formulated to overcome this problem. Silicon carbide, which has some metallic characteristics, reacts excessively with many alloys, and forms joints of low mechanical strength. The brazing characteristics of these three types of ceramics, and residual stresses in ceramic-to-metal joints are briefly discussed
International Nuclear Information System (INIS)
Priem, Thierry
1988-01-01
Short-range order in non-stoichiometric transition metal carbides and nitrides (TiN 0.82 , TiC 0.64 , TiC 0.76 , NbC 0.73 and NbC 0.83 ) was investigated by thermal neutron diffuse scattering on G4-4 (L.L.B - Saclay) and D10 (I.L.L. Grenoble) spectrometers. From experimental measurements, we have found that metalloid vacancies (carbon or nitrogen) prefer the f.c.c. third neighbour positions. Ordering interaction energies were calculated within the Ising model framework by three approximations: mean field (Clapp and Moss formula), Monte-Carlo simulation, Cluster variation Method. The energies obtained by the two latter methods are very close, and in qualitative agreement with theoretical values calculated from the band structure. Theoretical phase diagrams were calculated from these ordering energies for TiN x and TiC x ; three ordered structures were predicted, corresponding to compositions Ti 6 N 5 Ti 2 C and Ti 3 C 2 . On the other hand, atomic displacements are induced by vacancies. The metal first neighbours were found to move away from a vacancy, whereas the second neighbours move close to it. Near neighbour atomic displacements were theoretically determined by the lattice statics formalism with results in good agreement with experiment. (author) [fr
Indentation fatigue in silicon nitride, alumina and silicon carbide ...
Indian Academy of Sciences (India)
Unknown
carbide ceramics. A K MUKHOPADHYAY. Central Glass and Ceramic Research Institute, Kolkata 700 032, India. Abstract. Repeated indentation fatigue (RIF) experiments conducted on the same spot of different structural ceramics viz. a hot pressed silicon nitride (HPSN), sintered alumina of two different grain sizes viz.
Energy Technology Data Exchange (ETDEWEB)
Kang, S.; Selverian, J.H.; O`Neil, D.; Kim, H. [GTE Labs., Inc., Waltham, MA (US); Kim, K. [Brown Univ., Providence, RI (US). Div. of Engineering
1993-05-01
This report summarizes the results of Phase 2 of Analytical and Experimental Evaluation of Joining Silicon Nitride to Metal and Silicon Carbide to Metal for Advanced Heat Engine Applications. A general methodology was developed to optimize the joint geometry and material systems for 650{degrees}C applications. Failure criteria were derived to predict the fracture of the braze and ceramic. Extensive finite element analyses (FEA) were performed to examine various joint geometries and to evaluate the affect of different interlayers on the residual stress state. Also, material systems composed of coating materials, interlayers, and braze alloys were developed for the program based on the chemical stability and strength of the joints during processing, and service. The FEA results were compared with experiments using two methods: (1) an idealized strength relationship of the ceramic, and (2) a probabilistic analysis of the ceramic strength (NASA CARES). The results showed that the measured strength of the joint reached 30--80% of the strength predicted by FEA. Also, potential high-temperature braze alloys were developed and evaluated for the high-temperature application of ceramic-metal joints. 38 tabs, 29 figs, 20 refs.
Metal Carbides for Biomass Valorization
Directory of Open Access Journals (Sweden)
Carine E. Chan-Thaw
2018-02-01
Full Text Available Transition metal carbides have been utilized as an alternative catalyst to expensive noble metals for the conversion of biomass. Tungsten and molybdenum carbides have been shown to be effective catalysts for hydrogenation, hydrodeoxygenation and isomerization reactions. The satisfactory activities of these metal carbides and their low costs, compared with noble metals, make them appealing alternatives and worthy of further investigation. In this review, we succinctly describe common synthesis techniques, including temperature-programmed reaction and carbothermal hydrogen reduction, utilized to prepare metal carbides used for biomass transformation. Attention will be focused, successively, on the application of transition metal carbide catalysts in the transformation of first-generation (oils and second-generation (lignocellulose biomass to biofuels and fine chemicals.
Metal Nitrides for Plasmonic Applications
DEFF Research Database (Denmark)
Naik, Gururaj V.; Schroeder, Jeremy; Guler, Urcan
2012-01-01
Metal nitrides as alternatives to metals such as gold could offer many advantages when used as plasmonic material. We show that transition metal nitrides can replace metals providing equally good optical performance for many plasmonic applications.......Metal nitrides as alternatives to metals such as gold could offer many advantages when used as plasmonic material. We show that transition metal nitrides can replace metals providing equally good optical performance for many plasmonic applications....
Indentation fatigue in silicon nitride, alumina and silicon carbide ...
Indian Academy of Sciences (India)
Repeated indentation fatigue (RIF) experiments conducted on the same spot of different structural ceramics viz. a hot pressed silicon nitride (HPSN), sintered alumina of two different grain sizes viz. 1 m and 25 m, and a sintered silicon carbide (SSiC) are reported. The RIF experiments were conducted using a Vicker's ...
Energy Technology Data Exchange (ETDEWEB)
Sundberg, G.J.
1994-01-01
Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).
International Nuclear Information System (INIS)
Landesman, J.P.
1986-03-01
After presenting the results of neutron diffraction experiments on the ordered compounds Nb 6 C 5 and Ti 2 N, we propose a classification of the ordered phases encountered in this class of compounds, and, using a tight-binding description of the electronic structure, we calculate the band energy for several ordered configurations and the disordered configuration, for a given metalloid vacancy concentration. We can then, on one hand, predict the relative stability (at O K) of the various ordered phases possible at this concentration - and these predictions are in good agreement with the experimental observations, mainly in the case of carbides - and on the other hand calculate the effective pair interactions V 1 and V 2 which appear in the Ising model and reconstruct theoretical stability maps, for any vacancy concentration, which are again in agreement with the phenomenological stability maps (overall agreement in the case of nitrides, more precise agreement in the case of carbides) [fr
International Nuclear Information System (INIS)
Mueller-Zell, A.
1980-01-01
The following work gives a survey on possible joining techniques of silicon nitride (Si 3 N 4 ) and silicon carbide (SiC) in a mixture and/or in contact with high-melting metals and alloys. The problem arose because special ceramic materials such as Si 3 N 4 and SiC are to be used in gas turbines. The special ceramics in use may unavoidably come into contact with metals or the one hand, or form intended composite systems with them on the other hand, like e.g. the joining of a Si 3 N 4 disc with a metallic drive axis or ceramic blades with a metal wheel. The mixed body of X% ceramic (Si 3 N 4 , SiC) and Y% metal powder were prepared depending on the material combination at 1200 0 C-1750 0 C by hot-pressing or at 1200 0 C-2050 0 C by hot-pressing or pressureless sintering. The following possible ways were chosen as interlaminar bonding ceramic/metal/ceramic: on the one hand pressure welding (composite hot pressing) and the solid-state bonding in direct contact and by means of artificially included transition mixed layers, as well as material intermediate layers between metal and ceramic and on the other hand, soldering with active solder with molten phase. (orig./RW) [de
International Nuclear Information System (INIS)
Volpe, L.
1985-01-01
Temperature-programmed reactions between trioxides of molybdenum or tungsten and ammonia provide a new method to synthesize dimolybdenum and ditungsten nitrides with specific surface areas to two-hundred-and-twenty and ninety-one square meters per gram, respectively. These are the highest values on record for any unsupported metallic powders. They correspond to three-four nonometer particles. The reaction of molybdenum trioxide with ammonia is topotactic in the sense that one-zero-zero planes of dimolybdenum nitride are parallel to zero-one-zero planes of molybdenum trioxide. As the trioxide transforms, it passes through an oxynitride intermediate with changing bulk structure and increasing surface area and extent of reduction. The nitride product consists of platelets, pseudomorphous with the original trioxide, which can be regarded as highly porous defect single crystals. By treating small particles of dimolybdenum or ditungsten nitride with methane-dihydrogen mixtures it is possible to replace interstitial nitrogen atoms by carbon atoms, without sintering, and thus to prepare carbides of molybdenum and tungsten with very high specific surface areas. Molybdenum nitride powders catalyze ammonia synthesis. A pronounced increase in the catalytic activity with increasing particle size confirms the structure-sensitive character of this reaction
Energy Technology Data Exchange (ETDEWEB)
Sundberg, G.J.; Vartabedian, A.M.; Wade, J.A.; White, C.S. [Norton Co., Northboro, MA (United States). Advanced Ceramics Div.
1994-10-01
The purpose of joining, Phase 2 was to develop joining technologies for HIP`ed Si{sub 3}N{sub 4} with 4wt% Y{sub 2}O{sub 3} (NCX-5101) and for a siliconized SiC (NT230) for various geometries including: butt joins, curved joins and shaft to disk joins. In addition, more extensive mechanical characterization of silicon nitride joins to enhance the predictive capabilities of the analytical/numerical models for structural components in advanced heat engines was provided. Mechanical evaluation were performed by: flexure strength at 22 C and 1,370 C, stress rupture at 1,370 C, high temperature creep, 22 C tensile testing and spin tests. While the silicon nitride joins were produced with sufficient integrity for many applications, the lower join strength would limit its use in the more severe structural applications. Thus, the silicon carbide join quality was deemed unsatisfactory to advance to more complex, curved geometries. The silicon carbide joining methods covered within this contract, although not entirely successful, have emphasized the need to focus future efforts upon ways to obtain a homogeneous, well sintered parent/join interface prior to siliconization. In conclusion, the improved definition of the silicon carbide joining problem obtained by efforts during this contract have provided avenues for future work that could successfully obtain heat engine quality joins.
Reduction of metal oxides in metal carbide fusion superheated with plasma
Energy Technology Data Exchange (ETDEWEB)
Hedai, L
1981-01-01
A significant part of metals is capable of binding a high quantity of carbon in the form of carbide. The carbide fusion produced as a result of smelting and superheating, metal carbides with the use of plasma might be a medium to be utilized for the reduction of different metal oxides, whilst also the original carbide structure of the metal carbides will be reduced to metallic structure. The experiments conducted by making use of plasma equipment, of 20, 55 and 100 kW performances are described. On the basis of the results of the experiments performed, the following statements are to be made. The oxide reductions taking place in the metal carbide fusion might also be carried out in open-hearth furnaces, because reducing atmosphere is not necessitated during this procedure. The quantity of energy required is basically defined by the energy needed for smelting and superheating the metal carbide. The method for producing the metal described may be mainly applied for the allied production of high-purity steels as well as for that of ferro-alloys.
The diffusion bonding of silicon carbide and boron carbide using refractory metals
International Nuclear Information System (INIS)
Cockeram, B.V.
1999-01-01
Joining is an enabling technology for the application of structural ceramics at high temperatures. Metal foil diffusion bonding is a simple process for joining silicon carbide or boron carbide by solid-state, diffusive conversion of the metal foil into carbide and silicide compounds that produce bonding. Metal diffusion bonding trials were performed using thin foils (5 microm to 100 microm) of refractory metals (niobium, titanium, tungsten, and molybdenum) with plates of silicon carbide (both α-SiC and β-SiC) or boron carbide that were lapped flat prior to bonding. The influence of bonding temperature, bonding pressure, and foil thickness on bond quality was determined from metallographic inspection of the bonds. The microstructure and phases in the joint region of the diffusion bonds were evaluated using SEM, microprobe, and AES analysis. The use of molybdenum foil appeared to result in the highest quality bond of the metal foils evaluated for the diffusion bonding of silicon carbide and boron carbide. Bonding pressure appeared to have little influence on bond quality. The use of a thinner metal foil improved the bond quality. The microstructure of the bond region produced with either the α-SiC and β-SiC polytypes were similar
Plasma metallization of refractory carbide powders
International Nuclear Information System (INIS)
Koroleva, E.B.; Klinskaya, N.A.; Rybalko, O.F.; Ugol'nikova, T.A.
1986-01-01
The effect of treatment conditions in plasma on properties of produced metallized powders of titanium, tungsten and chromium carbides with the main particle size of 40-80 μm is considered. It is shown that plasma treatment permits to produce metallized powders of carbide materials with the 40-80 μm particle size. The degree of metallization, spheroidization, chemical and phase composition of metallized carbide powders are controlled by dispersivity of the treated material, concentration of a metal component in the treated mixtures, rate of plasma flow and preliminary spheroidization procedure
Precipitation of metal nitrides from chloride melts
International Nuclear Information System (INIS)
Slater, S.A.; Miller, W.E.; Willit, J.L.
1996-01-01
Precipitation of actinides, lanthanides, and fission products as nitrides from molten chloride melts is being investigated for use as a final cleanup step in treating radioactive salt wastes generated by electrometallurgical processing of spent nuclear fuel. The radioactive components (eg, fission products) need to be removed to reduce the volume of high-level waste that requires disposal. To extract the fission products from the salt, a nitride precipitation process is being developed. The salt waste is first contacted with a molten metal; after equilibrium is reached, a nitride is added to the metal phase. The insoluble nitrides can be recovered and converted to a borosilicate glass after air oxidation. For a bench-scale experimental setup, a crucible was designed to contact the salt and metal phases. Solubility tests were performed with candidate nitrides and metal nitrides for which there are no solubility data. Experiments were performed to assess feasibility of precipitation of metal nitrides from chloride melts
Energy Technology Data Exchange (ETDEWEB)
Anselin, F [Commissariat a l' Energie Atomique, Fontenay-aux-Roses (France). Centre d' Etudes Nucleaires
1966-06-01
A detailed description is given of a simple method for preparing uranium and plutonium nitrides by the direct action of nitrogen under pressure at moderate temperatures (about 400 C) on the partially hydrogenated bulk metal. It is shown that there is complete miscibility between the UN and PuN phases. The variations in the reticular parameters of the samples as a function of temperature and in the presence of oxide have been used to detect and evaluate the solubility of oxygen in the different phases. A study has been made of the sintering of these nitrides as a function of the preparation conditions with or without sintering additives. A favorable but non-reproducible, effect has been found for traces of oxide. The best results were obtained for pure UN at 1600 C (96 per cent theoretical density) on condition that a well defined powder, was used. The criterion used is the integral width of the X-ray diffraction lines. The compounds UN and PuN are completely miscible with the corresponding carbides. This makes it possible to prepare carbide-nitrides of the general formula (U,Pu) (C,N) by solid-phase diffusion, at around 1400 C. The sintering of these carbide-nitrides is similar to that of the carbides if the nitrogen content is low; in particular, nickel is an efficient sintering agent. For high contents, the sintering is similar to that of pure nitrides. (author) [French] On decrit en detail une methode simple de preparation des nitrures d'uranium et de plutonium par action directe de l'azote sous pression, a temperature moyenne (vers 400 C), sur les metaux massifs partiellement hydrures. On montre que la miscibilite est complete entre les phases UN et PuN. L'evolution des parametres reticulaires des echantillons en fonction de la temperature et en presence d'oxyde a ete utilisee pour detecter et estimer la solubilite de l'oxygene dans les diverses phases. On a etudie le frittage de ces nitrures en fonction des conditions de preparation, avec ou sans additif de
Metallographic preparation of sintered oxides, carbides and nitrides of uranium and plutonium
International Nuclear Information System (INIS)
Martin, A.; Arles, L.
1967-12-01
We describe the methods of polishing, attack and coloring used at the section of plutonium base ceramics studies. These methods have stood the test of experience on the uranium and plutonium carbides, nitrides and carbonitrides as well on the mixed uranium and plutonium oxides. These methods have been particularly adapted to fit to the low dense and sintered samples [fr
Carbide-reinforced metal matrix composite by direct metal deposition
Novichenko, D.; Thivillon, L.; Bertrand, Ph.; Smurov, I.
Direct metal deposition (DMD) is an automated 3D laser cladding technology with co-axial powder injection for industrial applications. The actual objective is to demonstrate the possibility to produce metal matrix composite objects in a single-step process. Powders of Fe-based alloy (16NCD13) and titanium carbide (TiC) are premixed before cladding. Volume content of the carbide-reinforced phase is varied. Relationships between the main laser cladding parameters and the geometry of the built-up objects (single track, 2D coating) are discussed. On the base of parametric study, a laser cladding process map for the deposition of individual tracks was established. Microstructure and composition of the laser-fabricated metal matrix composite objects are examined. Two different types of structures: (a) with the presence of undissolved and (b) precipitated titanium carbides are observed. Mechanism of formation of diverse precipitated titanium carbides is studied.
Yajima, S.; Omori, M.; Hayashi, J.; Kayano, H.; Hamano, M.
1983-01-01
A process for the manufacture of metal nitride sintered bodies, in particular, a process in which a mixture of metal nitrite powders is shaped and heated together with a binding agent is described. Of the metal nitrides Si3N4 and AIN were used especially frequently because of their excellent properties at high temperatures. The goal is to produce a process for metal nitride sintered bodies with high strength, high corrosion resistance, thermal shock resistance, thermal shock resistance, and avoidance of previously known faults.
Synthesis of Uranium nitride powders using metal uranium powders
International Nuclear Information System (INIS)
Yang, Jae Ho; Kim, Dong Joo; Oh, Jang Soo; Rhee, Young Woo; Kim, Jong Hun; Kim, Keon Sik
2012-01-01
Uranium nitride (UN) is a potential fuel material for advanced nuclear reactors because of their high fuel density, high thermal conductivity, high melting temperature, and considerable breeding capability in LWRs. Uranium nitride powders can be fabricated by a carbothermic reduction of the oxide powders, or the nitriding of metal uranium. The carbothermic reduction has an advantage in the production of fine powders. However it has many drawbacks such as an inevitable engagement of impurities, process burden, and difficulties in reusing of expensive N 15 gas. Manufacturing concerns issued in the carbothermic reduction process can be solved by changing the starting materials from oxide powder to metals. However, in nitriding process of metal, it is difficult to obtain fine nitride powders because metal uranium is usually fabricated in the form of bulk ingots. In this study, a simple reaction method was tested to fabricate uranium nitride powders directly from uranium metal powders. We fabricated uranium metal spherical powder and flake using a centrifugal atomization method. The nitride powders were obtained by thermal treating those metal particles under nitrogen containing gas. We investigated the phase and morphology evolutions of powders during the nitriding process. A phase analysis of nitride powders was also a part of the present work
Conducting metal oxide and metal nitride nanoparticles
DiSalvo, Jr., Francis J.; Subban, Chinmayee V.
2017-12-26
Conducting metal oxide and nitride nanoparticles that can be used in fuel cell applications. The metal oxide nanoparticles are comprised of for example, titanium, niobium, tantalum, tungsten and combinations thereof. The metal nitride nanoparticles are comprised of, for example, titanium, niobium, tantalum, tungsten, zirconium, and combinations thereof. The nanoparticles can be sintered to provide conducting porous agglomerates of the nanoparticles which can be used as a catalyst support in fuel cell applications. Further, platinum nanoparticles, for example, can be deposited on the agglomerates to provide a material that can be used as both an anode and a cathode catalyst support in a fuel cell.
International Nuclear Information System (INIS)
McNallan, M.
1993-01-01
Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)
Advancing liquid metal reactor technology with nitride fuels
International Nuclear Information System (INIS)
Lyon, W.F.; Baker, R.B.; Leggett, R.D.; Matthews, R.B.
1991-08-01
A review of the use of nitride fuels in liquid metal fast reactors is presented. Past studies indicate that both uranium nitride and uranium/plutonium nitride possess characteristics that may offer enhanced performance, particularly in the area of passive safety. To further quantify these effects, the analysis of a mixed-nitride fuel system utilizing the geometry and power level of the US Advanced Liquid Metal Reactor as a reference is described. 18 refs., 2 figs., 2 tabs
International Nuclear Information System (INIS)
Sundgren, J.-E.
1982-01-01
Titanium has been reactively r.f. sputtered in mixed Ar-N 2 and Ar-CH 4 discharges on to substrates held at 775 K. The films obtained have been characterized by scanning electron microscopy, X-ray diffraction and by measurements of hardness and electrical resistivity. The compositions of the films have been determined using Auger electron spectroscopy. The processes occurring both on substrates and target surfaces have been studied and it is shown that the latter is of great importance for the composition and structure of deposited films. Titanium nitride films of full density and with electrical resistivity and hardness values close to those of bulk TiN were only obtained in a narrow range close to the stoichiometric composition. Titanium carbide films grown on non-biased substrates were found to have an open structure and thus a low density. A bias applied to the substrate, however, improved the quality of the films. It is also shown that the heat of formation of the compounds plays an important role in the formation of carbides and nitrides. A large value promotes the development of large grains and dense structures. (Auth.)
From nitrides to carbides: topotactic synthesis of the eta-carbides Fe3Mo3C and Co3Mo3C.
Alconchel, Silvia; Sapiña, Fernando; Martínez, Eduardo
2004-08-21
The molybdenum bimetallic interstitial carbides Fe(3)Mo(3)C and Co(3)Mo(3)C have been synthesized by temperature-programmed reaction (TPR) between the molybdenum bimetallic interstitial nitrides Fe(3)Mo(3)N and Co(3)Mo(3)N and a flowing mixture of CH(4) and H(2) diluted in Ar. These compounds have been characterized by X-ray diffraction, laser Raman spectroscopy, elemental analysis, energy dispersive analysis of X rays, thermal analysis (in air) and scanning electron microscopy (field emission). Their structures have been refined from X-ray powder diffraction data. These carbides crystallize in the cubic system, space group Fd3m[a= 11.11376(6) and 11.0697(3)[Angstrom] for Fe and Co compounds, respectively].
Energy Technology Data Exchange (ETDEWEB)
Sundaramurthy, V.; Dalai, A.K. [Catalysis and Chemical Reaction Engineering Laboratories, Department of Chemical Engineering, University of Saskatchewan, Saskatoon, SK (Canada); Adjaye, J. [Syncrude Edmonton Research Centre, Edmonton, AB (Canada)
2006-09-01
Phosphorus containing {gamma}-Al{sub 2}O{sub 3} supported bimetallic Ni-Mo carbide, nitride and sulfide catalysts have been synthesized from an oxide precursor containing 12.73wt.% Mo, 2.54wt.% Ni and 2.38wt.% P and characterized by elemental analysis, pulsed CO chemisorption, surface area measurements, X-ray diffraction, temperature-programmed reduction and DRIFT spectroscopy of CO adsorption. DRIFT spectroscopy of adsorbed CO on activated catalysts showed that carbide and nitride catalysts have surface exposed sites of Mo{sup o+} (0
Thermogravimetric analysis of silicon carbide-silicon nitride fibers at ambient to 1000 C in air
Daniels, J. G.; Ledbetter, F. E., III; Clemons, J. M.; Penn, B. G.
1984-01-01
Thermogravimetric analysis of silicon carbide-silicon nitride fibers was carried out at ambient to 1000 C in air. The weight loss over this temperature range was negligible. In addition, the oxidative stability at high temperature for a short period of time was determined. Fibers heated at 1000 C in air for fifteen minutes showed negligible weight loss (i.e., less than 1 percent).
Synthesis of carbides of refractory metals in salt melts
International Nuclear Information System (INIS)
Ilyushchenko, N.G.; Anfinogenov, A.I.; Chebykin, V.V.; Chernov, Ya.B.; Shurov, N.I.; Ryaposov, Yu.A.; Dobrynin, A.I.; Gorshkov, A.V.; Chub, A.V.
2003-01-01
The ion-electron melts, obtained through dissolving the alkali and alkali-earth metals in the molten chlorides above the chloride melting temperature, were used for manufacturing the high-melting metal carbides as the transport melt. The lithium, calcium and magnesium chlorides and the mixture of the lithium chloride with the potassium or calcium chloride were used from the alkali or alkali-earth metals. The metallic lithium, calcium, magnesium or the calcium-magnesium mixtures were used as the alkali or alkali-earth metals. The carbon black or sugar was used as carbon. It is shown, that lithium, magnesium or calcium in the molten salts transfer the carbon on the niobium, tantalum, titanium, forming the carbides of the above metals. The high-melting metal carbides are obtained both from the metal pure powders and from the oxides and chlorides [ru
International Nuclear Information System (INIS)
Miyoshi, K.; Buckley, D.H.
1978-04-01
Sliding friction experiments were conducted with single-crystal silicon carbide in contact with transition metals (tungsten, iron, rhodium, nickel, titanium, and cobalt), copper, and aluminum. Results indicate the coefficient of friction for a silicon carbide-metal system is related to the d bond character and relative chemical activity of the metal. The more active the metal, the higher the coefficient of friction. All the metals examined transferred to the surface of silicon carbide in sliding. The chemical activity of metal to silicon and carbon and shear modulus of the metal may play important roles in metal transfer and the form of the wear debris. The less active metal is, and the greater resistance to shear it has, with the exception of rhodium and tungsten, the less transfer to silicon carbide
Stable carbides in transition metal alloys
International Nuclear Information System (INIS)
Piotrkowski, R.
1991-01-01
In the present work different techniques were employed for the identification of stable carbides in two sets of transition metal alloys of wide technological application: a set of three high alloy M2 type steels in which W and/or Mo were total or partially replaced by Nb, and a Zr-2.5 Nb alloy. The M2 steel is a high speed steel worldwide used and the Zr-2.5 Nb alloy is the base material for the pressure tubes in the CANDU type nuclear reactors. The stability of carbide was studied in the frame of Goldschmidt's theory of interstitial alloys. The identification of stable carbides in steels was performed by determining their metallic composition with an energy analyzer attached to the scanning electron microscope (SEM). By these means typical carbides of the M2 steel, MC and M 6 C, were found. Moreover, the spatial and size distribution of carbide particles were determined after different heat treatments, and both microstructure and microhardness were correlated with the appearance of the secondary hardening phenomenon. In the Zr-Nb alloy a study of the α and β phases present after different heat treatments was performed with optical and SEM metallographic techniques, with the guide of Abriata and Bolcich phase diagram. The α-β interphase boundaries were characterized as short circuits for diffusion with radiotracer techniques and applying Fisher-Bondy-Martin model. The precipitation of carbides was promoted by heat treatments that produced first the C diffusion into the samples at high temperatures (β phase), and then the precipitation of carbide particles at lower temperature (α phase or (α+β)) two phase field. The precipitated carbides were identified as (Zr, Nb)C 1-x with SEM, electron microprobe and X-ray diffraction techniques. (Author) [es
Rf-plasma synthesis of nanosize silicon carbide and nitride. Final report
Energy Technology Data Exchange (ETDEWEB)
Buss, R.J.
1997-02-01
A pulsed rf plasma technique is capable of generating ceramic particles of 10 manometer dimension. Experiments using silane/ammonia and trimethylchlorosilane/hydrogen gas mixtures show that both silicon nitride and silicon carbide powders can be synthesized with control of the average particle diameter from 7 to 200 nm. Large size dispersion and much agglomeration appear characteristic of the method, in contrast to results reported by another research group. The as produced powders have a high hydrogen content and are air and moisture sensitive. Post-plasma treatment in a controlled atmosphere at elevated temperature (800{degrees}C) eliminates the hydrogen and stabilizes the powder with respect to oxidation or hydrolysis.
Boron-carbide-aluminum and boron-carbide-reactive metal cermets. [B/sub 4/C-Al
Halverson, D.C.; Pyzik, A.J.; Aksay, I.A.
1985-05-06
Hard, tough, lighweight boron-carbide-reactive metal composites, particularly boron-carbide-aluminum composites, are produced. These composites have compositions with a plurality of phases. A method is provided, including the steps of wetting and reacting the starting materials, by which the microstructures in the resulting composites can be controllably selected. Starting compositions, reaction temperatures, reaction times, and reaction atmospheres are parameters for controlling the process and resulting compositions. The ceramic phases are homogeneously distributed in the metal phases and adhesive forces at ceramic-metal interfaces are maximized. An initial consolidated step is used to achieve fully dense composites. Microstructures of boron-carbide-aluminum cermets have been produced with modules of rupture exceeding 110 ksi and fracture toughness exceeding 12 ksi..sqrt..in. These composites and methods can be used to form a variety of structural elements.
Hot carrier dynamics in plasmonic transition metal nitrides
Habib, Adela; Florio, Fred; Sundararaman, Ravishankar
2018-06-01
Extraction of non-equilibrium hot carriers generated by plasmon decay in metallic nano-structures is an increasingly exciting prospect for utilizing plasmonic losses, but the search for optimum plasmonic materials with long-lived carriers is ongoing. Transition metal nitrides are an exciting class of new plasmonic materials with superior thermal and mechanical properties compared to conventional noble metals, but their suitability for plasmonic hot carrier applications remains unknown. Here, we present fully first principles calculations of the plasmonic response, hot carrier generation and subsequent thermalization of all group IV, V and VI transition metal nitrides, fully accounting for direct and phonon-assisted transitions as well as electron–electron and electron–phonon scattering. We find the largest frequency ranges for plasmonic response in ZrN, HfN and WN, between those of gold and silver, while we predict strongest absorption in the visible spectrum for the VN, NbN and TaN. Hot carrier generation is dominated by direct transitions for most of the relevant energy range in all these nitrides, while phonon-assisted processes dominate only below 1 eV plasmon energies primarily for the group IV nitrides. Finally, we predict the maximum hot carrier lifetimes to be around 10 fs for group IV and VI nitrides, a factor of 3–4 smaller than noble metals, due to strong electron–phonon scattering. However, we find longer carrier lifetimes for group V nitrides, comparable to silver for NbN and TaN, while exceeding 100 fs (twice that of silver) for VN, making them promising candidates for efficient hot carrier extraction.
Mixed Uranium/Refractory Metal Carbide Fuels for High Performance Nuclear Reactors
International Nuclear Information System (INIS)
Knight, Travis; Anghaie, Samim
2002-01-01
Single phase, solid-solution mixed uranium/refractory metal carbides have been proposed as an advanced nuclear fuel for advanced, high-performance reactors. Earlier studies of mixed carbides focused on uranium and either thorium or plutonium as a fuel for fast breeder reactors enabling shorter doubling owing to the greater fissile atom density. However, the mixed uranium/refractory carbides such as (U, Zr, Nb)C have a lower uranium densities but hold significant promise because of their ultra-high melting points (typically greater than 3700 K), improved material compatibility, and high thermal conductivity approaching that of the metal. Various compositions of (U, Zr, Nb)C were processed with 5% and 10% metal mole fraction of uranium. Stoichiometric samples were processed from the constituent carbide powders, while hypo-stoichiometric samples with carbon-to-metal (C/M) ratios of 0.92 were processed from uranium hydride, graphite, and constituent refractory carbide powders. Processing techniques of cold uniaxial pressing, dynamic magnetic compaction, sintering, and hot pressing were investigated to optimize the processing parameters necessary to produce high density (low porosity), single phase, solid-solution mixed carbide nuclear fuels for testing. This investigation was undertaken to evaluate and characterize the performance of these mixed uranium/refractory metal carbides for high performance, ultra-safe nuclear reactor applications. (authors)
Greczynski, G.; Primetzhofer, D.; Hultman, L.
2018-04-01
We report x-ray photoelectron spectroscopy (XPS) core level binding energies (BE's) for the widely-applicable groups IVb-VIb transition metal carbides (TMCs) TiC, VC, CrC, ZrC, NbC, MoC, HfC, TaC, and WC. Thin film samples are grown in the same deposition system, by dc magnetron co-sputtering from graphite and respective elemental metal targets in Ar atmosphere. To remove surface contaminations resulting from exposure to air during sample transfer from the growth chamber into the XPS system, layers are either (i) Ar+ ion-etched or (ii) UHV-annealed in situ prior to XPS analyses. High resolution XPS spectra reveal that even gentle etching affects the shape of core level signals, as well as BE values, which are systematically offset by 0.2-0.5 eV towards lower BE. These destructive effects of Ar+ ion etch become more pronounced with increasing the metal atom mass due to an increasing carbon-to-metal sputter yield ratio. Systematic analysis reveals that for each row in the periodic table (3d, 4d, and 5d) C 1s BE increases from left to right indicative of a decreased charge transfer from TM to C atoms, hence bond weakening. Moreover, C 1s BE decreases linearly with increasing carbide/metal melting point ratio. Spectra reported here, acquired from a consistent set of samples in the same instrument, should serve as a reference for true deconvolution of complex XPS cases, including multinary carbides, nitrides, and carbonitrides.
Process for the preparation of fine grain metal carbide powders
International Nuclear Information System (INIS)
Gortsema, F.P.
1976-01-01
Fine grain metal carbide powders are conveniently prepared from the corresponding metal oxide by heating in an atmosphere of methane in hydrogen. Sintered articles having a density approaching the theoretical density of the metal carbide itself can be fabricated from the powders by cold pressing, hot pressing or other techniques. 8 claims, no drawings
Directory of Open Access Journals (Sweden)
Isabelle Jauberteau
2015-10-01
Full Text Available Among transition metal nitrides, molybdenum nitrides have been much less studied even though their mechanical properties as well as their electrical and catalytic properties make them very attractive for many applications. The δ-MoN phase of hexagonal structure is a potential candidate for an ultra-incompressible and hard material and can be compared with c-BN and diamond. The predicted superconducting temperature of the metastable MoN phase of NaCl-B1-type cubic structure is the highest of all refractory carbides and nitrides. The composition of molybdenum nitride films as well as the structures and properties depend on the parameters of the process used to deposit the films. They are also strongly correlated to the electronic structure and chemical bonding. An unusual mixture of metallic, covalent and ionic bonding is found in the stoichiometric compounds.
Ledbetter, F. E., III; Daniels, J. G.; Clemons, J. M.; Hundley, N. H.; Penn, B. G.
1984-01-01
Thermogravimetric analysis data are presented on the unmeltable polycarbosilazane precursor of silicon carbide-silicon nitride fibers, over the room temperature-1000 C range in a nitrogen atmosphere, in order to establish the weight loss at various temperatures during the precursor's pyrolysis to the fiber material. The fibers obtained by this method are excellent candidates for use in applications where the oxidation of carbon fibers (above 400 C) renders them unsuitable.
Patterson, Richard L.; Hammoud, Ahmad
2012-01-01
Electronics designed for use in NASA space missions are required to work efficiently and reliably under harsh environment conditions. These Include radiation, extreme temperatures, thermal cycling, to name a few. Preliminary data obtained on new Gallium Nitride and Silicon Carbide power devices under exposure to radiation followed by long term thermal cycling are presented. This work was done in collaboration with GSFC and JPL in support of the NASA Electronic Parts and Packaging (NEPP) Program
Properties of cemented carbides alloyed by metal melt treatment
International Nuclear Information System (INIS)
Lisovsky, A.F.
2001-01-01
The paper presents the results of investigations into the influence of alloying elements introduced by metal melt treatment (MMT-process) on properties of WC-Co and WC-Ni cemented carbides. Transition metals of the IV - VIll groups (Ti, Zr, Ta, Cr, Re, Ni) and silicon were used as alloying elements. It is shown that the MMT-process allows cemented carbides to be produced whose physico-mechanical properties (bending strength, fracture toughness, total deformation, total work of deformation and fatigue fracture toughness) are superior to those of cemented carbides produced following a traditional powder metallurgy (PM) process. The main mechanism and peculiarities of the influence of alloying elements added by the MMT-process on properties of cemented carbides have been first established. The effect of alloying elements on structure and substructure of phases has been analyzed. (author)
Two-Dimensional Titanium Carbide (MXene) as Surface-Enhanced Raman Scattering Substrate
Energy Technology Data Exchange (ETDEWEB)
Sarycheva, Asia [Drexel Univ., Philadelphia, PA (United States); Makaryan, Taron [Drexel Univ., Philadelphia, PA (United States); Maleski, Kathleen [Drexel Univ., Philadelphia, PA (United States); Satheeshkumar, Elumalai [National Cheng Kung Univ., Tainan (Taiwan); National Institute of Technology-Trichy, Tamil Nadu (India); Melikyan, Armen [Russian-Armenian (Slavonic) State Univ., Yerevan (Armenia); Minassian, Hayk [A. Alikhanian National Science Lab., Yerevan (Armenia); Yoshimura, Masahiro [National Cheng Kung Univ., Tainan (Taiwan); Gogotsi, Yury G. [Drexel Univ., Philadelphia, PA (United States)
2017-08-22
Here, noble metal (gold or silver) nanoparticles or patterned films are typically used as substrates for surface-enhanced Raman spectroscopy (SERS). Two-dimensional (2D) carbides and nitrides (MXenes) exhibit unique electronic and optical properties, including metallic conductivity and plasmon resonance in the visible or near-infrared range, making them promising candidates for a wide variety of applications. Herein, we show that 2D titanium carbide, Ti3C2Tx, enhances Raman signal from organic dyes on a substrate and in solution. As a proof of concept, MXene SERS substrates were manufactured by spray-coating and used to detect several common dyes, with calculated enhancement factors reaching ~106. Titanium carbide MXene demonstrates SERS effect in aqueous colloidal solutions, suggesting the potential for biomedical or environmental applications, where MXene can selectively enhance positively charged molecules.
DEFF Research Database (Denmark)
Tomás García, Antonio Luis; Jensen, Jens Oluf; Bjerrum, Niels J.
2014-01-01
phosphoric acid were investigated in a temperature range from 80 to 170°C. A significant dependence of the activities on temperature was observed for all five carbide samples. Through the entire temperature range Group 6 metal carbides showed higher activity than that of the Group 5 metal carbides......Alternative catalysts based on carbides of Group 5 (niobium and tantalum) and 6 (chromium, molybdenum and tungsten) metals were prepared as films on the metallic substrates. The electrochemical activities of these carbide electrodes towards the hydrogen evolution reaction (HER) in concentrated...
Energy Technology Data Exchange (ETDEWEB)
Bai, Ching-Yuan; Ger, Ming-Der [Department of Applied Chemistry and Materials Science, Chung Cheng Institute of Technology, National Defense University, Ta-His, Tao-Yuan, 335 (China); Wu, Min-Sheng [Department of Weapon System Engineering, Chung Cheng Institute of Technology, National Defense University, Ta-His, Tao-Yuan, 335 (China)
2009-08-15
This work improved the surface performance of low-carbon steel AISI 1020 by a reforming pack chromization process at low temperature (700 C) and investigated the possibility that the modified steels are used as metal bipolar plates (BPP) of PEMFCs. The steel surface was activated by electrical discharge machining (EDM) with different currents before the chromizing procedure. Experimental results indicate that a dense and homogenous Cr-rich layer is formed on the EDM carbon steels by pack chromization. The chromized coating pretreated with electrical discharge currents of 2 A has the lowest corrosion current density, 5.78 x 10{sup -8} Acm{sup -2}, evaluated by potentiodynamic polarization in a 0.5 M H{sub 2}SO{sub 4} solution and the smallest interfacial contact resistance (ICR), 11.8 m{omega}-cm{sup 2}, at 140 N/cm{sup 2}. The carbon steel with a coating containing carbides and nitrides is promising for application as metal BPPs, and this report presents the first research in producing BPPs with carbon steels. (author)
Catalytic activity of metall-like carbides in carbon oxide oxidation reaction
International Nuclear Information System (INIS)
Kharlamov, A.I.; Kosolapova, T.Ya.; Rafal, A.N.; Kirillova, N.V.
1980-01-01
Kinetics of carbon oxide oxidation upon carbides of hafnium, niobium, tantalum, molybdenum, zirconium and chromium is studied. Probable mechanism of the catalysts action is suggested. The established character of the change of the carbide catalytic activity is explained by the change of d-electron contribution to the metal-metal interaction
Features of order-disorder phase transformation in nonstoichiometric transition metals carbides
International Nuclear Information System (INIS)
Emel'yanov, A.N.
1996-01-01
Measurements of temperature and electric conductivity of nonstoichiometric transition metals carbides TiC χ and NbC χ in the area of order-disorder phase transformation are carried out. There are certain peculiarities on the temperature and electric conductivity curves of the carbides, connected with the carbon sublattice disordering. On the basis of the anomalies observed on the curves of the temperature conductivity of nonstoichiometric carbides of transition metals above the temperature of the order-disorder transition the existence of the second structural transition is supposed
International Nuclear Information System (INIS)
Benedict, U.
1977-01-01
This review compiles the available data on the solubility of the most important non-volatile fission products in the carbides, nitrides, and carbonitrides of uranium and plutonium. It includes some elements which are not fission products, but belong to a group of the Periodic Table which contains one or more fission products elements
Metal surface nitriding by laser induced plasma
Thomann, A. L.; Boulmer-Leborgne, C.; Andreazza-Vignolle, C.; Andreazza, P.; Hermann, J.; Blondiaux, G.
1996-10-01
We study a nitriding technique of metals by means of laser induced plasma. The synthesized layers are composed of a nitrogen concentration gradient over several μm depth, and are expected to be useful for tribological applications with no adhesion problem. The nitriding method is tested on the synthesis of titanium nitride which is a well-known compound, obtained at present by many deposition and diffusion techniques. In the method of interest, a laser beam is focused on a titanium target in a nitrogen atmosphere, leading to the creation of a plasma over the metal surface. In order to understand the layer formation, it is necessary to characterize the plasma as well as the surface that it has been in contact with. Progressive nitrogen incorporation in the titanium lattice and TiN synthesis are studied by characterizing samples prepared with increasing laser shot number (100-4000). The role of the laser wavelength is also inspected by comparing layers obtained with two kinds of pulsed lasers: a transversal-excited-atmospheric-pressure-CO2 laser (λ=10.6 μm) and a XeCl excimer laser (λ=308 nm). Simulations of the target temperature rise under laser irradiation are performed, which evidence differences in the initial laser/material interaction (material heated thickness, heating time duration, etc.) depending on the laser features (wavelength and pulse time duration). Results from plasma characterization also point out that the plasma composition and propagation mode depend on the laser wavelength. Correlation of these results with those obtained from layer analyses shows at first the important role played by the plasma in the nitrogen incorporation. Its presence is necessary and allows N2 dissociation and a better energy coupling with the target. Second, it appears that the nitrogen diffusion governs the nitriding process. The study of the metal nitriding efficiency, depending on the laser used, allows us to explain the differences observed in the layer features
Electrochemical behavior of rare earth metals and their nitrides
International Nuclear Information System (INIS)
Ito, Yasuhiko; Goto, Takuya
2004-01-01
Pyrometallurgical recycle process using molten salts is considered to be a high potential in pyro-reprocess technologies for spent nitride fuels, and it is important to understand chemical and electro-chemical behavior of nitrides and metals in molten salts. In this study, cadmium nitrates deposited on the anode Cd plate in motlen salt (LiCl-KCl) with addition of Li 3 N are examined. The cadmium nitrates deposited have various compositions corresponding to polarization potentials and then, the relationship between the deposition potential of nitride Cd and their composition is cleared. Their standard chemical potential of CdN is estimated from electrochemical measurement. And then, potential-pH 3- diagram is drawn by voltametry examination of nitride resolution behavior with using thermochemical data of nitrides. (A. Hishinuma)
Turbostratic boron nitride coated on high-surface area metal oxide templates
DEFF Research Database (Denmark)
Klitgaard, Søren Kegnæs; Egeblad, Kresten; Brorson, M.
2007-01-01
Boron nitride coatings on high-surface area MgAl2O4 and Al2O3 have been synthesized and characterized by transmission electron microscopy and by X-ray powder diffraction. The metal oxide templates were coated with boron nitride using a simple nitridation in a flow of ammonia starting from ammonium...
Electronic and thermodynamic properties of transition metal elements and compounds
International Nuclear Information System (INIS)
Haeglund, J.
1993-01-01
This thesis focuses on the use of band-structure calculations for studying thermodynamic properties of solids. We discuss 3d-, 4d- and 5d-transition metal carbides and nitrides. Through a detailed comparison between theoretical and experimental results, we draw conclusions on the character of the atomic bonds in these materials. We show how electronic structure calculations can be used to give accurate predictions for bonding energies. Part of the thesis is devoted to the application of the generalized gradient approximation in electronic structure calculations on transition metals. For structures with vibrational disorder, we present a method for calculating averaged phonon frequencies without using empirical information. For magnetic excitations, we show how a combined use of theoretical results and experimental data can yield information on magnetic fluctuations at high temperatures. The main results in the thesis are: Apart for an almost constant shift, theoretically calculated bonding energies for transition metal carbides and nitrides agree with experimental data or with values from analysis of thermochemical information. The electronic spectrum of transition metal carbides and nitrides can be separated into bonding, antibonding and nonbonding electronic states. The lowest enthalpy of formation for substoichiometric vanadium carbide VC 1-X at zero temperature and pressure occurs for a structure containing vacancies (x not equal to 0). The generalized gradient approximation improves theoretical calculated cohesive energies for 3d-transition metals. Magnetic phase transitions are sensitive to the description of exchange-correlation effects in electronic structure calculations. Trends in Debye temperatures can be successfully analysed in electronic structure calculations on disordered lattices. For the elements, there is a clear dependence on the crystal structure (e.g., bcc, fcc or hcp). Chromium has fluctuating local magnetic moments at temperatures well above
UN2−x layer formed on uranium metal by glow plasma nitriding
International Nuclear Information System (INIS)
Long, Zhong; Hu, Yin; Chen, Lin; Luo, Lizhu; Liu, Kezhao; Lai, Xinchun
2015-01-01
Highlights: • We used a very simple method to prepare nitride layer on uranium metal surface. • This modified layer is nitrogen-rich nitride, which should be written as UN 2−x . • TEM images show the nitride layer is composed of nano-sized grains. • XPS analysis indicates there is uranium with abnormal low valence in the nitride. - Abstract: Glow plasma nitriding is a simple and economical surface treatment method, and this technology was used to prepare nitride layer on the surface of uranium metal with thickness of several microns. The composition and structure of the nitride layer were analyzed by AES and XRD, indicating that this modified layer is nitrogen-rich uranium nitride, which should be written as UN 2−x . TEM images show the nitride layer is composed of nano-sized grains, with compact structure. And XPS analysis indicates there is uranium with abnormal low valence existing in the nitride. After the treated uranium storage in air for a long time, oxygen just entered the surface several nanometers, showing the nitride layer has excellent oxidation resistance. The mechanism of nitride layer formation and low valence uranium appearance is discussed
Corrosion resistant cemented carbide
International Nuclear Information System (INIS)
Hong, J.
1990-01-01
This paper describes a corrosion resistant cemented carbide composite. It comprises: a granular tungsten carbide phase, a semi-continuous solid solution carbide phase extending closely adjacent at least a portion of the grains of tungsten carbide for enhancing corrosion resistance, and a substantially continuous metal binder phase. The cemented carbide composite consisting essentially of an effective amount of an anti-corrosion additive, from about 4 to about 16 percent by weight metal binder phase, and with the remaining portion being from about 84 to about 96 percent by weight metal carbide wherein the metal carbide consists essentially of from about 4 to about 30 percent by weight of a transition metal carbide or mixtures thereof selected from Group IVB and of the Periodic Table of Elements and from about 70 to about 96 percent tungsten carbide. The metal binder phase consists essentially of nickel and from about 10 to about 25 percent by weight chromium, the effective amount of an anti-corrosion additive being selected from the group consisting essentially of copper, silver, tine and combinations thereof
Electronic specific heat of transition metal carbides
International Nuclear Information System (INIS)
Conte, R.
1964-07-01
The experimental results that make it possible to define the band structure of transition metal carbides having an NaCI structure are still very few. We have measured the electronic specific heat of some of these carbides of varying electronic concentration (TiC, either stoichiometric or non-stoichiometric, TaC and mixed (Ti, Ta) - C). We give the main characteristics (metallography, resistivity, X-rays) of our samples and we describe the low temperature specific heat apparatus which has been built. In one of these we use helium as the exchange gas. The other is set up with a mechanical contact. The two use a germanium probe for thermometer. The measurement of the temperature using this probe is described, as well as the various measurement devices. The results are presented in the form of a rigid band model and show that the density of the states at the Fermi level has a minimum in the neighbourhood of the group IV carbides. (author) [fr
UN{sub 2−x} layer formed on uranium metal by glow plasma nitriding
Energy Technology Data Exchange (ETDEWEB)
Long, Zhong [China Academy of Engineering Physics, P.O. Box 919-71, Mianyang 621907 (China); Hu, Yin [Science and Technology on Surface Physics and Chemistry Laboratory, P.O. Box 718-35, Mianyang 621907 (China); Chen, Lin [China Academy of Engineering Physics, P.O. Box 919-71, Mianyang 621907 (China); Luo, Lizhu [Science and Technology on Surface Physics and Chemistry Laboratory, P.O. Box 718-35, Mianyang 621907 (China); Liu, Kezhao, E-mail: liukz@hotmail.com [Science and Technology on Surface Physics and Chemistry Laboratory, P.O. Box 718-35, Mianyang 621907 (China); Lai, Xinchun, E-mail: lai319@yahoo.com [Science and Technology on Surface Physics and Chemistry Laboratory, P.O. Box 718-35, Mianyang 621907 (China)
2015-01-25
Highlights: • We used a very simple method to prepare nitride layer on uranium metal surface. • This modified layer is nitrogen-rich nitride, which should be written as UN{sub 2−x}. • TEM images show the nitride layer is composed of nano-sized grains. • XPS analysis indicates there is uranium with abnormal low valence in the nitride. - Abstract: Glow plasma nitriding is a simple and economical surface treatment method, and this technology was used to prepare nitride layer on the surface of uranium metal with thickness of several microns. The composition and structure of the nitride layer were analyzed by AES and XRD, indicating that this modified layer is nitrogen-rich uranium nitride, which should be written as UN{sub 2−x}. TEM images show the nitride layer is composed of nano-sized grains, with compact structure. And XPS analysis indicates there is uranium with abnormal low valence existing in the nitride. After the treated uranium storage in air for a long time, oxygen just entered the surface several nanometers, showing the nitride layer has excellent oxidation resistance. The mechanism of nitride layer formation and low valence uranium appearance is discussed.
Performance analysis of a mixed nitride fuel system for an advanced liquid metal reactor
International Nuclear Information System (INIS)
Lyon, W.F.; Baker, R.B.; Leggett, R.D.
1991-01-01
In this paper, the conceptual development and analysis of a proposed mixed nitride driver and blanket fuel system for a prototypic advanced liquid metal reactor design is performed. As a first step, an intensive literature survey is completed on the development and testing of nitride fuel systems. Based on the results of this survey, prototypic mixed nitride fuel and blanket pins is designed and analyzed using the SIEX computer code. The analysis predicts that the nitride fuel consistently operated at peak temperatures and cladding strain levels that compared quite favorably with competing fuel designs. These results, along with data available in the literature on nitride fuel performance, indicate that a nitride fuel system should offer enhanced capabilities for advanced liquid metal reactors
Performance analysis of a mixed nitride fuel system for an advanced liquid metal reactor
International Nuclear Information System (INIS)
Lyon, W.F.; Baker, R.B.; Leggett, R.D.
1990-11-01
The conceptual development and analysis of a proposed mixed nitride driver and blanket fuel system for a prototypic advanced liquid metal reactor design has been performed. As a first step, an intensive literature survey was completed on the development and testing of nitride fuel systems. Based on the results of this survey, prototypic mixed nitride fuel and blanket pins were designed and analyzed using the SIEX computer code. The analysis predicted that the nitride fuel consistently operated at peak temperatures and cladding strain levels that compared quite favorably with competing fuel designs. These results, along with data available in the literature on nitride fuel performance, indicate that a nitride fuel system should offer enhanced capabilities for advanced liquid metal reactors. 13 refs., 10 figs., 2 tabs
P and Si functionalized MXenes for metal-ion battery applications
Zhu, Jiajie; Schwingenschlö gl, Udo
2017-01-01
MXenes are a family of two-dimensional materials, composed of early transition metal carbides, nitrides, and carbonitrides, with great potential in energy storage systems, in particular in electrodes for Li, Na, K-ion batteries. However, so far
Electrochemical properties of lanthanum nitride with calcium nitride additions
International Nuclear Information System (INIS)
Lesunova, R.P.; Fishman, L.S.
1986-01-01
This paper reports on the electrochemical properties of lanthanum nitride with calcium nitride added. The lanthanum nitride was obtained by nitriding metallic lanthanum at 870 K in an ammonia stream. The product contained Cl, Pr, Nd, Sm, Fe, Ca, Cu, Mo, Mg, Al, Si, and Be. The calcium nitride was obtained by nitriding metallic calcium in a nitrogen stream. The conductivity on the LaN/C 3 N 2 system components are shown as a function of temperature. A table shows the solid solutions to be virtually electronic conductors and the lanthanum nitride a mixed conductor
Alhajri, Nawal Saad; Yoshida, Hiroshi; Anjum, Dalaver H.; Garcia Esparza, Angel T.; Kubota, Jun; Domen, Kazunari; Takanabe, Kazuhiro
2013-01-01
Tantalum carbide and nitride nanocrystals were prepared through the reaction of a tantalum precursor with mesoporous graphitic (mpg)-C 3N4. The effects of the reaction temperature, the ratio of the Ta precursor to the reactive template (mpg-C3N4), and the selection of the carrier gas (Ar, N2 and NH3) on the resultant crystal phases and structures were investigated. The produced samples were characterized using powder X-ray diffraction (XRD), CHN elemental analyses, thermogravimetric analyses (TGA), nitrogen sorption, a temperature-programmed reaction with mass spectroscopy (MS), X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM). The results indicate that the different tantalum phases with cubic structure, TaN, Ta2CN, and TaC, can be formed under a flow of nitrogen when formed at different temperatures. The Ta3N5 phase with a Ta5+ oxidation state was solely obtained at 1023 K under a flow of ammonia, which gasified the C 3N4 template and was confirmed by detecting the decomposed gaseous products via MS. Significantly, the formation of TaC, Ta2CN, and TaN can be controlled by altering the weight ratio of the C 3N4 template relative to the Ta precursor at 1573 K under a flow of nitrogen. The high C3N4/Ta precursor ratio generally resulted in high carbide content rather than a nitride one, consistent with the role of mpg-C3N4 as a carbon source. Electrochemical measurements revealed that the synthesized nanomaterials were consistently able to produce hydrogen under acidic conditions (pH 1). The obtained Tafel slope indicates that the rate-determining step is the Volmer discharge step, which is consistent with adsorbed hydrogen being weakly bound to the surface during electrocatalysis. © 2013 The Royal Society of Chemistry.
Energy Technology Data Exchange (ETDEWEB)
Tahmasebi, Elham [Chemistry Department, Faculty of Science, Lorestan University, Khorram Abad, Lorestan (Iran, Islamic Republic of); Shakerzadeh, Ehsan, E-mail: e.shakerzadeh@scu.ac.ir [Chemistry Department, Faculty of Science, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Biglari, Zeinab [Chemistry Department, Faculty of Science, Lorestan University, Khorram Abad, Lorestan (Iran, Islamic Republic of)
2016-02-15
Graphical abstract: - Highlights: • Encapsulation of Li, Na and K narrow the HOMO–LUMO gaps of the clusters. • The group III nitrides nanoclusters strongly interacted with the alkali metals. • First hyperpolarizabilities remarkably enhance for B{sub 12}N{sub 12} encapsulated with Na/K. - Abstract: Density functional theory (DFT) calculations have been carried out to study the influence of alkali metals (Li, Na and K) encapsulation within the group III nitrides (B{sub 12}N{sub 12}, Al{sub 12}N{sub 12} and Ga{sub 12}N{sub 12}) and the group IV carbides (C{sub 24}, Si{sub 12}C{sub 12}and Ge{sub 12}C{sub 12}) nanoclusters. The encapsulation of Li, Na and K atoms is found to narrow the HOMO–LUMO gaps of the considered clusters. The electronic properties of these clusters, especially the group III nitrides nanoclusters, are strongly sensitive to interaction with the alkali metals. Moreover it is observed that the encapsulation of alkali metals enhances the first hyperpolarizabilities of B{sub 12}N{sub 12} nanocluster. Surprisingly, due to the alkali metals encapsulation within B{sub 12}N{sub 12} nanocluster, the first hyperpolarizability values are remarkably increased to 8505.49 and 122,503.76 a.u. for Na@B{sub 12}N{sub 12} and K@B{sub 12}N{sub 12}, respectively. Also the TD-DFT calculations at both CAM-B3LYP/6-311+G(d) and PBE0/6-311+G(d) levels of theory are also performed to investigate the origin of first hyperpolarizabilities.
Preparing microspheres of actinide nitrides from carbon containing oxide sols
International Nuclear Information System (INIS)
Triggiani, L.V.
1975-01-01
A process is given for preparing uranium nitride, uranium oxynitride, and uranium carboxynitride microspheres and the microspheres as compositions of matter. The microspheres are prepared from carbide sols by reduction and nitriding steps. (Official Gazette)
Nitride stabilized core/shell nanoparticles
Kuttiyiel, Kurian Abraham; Sasaki, Kotaro; Adzic, Radoslav R.
2018-01-30
Nitride stabilized metal nanoparticles and methods for their manufacture are disclosed. In one embodiment the metal nanoparticles have a continuous and nonporous noble metal shell with a nitride-stabilized non-noble metal core. The nitride-stabilized core provides a stabilizing effect under high oxidizing conditions suppressing the noble metal dissolution during potential cycling. The nitride stabilized nanoparticles may be fabricated by a process in which a core is coated with a shell layer that encapsulates the entire core. Introduction of nitrogen into the core by annealing produces metal nitride(s) that are less susceptible to dissolution during potential cycling under high oxidizing conditions.
International Nuclear Information System (INIS)
Kiesler, D.; Bastuck, T.; Theissmann, R.; Kruis, F. E.
2015-01-01
Plasma methods using the direct evaporation of a transition metal are well suited for the cost-efficient production of ceramic nanoparticles. In this paper, we report on the development of a simple setup for the production of titanium-ceramics by reactive anodic arc evaporation and the characterization of the aerosol as well as the nanopowder. It is the first report on TiC X N 1 − X synthesis in a simple anodic arc plasma. By means of extensive variations of the gas composition, it is shown that the composition of the particles can be tuned from titanium nitride over a titanium carbonitride phase (TiC X N 1 − X ) to titanium carbide as proven by XRD data. The composition of the plasma gas especially a very low concentration of hydrocarbons around 0.2 % of the total plasma gas is crucial to tune the composition and to avoid the formation of free carbon. Examination of the particles by HR-TEM shows that the material consists mostly of cubic single crystalline particles with mean sizes between 8 and 27 nm
TXRF analysis of trace metals in thin silicon nitride films
International Nuclear Information System (INIS)
Vereecke, G.; Arnauts, S.; Verstraeten, K.; Schaekers, M.; Heyrts, M.M.
2000-01-01
As critical dimensions of integrated circuits continue to decrease, high dielectric constant materials such as silicon nitride are being considered to replace silicon dioxide in capacitors and transistors. The achievement of low levels of metal contamination in these layers is critical for high performance and reliability. Existing methods of quantitative analysis of trace metals in silicon nitride require high amounts of sample (from about 0.1 to 1 g, compared to a mass of 0.2 mg for a 2 nm thick film on a 8'' silicon wafer), and involve digestion steps not applicable to films on wafers or non-standard techniques such as neutron activation analysis. A novel approach has recently been developed to analyze trace metals in thin films with analytical techniques currently used in the semiconductor industry. Sample preparation consists of three steps: (1) decomposition of the silicon nitride matrix by moist HF condensed at the wafer surface to form ammonium fluosilicate. (2) vaporization of the fluosilicate by a short heat treatment at 300 o C. (3) collection of contaminants by scanning the wafer surface with a solution droplet (VPD-DSC procedure). The determination of trace metals is performed by drying the droplet on the wafer and by analyzing the residue by TXRF, as it offers the advantages of multi-elemental analysis with no dilution of the sample. The lower limits of detection for metals in 2 nm thick films on 8'' silicon wafers range from about 10 to 200 ng/g. The present study will focus on the matrix effects and the possible loss of analyte associated with the evaporation of the fluosilicate salt, in relation with the accuracy and the reproducibility of the method. The benefits of using an internal standard will be assessed. Results will be presented from both model samples (ammonium fluoride contaminated with metallic salts) and real samples (silicon nitride films from a production tool). (author)
Neutron irradiation damage in transition metal carbides
International Nuclear Information System (INIS)
Matsui, Hisayuki; Nesaki, Kouji; Kiritani, Michio
1991-01-01
Effects of neutron irradiation on the physical properties of light transition metal carbides, TiC x , VC x and NbC x , were examined, emphasizing the characterization of irradiation induced defects in the nonstoichiometric composition. TiC x irradiated with 14 MeV (fusion) neutrons showed higher damage rates with increasing C/Ti (x) ratio. A brief discussion is made on 'cascade damage' in TiC x irradiated with fusion neutrons. Two other carbides (VC x and NbC x ) were irradiated with fission reactor neutrons. The irradiation effects on VC x were not so simple, because of the complex irradiation behavior of 'ordered' phases. For instance, complete disordering was revealed in an ordered phase, 'V 8 C 7 ', after an irradiation dose of 10 25 n/m 2 . (orig.)
Shahbudin, S. N. A.; Othman, M. H.; Amin, Sri Yulis M.; Ibrahim, M. H. I.
2017-08-01
This article is about a review of optimization of metal injection molding and microwave sintering process on tungsten cemented carbide produce by metal injection molding process. In this study, the process parameters for the metal injection molding were optimized using Taguchi method. Taguchi methods have been used widely in engineering analysis to optimize the performance characteristics through the setting of design parameters. Microwave sintering is a process generally being used in powder metallurgy over the conventional method. It has typical characteristics such as accelerated heating rate, shortened processing cycle, high energy efficiency, fine and homogeneous microstructure, and enhanced mechanical performance, which is beneficial to prepare nanostructured cemented carbides in metal injection molding. Besides that, with an advanced and promising technology, metal injection molding has proven that can produce cemented carbides. Cemented tungsten carbide hard metal has been used widely in various applications due to its desirable combination of mechanical, physical, and chemical properties. Moreover, areas of study include common defects in metal injection molding and application of microwave sintering itself has been discussed in this paper.
Transition metal carbide nanocomposite and amorphous thin films
Tengstrand, Olof
2014-01-01
This thesis explores thin films of binary and ternary transition metal carbides, in the Nb-C, Ti-Si-C, Nb-Si-C, Zr-Si-C, and Nb-Ge-C systems. The electrical and mechanical properties of these systems are affected by their structure and here both nanocomposite and amorphous thin films are thus investigated. By appropriate choice of transition metal and composition the films can be designed to be multifunctional with a combination of properties, such as low electric resistivity, low contact res...
Investigation of Ternary Transition-Metal Nitride Systems by Reactive Cosputtering
Dover, R.B. Van; Hessen, B.; Werder, D.; Chen, C.-H.; Felder, R.J.
1993-01-01
A reactive dc cosputtering technique has been used to evaluate compound formation in bimetallic transition-metal nitride systems. A wide range in M-M’ composition can be studied in a single deposition run, and the method is applicable to nonalloying metal combinations. Using this technique, it was
Thermal plasma synthesis of transition metal nitrides and alloys
International Nuclear Information System (INIS)
Ronsheim, P.; Christensen, A.N.; Mazza, A.
1981-01-01
Applications of arc plasma processing to high-temperature chemistry of Group V nitrides and Si and Ge alloys are studied. The transition metal nitrides 4f-VN, 4f-NbN, and 4f-TaN are directly synthesized in a dc argon-nitrogen plasma from powders of the metals. A large excess of N 2 is required to form stoichiometric 4f-VN, while the Nb and Ta can only be synthesized with a substoichiometric N content. In a dc argon plasma the alloys V 3 Si, VSi 2 , NbSi 2 , NbGe 2 , Cr 3 Si, and Mo 3 Si are obtained from powder mixtures of the corresponding elements. The compounds are identified by x-ray diffraction patterns and particle shape and size are studied by electron microscopy
International Nuclear Information System (INIS)
Scott, T.B.; Petherbridge, J.R.; Harker, N.J.; Ball, R.J.; Heard, P.J.; Glascott, J.; Allen, G.C.
2011-01-01
Highlights: → High resolution imagery (FIB, SEM and SIMS) of carbide inclusions in uranium metal. → Real time images following the reaction of the carbide inclusions with water vapour. → Shown preferential consumption of carbide over that of the bulk metal. → Quantity of impurities in the metal therefore seriously influence reaction rate. → Metal purity must be considered when storing uranium in air or moist conditions. - Abstract: The reaction between uranium and water vapour has been well investigated, however discrepancies exist between the described kinetic laws, pressure dependence of the reaction rate constant and activation energies. Here this problem is looked at by examining the influence of impurities in the form of carbide inclusions on the reaction. Samples of uranium containing 600 ppm carbon were analysed during and after exposure to water vapour at 19 mbar pressure, in an environmental scanning electron microscope (ESEM) system. After water exposure, samples were analysed using secondary ion mass spectrometry (SIMS), focused ion beam (FIB) imaging and sectioning and transmission electron microscopy (TEM) with X-ray diffraction (micro-XRD). The results of the current study indicate that carbide particles on the surface of uranium readily react with water vapour to form voluminous UO 3 .xH 2 O growths at rates significantly faster than that of the metal. The observation may also have implications for previous experimental studies of uranium-water interactions, where the presence of differing levels of undetected carbide may partly account for the discrepancies observed between datasets.
Preparation of uranium nitride
International Nuclear Information System (INIS)
Potter, R.A.; Tennery, V.J.
1976-01-01
A process is described for preparing actinide-nitrides from massive actinide metal which is suitable for sintering into low density fuel shapes by partially hydriding the massive metal and simultaneously dehydriding and nitriding the dehydrided portion. The process is repeated until all of the massive metal is converted to a nitride
National Research Council Canada - National Science Library
Miyoshi, Kazuhisa
1978-01-01
An investigation was conducted to examine the removal and plastic deformation of metal as a function of the metal properties when the metal is in sliding contact with a single-crystal abrasive grit of silicon carbide...
Fan, Shuai-wei; Wang, Ri-gao; Xu, Pemg
2016-09-01
The electronic structures and magnetism for carbon-doped group III-nitrides are investigated by utilizing the first principle method with the modified Becke-Johnson potential. Calculations show that carbon substituting cations (anions) would induce the group III-nitrides to be paramagnetic metals (half-metallic ferromagnets). Single carbon substituting nitrogen could produce 1.00μB magnetic moment. Electronic structures indicate that the carriers-mediated double-exchange interaction plays a crucial role in forming the ferromagnetism. Based on the mean-field theory, the Curie temperature for carbon-doped group III-nitrides would be above the room temperature. Negative chemical pair interactions imply that carbon dopants tend to form clustering distribution in group III-nitrides. The nitrogen vacancy would make the carbon-doped group III-nitrides lose the half-metallic ferromagnetism.
Hydrogen adsorption in metal-decorated silicon carbide nanotubes
Singh, Ram Sevak; Solanki, Ankit
2016-09-01
Hydrogen storage for fuel cell is an active area of research and appropriate materials with excellent hydrogen adsorption properties are highly demanded. Nanotubes, having high surface to volume ratio, are promising storage materials for hydrogen. Recently, silicon carbide nanotubes have been predicted as potential materials for future hydrogen storage application, and studies in this area are ongoing. Here, we report a systematic study on hydrogen adsorption properties in metal (Pt, Ni and Al) decorated silicon carbide nanotubes (SiCNTs) using first principles calculations based on density functional theory. The hydrogen adsorption properties are investigated by calculations of adsorption energy, electronic band structure, density of states (DOS) and Mulliken charge population analysis. Our findings show that hydrogen adsorptions on Pt, Ni and Al-decorated SiCNTs undergo spontaneous exothermic reactions with significant modulation of electronic structure of SiCNTs in all cases. Importantly, according to the Mulliken charge population analysis, dipole-dipole interaction causes chemisorptions of hydrogen in Pt, Ni and Al decorated SiCNTs with formation of chemical bonds. The study is a platform for the development of metal decorated SiCNTs for hydrogen adsorption or hydrogen storage application.
Comparative study of titanium carbide and nitride coatings grown by cathodic vacuum arc technique
International Nuclear Information System (INIS)
Devia, D.M.; Restrepo-Parra, E.; Arango, P.J.
2011-01-01
Titanium nitride (TiN), titanium carbide (TiC) thin films and TiC/TiN bilayers have been deposited on AISI 304 stainless steel substrates by plasma assisted physical vapor deposition technique - reactive pulsed vacuum arc method. The coatings were characterized in terms of crystalline structure, microstructure and chemical nature by X-ray diffraction and X-ray photoelectron spectroscopy, respectively. Tribological behavior was investigated using ball on disc technique. The average coefficient of friction was measured, showing lower values for the TiN/TiC bilayer. Dynamic wear curves were performed for each coating, observing a better wear resistance for TiN/TiC bilayers, compared to TiN and TiC monolayers. On the other hand, the TiCN formation in the TiN/TiC bilayer was observed, being attributed to the interdiffusion between TiN and TiC at the interface. Moreover, the substrate temperature influence was analysing observing a good behavior at T S = 115 °C.
Evaluation of titanium carbide metal matrix composites deposited via laser cladding
Cavanaugh, Daniel Thomas
Metal matrix composites have been widely studied in terms of abrasion resistance, but a particular material system may behave differently as particle size, morphology, composition, and distribution of the hardening phase varies. The purpose of this thesis was to understand the mechanical and microstructural effects of combining titanium carbide with 431 series stainless steel to create a unique composite via laser cladding, particularly regarding wear properties. The most predominant effect in increasing abrasion resistance, measured via ASTM G65, was confirmed to be volume fraction of titanium carbide addition. Macrohardness was directly proportional to the amount of carbide, though there was an overall reduction in individual particle microhardness after cladding. The reduction in particle hardness was obscured by the effect of volume fraction carbide and did not substantially contribute to the wear resistance changes. A model evaluating effective mean free path of the titanium carbide particles was created and correlated to the measured data. The model proved successful in linking theoretical mean free path to overall abrasion resistance. The effects of the titanium carbide particle distributions were limited, while differences in particle size were noticeable. The mean free path model did not correlate well with the particle size, but it was shown that the fine carbides were completely removed by the coarse abrasive particles in the ASTM G65 test. The particle morphology showed indications of influencing the wear mode, but no statistical reduction was observed in the volume loss figures. Future studies may more specifically focus on particle morphology or compositional effects of the carbide particles.
Energy Technology Data Exchange (ETDEWEB)
Jiang, Yinhua, E-mail: yms418@126.com [School of Chemistry and Chemical Engineering, Jiangsu University, Zhenjiang 2120013,PR China (China); Liu, Peipei; Chen, YeCheng; Zhou, Zhengzhong; Yang, Haijian [School of Chemistry and Chemical Engineering, Jiangsu University, Zhenjiang 2120013,PR China (China); Hong, Yuanzhi; Li, Fan; Ni, Liang [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 2120013,PR China (China); Yan, Yongsheng [School of Chemistry and Chemical Engineering, Jiangsu University, Zhenjiang 2120013,PR China (China); Gregory, Duncan H, E-mail: duncan.gregory@glasgow.ac.uk [School of Chemistry, University of Glasgow, Glasgow G12 8QQ (United Kingdom)
2017-01-01
Highlights: • Novel Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} metal/non-metal nitride hybrids were synthesized. • The hybrid nitrides showed enhanced visible-light photocatalytic performance. • The Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} hybrid nitride exhibited excellent photostability. • The hole is the main photoactive specie for the degradation of RhB. - Abstract: In this paper, a novel Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} metal/non-metal nitride hybrid was successfully synthesized by a facile impregnation method. The photocatalytic activity of Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} hybrid nitrides was evaluated by the degradation of organic dye rhodamine B (RhB) under visible light irradiation, and the result indicated that all Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} samples exhibited distinctly enhanced photocatalytic activities for the degradation of RhB than pure g-C{sub 3}N{sub 4}. The optimal Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} composite sample, with Ta{sub 3}N{sub 5} mass ratio of 2%, demonstrated the highest photocatalytic activity, and its degradation rate constant was 2.71 times as high as that of pure g-C{sub 3}N{sub 4}. The enhanced photocatalytic activity of this Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} metal/metal-free nitride was predominantly attributed to the synergistic effect which increased visible-light absorption and facilitated the efficient separation of photoinduced electrons and holes. The Ta{sub 3}N{sub 5}/g-C{sub 3}N{sub 4} hybrid nitride exhibited excellent photostability and reusability. The possible mechanism for improved photocatalytic performance was proposed. Overall, this work may provide a facile way to synthesize the highly efficient metal/metal-free hybrid nitride photocatalysts with promising applications in environmental purification and energy conversion.
Separation of zirconium--hafnium by nitride precipitation
International Nuclear Information System (INIS)
Anderson, R.N.; Parlee, N.A.
1977-01-01
A method is described for the separation of a light reactive metal (e.g., zirconium) from a heavy reactive metal (e.g., hafnium) by forming insoluble nitrides of the metals in a molten metal solvent (e.g., copper) inert to nitrogen and having a suitable density for the light metal nitride to form a separate phase in the upper portion of the solvent and for the heavy metal nitride to form a separate phase in the lower portion of the solvent. Nitriding is performed by maintaining a nitrogen-containing atmosphere over the bath. The light and heavy metals may be an oxide mixture and carbothermically reduced to metal form in the same bath used for nitriding. The nitrides are then separately removed and decomposed to form the desired separate metals. 16 claims, 1 figure
Survey of post-irradiation examinations made of mixed carbide fuels
International Nuclear Information System (INIS)
Coquerelle, M.
1997-01-01
Post-irradiation examinations on mixed carbide, nitride and carbonitride fuels irradiated in fast flux reactors Rapsodie and DFR were carried out during the seventies and early eighties. In this report, emphasis was put on the fission gas release, cladding carburization and head-end gaseous oxidation process of these fuels, in particular, of mixed carbides. (author). 8 refs, 16 figs, 3 tabs
Hybrid metallic nanocomposites for extra wear-resistant diamond machining tools
DEFF Research Database (Denmark)
Loginov, P.A.; Sidorenko, D.A.; Levashov, E.A.
2018-01-01
The applicability of metallic nanocomposites as binder for diamond machining tools is demonstrated. The various nanoreinforcements (carbon nanotubes, boron nitride hBN, nanoparticles of tungsten carbide/WC) and their combinations are embedded into metallic matrices and their mechanical properties...... are determined in experiments. The wear resistance of diamond tools with metallic binders modified by various nanoreinforcements was estimated. 3D hierarchical computational finite element model of the tool binder with hybrid nanoscale reinforcements is developed, and applied for the structure...
Evaluation of mechanical properties of aluminium alloy–alumina–boron carbide metal matrix composites
International Nuclear Information System (INIS)
Vijaya Ramnath, B.; Elanchezhian, C.; Jaivignesh, M.; Rajesh, S.; Parswajinan, C.; Siddique Ahmed Ghias, A.
2014-01-01
Highlights: • Fabrication of MMC with aluminium alloy–alumina–boron carbide is done. • Different proportions of reinforcements are added. • The effects of varying proportions are studied. • Investigation on mechanical properties above composites is performed. • Failure morphology analysis is done using SEM. - Abstract: This paper deals with the fabrication and mechanical investigation of aluminium alloy, alumina (Al 2 O 3 ) and boron carbide metal matrix composites. Aluminium is the matrix metal having properties like light weight, high strength and ease of machinability. Alumina which has better wear resistance, high strength, hardness and boron carbide which has excellent hardness and fracture toughness are added as reinforcements. Here, the fabrication is done by stir casting which involves mixing the required quantities of additives into stirred molten aluminium. After solidification, the samples are prepared and tested to find the various mechanical properties like tensile, flexural, impact and hardness. The internal structure of the composite is observed using Scanning Electron Microscope (SEM)
International Nuclear Information System (INIS)
Fenske, G.; Kaufherr, N.; Albertson, C.; Mapalo, G.; Nielsen, R.; Kaminsky, M.
1986-01-01
Hard nitride and carbide coatings of titanium and zirconium deposited by reactive evaporation and reactive sputtering techniques were characterized by electron microscopy and Auger spectroscopy to determine the effect of coating process on coating composition and microstructure. Analysis of the chemical composition by Auger spectroscopy revealed the coatings were of high purity with slight differences in stoichiometry depending on the coating technique. Both techniques produced coatings with a columnar microstructure. However, the reactive sputtering technique produced coarser (shorter and wider) columnar grains than the reactive evaporation technique. Furthermore, selected area diffraction analysis of reactively sputtered ZrN coatings showed a two-phased zone (hcp Zr and fcc ZrN) near the substrate/coating interface, while TiC coatings deposited by reactive sputtering and evaporation only showed a single-phase region of fcc TiC
Duplex surface treatment of AISI 1045 steel via plasma nitriding of chromized layer
International Nuclear Information System (INIS)
Hakami, F.; Sohi, M. Heydarzadeh; Ghani, J. Rasizadeh
2011-01-01
In this work AISI 1045 steel were duplex treated via plasma nitriding of chromized layer. Samples were pack chromized by using a powder mixture consisting of ferrochromium, ammonium chloride and alumina at 1273 K for 5 h. The samples were then plasma-nitrided for 5 h at 803 K and 823 K, in a gas mixture of 75%N 2 + 25%H 2 . The treated specimens were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and Vickers micro-hardness test. The thickness of chromized layer before nitriding was about 8 μm and it was increased after plasma nitriding. According to XRD analysis, the chromized layer was composed of chromium and iron carbides. Plasma nitriding of chromized layer resulted in the formation of chromium and iron nitrides and carbides. The hardness of the duplex layers was significantly higher than the hardness of the base material or chromized layer. The main cause of the large improvement in surface hardness was due to the formation of Cr x N and Fe x N phases in the duplex treated layers. Increasing of nitriding temperature from 803 to 823 K enhanced the formation of CrN in the duplex treated layer and increased the thickness of the nitrided layer.
Application of metal oxide refractories for melting and casting reactive metals
International Nuclear Information System (INIS)
Jessen, N.C. Jr.; Holcombe, C.E. Jr.; Townsend, A.B.
1979-01-01
Extensive investigations have been conducted to develop metal oxide refractories for containment of molten uranium and uranium alloys. Since uranium and uranium alloys are readily susceptable to the formation of complex oxides, carbides, nitrides, intermetallic compounds, and suboxide reactions, severe problems exist for the production of quality castings. These contamination reactions are dependent on temperature, pressure, and molten metal interfacial reactions. The need for high purity metals to meet specification repeatedly has resulted in the development of improved metal oxide refractories and sophisticated furnace controls. Applications of Y 2 O 3 for use as a crucible and mold coating, precision molds and cores, and high temperature castable ceramics are discussed. Experimental results on melt impurity levels, thermal controls during melting, surface interactions and casting quality are presented
Pressure induced phase transitions in transition metal nitrides: Ab initio study
Energy Technology Data Exchange (ETDEWEB)
Srivastava, Anurag; Chauhan, Mamta [Advanced Material Research Lab, Indian Institute of Information Technology and Management, Gwalior 474010 (India); Singh, R.K. [Department of Physics, ITM University, Gurgaon 122017 (India)
2011-12-15
We have analyzed the stability of transition metal nitrides (TMNs) XN (X = Ti, Zr, Hf, V, Nb, Ta) in their original rocksalt (B1) and hypothetical CsCl (B2) type phases under high compression. The ground state total energy calculation approach of the system has been used through the generalized gradient approximation (GGA) with the Perdew-Burke-Ernzerhof (PBE) type parameterization as exchange correlation functional. In the whole series of nitrides taken into consideration, tantalum nitride is found to be the most stable. We have observed that under compression the original B1-type phase of these nitrides transforms to a B2-type phase. We have also discussed the computation of ground state properties, like the lattice constant (a), bulk modulus (B{sub 0}) and first order pressure derivative of the bulk modulus (B'{sub 0}) of the TMNs and their host elements. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Predicted stability, structures, and magnetism of 3d transition metal nitrides: the M4N phases
Fang, C.M.; Koster, R.S.; Li, W.F.; van Huis, M.A.
2014-01-01
The 3d transition metal nitrides M4N (Sc4N, Ti4N, V4N, Cr4N, Mn4N, Fe4N, Co4N, Ni4N, and Cu4N) have unique phase relationships, crystal structures, and electronic and magnetic properties. Here we present a systematic density functional theory (DFT) study on these transition metal nitrides, assessing
Characterization of Nanometric-Sized Carbides Formed During Tempering of Carbide-Steel Cermets
Directory of Open Access Journals (Sweden)
Matus K.
2016-06-01
Full Text Available The aim of this article of this paper is to present issues related to characterization of nanometric-sized carbides, nitrides and/or carbonitrides formed during tempering of carbide-steel cermets. Closer examination of those materials is important because of hardness growth of carbide-steel cermet after tempering. The results obtained during research show that the upswing of hardness is significantly higher than for high-speed steels. Another interesting fact is the displacement of secondary hardness effect observed for this material to a higher tempering temperature range. Determined influence of the atmosphere in the sintering process on precipitations formed during tempering of carbide-steel cermets. So far examination of carbidesteel cermet produced by powder injection moulding was carried out mainly in the scanning electron microscope. A proper description of nanosized particles is both important and difficult as achievements of nanoscience and nanotechnology confirm the significant influence of nanocrystalline particles on material properties even if its mass fraction is undetectable by standard methods. The following research studies have been carried out using transmission electron microscopy, mainly selected area electron diffraction and energy dispersive spectroscopy. The obtained results and computer simulations comparison were made.
Study on the performance of fuel elements with carbide and carbide-nitride fuel
International Nuclear Information System (INIS)
Golovchenko, Yu.M.; Davydov, E.F.; Maershin, A.A.
1985-01-01
Characteristics, test conditions and basic results of material testing of fuel elements with carbide and carbonitride fuel irradiated in the BOR-60 reactor up to 3-10% burn-up at specific power rate of 55-70 kW/m and temperatures of the cladding up to 720 deg C are described. Increase of cladding diameter is stated mainly to result from pressure of swelling fuel. The influence of initial efficient porosity of the fuel on cladding deformation and fuel stoichiometry on steel carbonization is considered. Utilization of carbide and carbonitride fuel at efficient porosity of 20% at the given test modes is shown to ensure their operability up to 10% burn-up
Energy Technology Data Exchange (ETDEWEB)
Curry, M. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Li, X. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Metallurgical and Materials Science and Engineering, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Zhang, J. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Weaver, M.L. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Department of Metallurgical and Materials Science and Engineering, University of Alabama, Tuscaloosa, AL 35487-0209 (United States); Street, S.C. [Center for Materials for Information Technology, University of Alabama, Tuscaloosa, AL 35487-0209 (United States) and Department of Chemistry, University of Alabama, Tuscaloosa, AL 35487-0209 (United States)]. E-mail: sstreet@bama.ua.edu
2007-02-26
Evidence is presented here for significant influence on the surface topography of Ti and Al films in the presence of poly(amidoamine) dendrimer monolayers [generations G(4-8)] on SiO {sub x}. X-ray photoelectron spectroscopy analysis clearly indicates formation of nitrides and carbides for Ti metal grown on dendrimer monolayers. In addition, obvious trends in measured correlation lengths and crystalline growth modes of Ti films indicate grain sizes tracking the intrinsic roughness of dendrimer monolayers. No formation of metal nitride is observed for Al depositions. Atomic force microscopy analyses show significant changes in rms vertical roughness and aggregation of as-deposited Ti or Al in presence of dendrimer monolayers.
Souza Macêdo, L.; Stellwagen, D.R.; Teixeira da Silva, V.; Bitter, J.H.
2015-01-01
Transition-metal carbides have been employed for biobased conversions aiming to replace the rare noble metals. However, when reactions are in liquid phase, many authors have observed catalyst deactivation. The main routes of deactivation in liquid phase biobased conversions are coke deposition,
A Study On The Metal Carbide Composite Diffusion Bonding For Mechanical Seal
Directory of Open Access Journals (Sweden)
Kim D.-K.
2015-06-01
Full Text Available Mechanical Seal use highly efficient alternative water having a great quantity of an aqueous solution and has an advantage no corrosion brine. Metal Carbide composites have been investigated as potential materials for high temperature structural applications and for application in the processing industry. The existing Mechanical seal material is a highly expensive carbide alloy, and it is difficult to take a price advantage. Therefore the study of replacing body area with inexpensive steel material excluding O-ring and contact area which demands high characteristics is needed.
International Nuclear Information System (INIS)
Cloud, Andrew N.; Abelson, John R.; Davis, Luke M.; Girolami, Gregory S.
2014-01-01
Thin films of late transition metal nitrides (where the metal is iron, cobalt, or nickel) are grown by low-pressure metalorganic chemical vapor deposition from bis[di(tert-butyl)amido]metal(II) precursors and ammonia. These metal nitrides are known to have useful mechanical and magnetic properties, but there are few thin film growth techniques to produce them based on a single precursor family. The authors report the deposition of metal nitride thin films below 300 °C from three recently synthesized M[N(t-Bu) 2 ] 2 precursors, where M = Fe, Co, and Ni, with growth onset as low as room temperature. Metal-rich phases are obtained with constant nitrogen content from growth onset to 200 °C over a range of feedstock partial pressures. Carbon contamination in the films is minimal for iron and cobalt nitride, but similar to the nitrogen concentration for nickel nitride. X-ray photoelectron spectroscopy indicates that the incorporated nitrogen is present as metal nitride, even for films grown at the reaction onset temperature. Deposition rates of up to 18 nm/min are observed. The film morphologies, growth rates, and compositions are consistent with a gas-phase transamination reaction that produces precursor species with high sticking coefficients and low surface mobilities
Characterization of a glass frit free TiCuAg-thick film metallization applied on aluminium nitride
International Nuclear Information System (INIS)
Reicher, R.; Smetana, W.; Adlassnig, A.; Schuster, J. C.; Gruber, U.
1997-01-01
The metallization of aluminium nitride substrates by glass frit free Ti CuAg-thick film pastes were investigated. Adhesion properties of the conductor paste were tested by measuring tensile strength and compared with commercial Cu-thick film pastes (within glass frit). Also numerical analysis of temperature-distribution and thermal extension of metallized aluminium nitride ceramic, induced by a continuous and a pulsed working electronic device were made with a finite element program. (author)
Directory of Open Access Journals (Sweden)
Shenoy Vivek
2011-01-01
Full Text Available Abstract The magnetic properties of metal-functionalized graphitic carbon nitride nanotubes were investigated based on first-principles calculations. The graphitic carbon nitride nanotube can be either ferromagnetic or antiferromagnetic by functionalizing with different metal atoms. The W- and Ti-functionalized nanotubes are ferromagnetic, which are attributed to carrier-mediated interactions because of the coupling between the spin-polarized d and p electrons and the formation of the impurity bands close to the band edges. However, Cr-, Mn-, Co-, and Ni-functionalized nanotubes are antiferromagnetic because of the anti-alignment of the magnetic moments between neighboring metal atoms. The functionalized nanotubes may be used in spintronics and hydrogen storage.
Energy Technology Data Exchange (ETDEWEB)
Hoyt, R.C.; Rhee, B.W. [Rockwell International Corp., Canoga Park, CA (United States). Energy Systems Group
1979-09-30
The state of the art of dry processing oxide, carbide, and metal fuel has been determined through an extensive literature review. Dry processing in one of the most proliferation resistant fuel reprocessing technologies available to date, and is one of the few which can be exported to other countries. Feasibility has been established for oxide, carbide, and metal fuel on a laboratory scale, and large-scale experiments on oxide and carbide fuel have shown viability of the dry processing concept. A complete dry processing cycle has been demonstrated by multicycle processing-refabrication-reirradiation experiments on oxide fuel. Additional experimental work is necessary to: (1) demonstrate the complete fuel cycle for carbide and metal fuel, (2) optimize dry processing conditions, and (3) establish fission product behavior. Dry process waste management is easier than for an aqueous processing facility since wastes are primarily solids and gases. Waste treatment can be accomplished by techniques which have been, or are being, developed for aqueous plants.
High Temperature Corrosion of Silicon Carbide and Silicon Nitride in Water Vapor
Opila, E. J.; Robinson, Raymond C.; Cuy, Michael D.; Gray, Hugh R. (Technical Monitor)
2002-01-01
Silicon carbide (SiC) and silicon nitride (Si3N4) are proposed for applications in high temperature combustion environments containing water vapor. Both SiC and Si3N4 react with water vapor to form a silica (SiO2) scale. It is therefore important to understand the durability of SiC, Si3N4 and SiO2 in water vapor. Thermogravimetric analyses, furnace exposures and burner rig results were obtained for these materials in water vapor at temperatures between 1100 and 1450 C and water vapor partial pressures ranging from 0.1 to 3.1 atm. First, the oxidation of SiC and Si3N4 in water vapor is considered. The parabolic kinetic rate law, rate dependence on water vapor partial pressure, and oxidation mechanism are discussed. Second, the volatilization of silica to form Si(OH)4(g) is examined. Mass spectrometric results, the linear kinetic rate law and a volatilization model based on diffusion through a gas boundary layer are discussed. Finally, the combined oxidation and volatilization reactions, which occur when SiC or Si3N4 are exposed in a water vapor-containing environment, are presented. Both experimental evidence and a model for the paralinear kinetic rate law are shown for these simultaneous oxidation and volatilization reactions.
Hydrogen chemisorption and oxidation of transition metal carbides
International Nuclear Information System (INIS)
Bethin, J.R.
1979-01-01
A study was made of the catalytic activity of WC, focusing on the possible influence of point defects. The chemisorption of H on WC and titanium oxycarbides was studied with differential scanning calorimetry. The catalytic activity of these materials for oxidation of H was determined by potentiostatic steady-state and potentiodynamic measurements in acid electrolyte. Compositions of WC surfaces were determined by x-ray photoemission and related to the catalytic behavior. Titanium oxycarbide surfaces were analyzed by Auger electron spectroscopy. Of the carbides tested only one WC preparation was able to chemisorb H. Both WC powders investigated catalyzed H oxidation with similar specific activities. Spectroscopic studies showed that the active surface of WC was a mixture of WO 3 and a carbon-deficient WC phase. This result indicates that carbon vacancies are the active sites in tungsten carbide. Theoretical models of a carbon vacancy surrounded by metal atoms suggested by calculations by other workers support this assignment and identify the important role of the W6s level. The measured value of the heat of chemisorption is consistent with the proposed model
International Nuclear Information System (INIS)
Lu Xiaogang; Selleby, Malin; Sundman, Bo
2007-01-01
The thermal expansivities and heat capacities of MX (M = Ti, Zr, Hf, V, Nb, Ta; X = C, N) carbides and nitrides with NaCl structure were calculated using the Debye-Grueneisen model combined with ab initio calculations. Two different approximations for the Grueneisen parameter γ were used in the Debye-Grueneisen model, i.e. the expressions proposed by Slater and by Dugdale and MacDonald. The thermal electronic contribution was evaluated from ab initio calculations of the electronic density of states. The calculated results were compared with CALPHAD assessments and experimental data. It was found that the calculations using the Dugdale-MacDonald γ can account for most of the experimental data. By fitting experimental heat capacity and thermal expansivity data below the Debye temperatures, an estimation of Poisson's ratio was obtained and Young's and shear moduli were evaluated. In order to reach a reasonable agreement with experimental data, it was necessary to use the logarithmic averaged mass of the constituent atoms. The agreements between the calculated and the experimental values for the bulk and Young's moduli are generally better than the agreement for shear modulus
Energy Technology Data Exchange (ETDEWEB)
Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu
2016-02-28
Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.
International Nuclear Information System (INIS)
Johnston, Jamin M.; Catledge, Shane A.
2016-01-01
Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.
Lattice vibrational properties of transition metal carbides (TiC, ZrC
Indian Academy of Sciences (India)
Lattice vibrational properties of transition metal carbides (TiC, ZrC and HfC) have been presented by including the effects of free-carrier doping and three-body interactions in the rigid shell model. The short-range overlap repulsion is operative up to the second neighbour ions. An excellent agreement has been obtained ...
Effect of contact metals on the piezoelectric properties of aluminum nitride thin films
Energy Technology Data Exchange (ETDEWEB)
Harman, J.P.; Kabulski, A. (West Virginia U., Morgantown, WV); Pagan, V.R. (West Virginia U., Morgantown, WV); Famouri, K. (West Virginia U., Morgantown, WV); Kasarla, K.R.; Rodak, L.E. (West Virginia U., Morgantown, WV); Hensel, J.P.; Korakakis, D.
2008-07-01
The converse piezoelectric response of aluminum nitride evaluated using standard metal insulator semiconductor structures has been found to exhibit a linear dependence on the work function of the metal used as the top electrode. The apparent d33 of the 150–1100 nm films also depends on the dc bias applied to the samples.
Effect of contact metals on the piezoelectric properties of aluminum nitride thin films
Energy Technology Data Exchange (ETDEWEB)
Harman, J.; Kabulski, A.; Pagán, V. R.; Famouri, P.; Kasarla, K. R.; Rodak, L. E.; Peter Hensel, J.; Korakakis, D.
2008-01-01
The converse piezoelectric response of aluminum nitride evaluated using standard metal insulator semiconductor structures has been found to exhibit a linear dependence on the work function of the metal used as the top electrode. The apparent d33 of the 150–1100 nm films also depends on the dc bias applied to the samples.
Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors
Kao, Wei-Chieh
Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.
Transition metal carbide and boride abrasive particles
International Nuclear Information System (INIS)
Valdsaar, H.
1978-01-01
Abrasive particles and their preparation are discussed. The particles consist essentially of a matrix of titanium carbide and zirconium carbide, at least partially in solid solution form, and grains of crystalline titanium diboride dispersed throughout the carbide matrix. These abrasive particles are particularly useful as components of grinding wheels for abrading steel. 1 figure, 6 tables
Sintering of nano crystalline o silicon carbide doping with
Indian Academy of Sciences (India)
Sinterable silicon carbide powders were prepared by attrition milling and chemical processing of an acheson type -SiC. Pressureless sintering of these powders was achieved by addition of aluminium nitride together with carbon. Nearly 99% sintered density was obtained. The mechanism of sintering was studied by ...
Simple process to fabricate nitride alloy powders
International Nuclear Information System (INIS)
Yang, Jae Ho; Kim, Dong-Joo; Kim, Keon Sik; Rhee, Young Woo; Oh, Jang-Soo; Kim, Jong Hun; Koo, Yang Hyun
2013-01-01
Uranium mono-nitride (UN) is considered as a fuel material [1] for accident-tolerant fuel to compensate for the loss of fissile fuel material caused by adopting a thickened cladding such as SiC composites. Uranium nitride powders can be fabricated by a carbothermic reduction of the oxide powders, or the nitriding of metal uranium. Among them, a direct nitriding process of metal is more attractive because it has advantages in the mass production of high-purity powders and the reusing of expensive 15 N 2 gas. However, since metal uranium is usually fabricated in the form of bulk ingots, it has a drawback in the fabrication of fine powders. The Korea Atomic Energy Research Institute (KAERI) has a centrifugal atomisation technique to fabricate uranium and uranium alloy powders. In this study, a simple reaction method was tested to fabricate nitride fuel powders directly from uranium metal alloy powders. Spherical powder and flake of uranium metal alloys were fabricated using a centrifugal atomisation method. The nitride powders were obtained by thermal treating the metal particles under nitrogen containing gas. The phase and morphology evolutions of powders were investigated during the nitriding process. A phase analysis of nitride powders was also part of the present work. KAERI has developed the centrifugal rotating disk atomisation process to fabricate spherical uranium metal alloy powders which are used as advanced fuel materials for research reactors. The rotating disk atomisation system involves the tasks of melting, atomising, and collecting. A nozzle in the bottom of melting crucible introduces melt at the center of a spinning disk. The centrifugal force carries the melt to the edge of the disk and throws the melt off the edge. Size and shape of droplets can be controlled by changing the nozzle size, the disk diameter and disk speed independently or simultaneously. By adjusting the processing parameters of the centrifugal atomiser, a spherical and flake shape
International Nuclear Information System (INIS)
Krauth, A.; Mueller, N.
1977-01-01
Pure uranium carbide or nitride is converted with plutonium oxide and carbon (all in powder form) to uranium-plutonium monocarbide or mononitride by cold pressing and sintering at about 1600 0 C. Pure uranium carbide or uranium nitride powder is firstly prepared without extensive safety measures. The pure uranium carbide or nitride powder can also be inactivated by using chemical substances (e.g. stearic acid) and be handled in air. The sinterable uranium carbide or nitride powder (or also granulate) is then introduced into the plutonium line and mixed with a nonstoichiometrically adjusted, prereacted mixture of plutonium oxide and carbon, pressed to pellets and reaction sintered. The surface of the uranium-plutonium carbide (higher metal content) can be nitrated towards the end of the sinter process in a stream of nitrogen. The protective layer stabilizes the carbide against the water and oxygen content in air. (IHOE) [de
International Nuclear Information System (INIS)
Imamura, H.; Mizuno, K.; Ohishi, K.; Suda, E.; Kanda, K.; Sakata, Y.; Tsuchiya, S.
1998-01-01
The catalytic properties of lanthanide amide, imide and nitride prepared by the use of liquid ammonia solutions of lanthanide metals (Ln=Eu and Yb) were studied for catalytic hydrogenation. The reaction of Eu or Yb metal solutions in liquid ammonia with silica yielded SiO 2 -grafted lanthanide amide in the divalent state. The divalent amide showed catalytic activity for the selective hydrogenation of dienes and benzene. It was found that partial hydrogenation of benzene occurred with a very high selectivity for cyclohexene. Amides of calcium, strontium and barium were examined similarly in connection with catalytic studies on divalent amides. Imide and nitride, into which the lanthanide (Ln/AC) deposited by impregnation of active carbon (AC) with liquid ammonia solutions of lanthanide metals were converted thermally, were studied catalytically. It was concluded that imide or imide-like species generated during the thermal degradation of lanthanide amide to nitride were very active in the hydrogenation of ethene. Lanthanide nitride was virtually inactive, but the nitride highly dispersed on active carbon was activated when subjected to evacuation treatment above about 1000 K. (orig.)
Zou, Zhiyu; Fu, Lei; Song, Xiuju; Zhang, Yanfeng; Liu, Zhongfan
2014-07-09
Early transition metals, especially groups IVB-VIB metals, can form stable carbides, which are known to exhibit excellent "noble-metal-like" catalytic activities. We demonstrate herein the applications of groups IVB-VIB metals in graphene growth using atmospheric pressure chemical vapor deposition technique. Similar to the extensively studied Cu, Ni, and noble metals, these transition-metal foils facilitate the catalytic growth of single- to few-layer graphene. The most attractive advantage over the existing catalysts is their perfect control of layer thickness and uniformity with highly flexible experimental conditions by in situ converting the dissolved carbons into stable carbides to fully suppress the upward segregation/precipitation effect. The growth performance of graphene on these transition metals can be well explained by the periodic physicochemical properties of elements. Our work has disclosed a new territory of catalysts in the periodic table for graphene growth and is expected to trigger more interest in graphene research.
Energy Technology Data Exchange (ETDEWEB)
Wang, Yu Lei; Li, Yu Hang; Wang, Xue Lu; Chen, Ai Ping; Yang, Hua Gui [Key Laboratory for Ultrafine Materials of Ministry of Education, School of Materials Science and Engineering, East China University of Science and Technology, Shanghai (China); Nie, Ting; Gong, Xue Qing [Key Laboratory for Advanced Materials, Centre for Computational Chemistry and Research Institute of Industrial Catalysis, East China University of Science and Technology, Shanghai (China); Zheng, Li Rong [Beijing Synchrotron Radiation Facility, Institute of High Energy Physics, Chinese Academy of Sciences (China)
2017-06-19
Semiconductor photocatalysts are hardly employed for overall water splitting beyond 700 nm, which is due to both thermodynamic aspects and activation barriers. Metallic materials as photocatalysts are known to overcome this limitation through interband transitions for creating electron-hole pairs; however, the application of metallic photocatalysts for overall water splitting has never been fulfilled. Black tungsten nitride is now employed as a metallic photocatalyst for overall water splitting at wavelengths of up to 765 nm. Experimental and theoretical results together confirm that metallic properties play a substantial role in exhibiting photocatalytic activity under red-light irradiation for tungsten nitride. This work represents the first red-light responsive photocatalyst for overall water splitting, and may open a promising venue in searching of metallic materials as efficient photocatalysts for solar energy utilization. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)
Structure, preparation and properties of refractory compounds and systems
International Nuclear Information System (INIS)
Holleck, H.; Thuemmler, F.
1977-01-01
At the beginning of this report the possibilities of hardness optimization of refractory carbides are generally discussed. Three papers deal with TaC-basis refractories and hard metals. In particular, carbides with very low nonmetal/metal ratios and composites with hard phases formed by decomposition of tantalum carbonitrides are discussed. Another contribution reports investigations concerning the influence of the microstructure on the hardness of polycristaline mixed carbides. In a series of four papers, results are presented on the work of optimization conventional WC hard metals by introduction of a Fe,Co,Ni-binder: The influence of composition, carbon content and sintering conditions, as well as the wetting behaviour between carbides and binder metals are discussed. Phase relations in the refractory nitride and refractory nitride-binder metal systems as well as phase stabilities of ordered transition metal phases are reported in three papers, fundamental in character. Finally, the work concerning chemical analysis of refractory systems is described. (orig.) [de
DEVELOPMENT OF CARBIDE AND NITRIDE CERAMICS OF INCREASED RESISTIBILITY
Directory of Open Access Journals (Sweden)
O. V. Roman
2005-01-01
Full Text Available The developments of carbide and nitrite ceramics of high solidity are presented. It is shown that development of nanotechnology led to creation of thenanostructural ceramics, the composition of which is controlled on cluster level.
International Nuclear Information System (INIS)
Singh, Nirbhay; Vadera, K.K.; Ramesh Kumar, A.V.; Singh, R.S.; Monga, S.S.; Mathur, G.N.
1999-01-01
Aluminium alloy based particle reinforced metal matrix composites (MMCs) are being considered for a range of applications. Their mechanical properties have been investigated in detail, but more information about their corrosion resistance is needed. In this investigation, the corrosion behaviour of silicon carbide particulates (SiC p )-2124 aluminium metal matrix composites was studied in 3 wt% sodium chloride solution by means of electrochemical technique and optical microscope. The effects of weight percentages and particle size of silicon carbide particulates on corrosion behaviour of the composite were studied in NaCl and it was observed that corrosion rate increases linearly with the increasing weight percentage of SiC p . The corrosion rate of the MMC increases by increasing the size of SiC particles. Anodization improved corrosion resistance of the composites. (author)
The Use of Plasma Technique in Nitridation Process of Metal Alloy DIN 42CrMo4
International Nuclear Information System (INIS)
Purwanto; Malau, Viktor; Tjipto Sujitno
2003-01-01
Nitridation process with plasma technique is one of technique for surface treatment of a material. Research on plasma technique for nitridation process has been carried out to find out the nitridation effect on properties of metal alloy DIN 42CrM04. Nitridation process with plasma technique was conducted in a vacuum tube under following conditions 0.36 torr of pressure, 300 o C of temperature and nitridation times 1, 2, and 3 hours. Nitridation process was followed by hardness test measurement using High Quality Micro Hardness Tester machine, serial number MM-0054, as well as microstructure test using Scanning Electron Microscope (SEM) coupled with Energy Dispersive Spectroscopy (EDS) EDAX-DX4. The results showed that surface hardness increased after nitridation process. For nitridation processes for 1, 2, and 3 hours, the hardness increased from 291 kg/mm 2 to 303 kg/mm 2 , 324 kg/mm 2 and 403 kg/mm 2 , respectively. The results from micro structure observation showed that new phase of Ferro Nitride (Fe 4 N) has been formed with 4.17% nitrogen weight equivalent to 14.73% nitrogen atom and with the thickness of 5.71 μm, 5.08% nitrogen weight or 17.51% nitrogen atom and 6.78 μm thickness, and 5.69% nitrogen weight or 19.24% nitrogen atom and 8.57 μm thickness. (author)
Formation of titanium nitride layers on titanium metal: Results of XPS and AES investigations
International Nuclear Information System (INIS)
Moers, H.; Pfennig, G.; Klewe-Nebenius, H.; Penzhorn, R.D.; Sirch, M.; Willin, E.
1988-09-01
The reaction of titanium metal with gaseous nitrogen and ammonia at temperatures of 890 0 C leads to the formation of nitridic overlayers on the metallic substrate. The thicknesses of the overlayers increase with increasing reaction time. Under comparable conditions ammonia reacts much slower than nitrogen. XPS and AES depth profile analyses show continuous changes of the in-depth compositions of the overlayers. This can be interpreted in terms of a very irregular thickness of the overlayers, an assumption which is substantiated by local AES analyses and by the observation of a pronounced crystalline structure of the substrate after annealing pretreatment, which can give rise to locally different reaction rates. The depth profile is also influenced by the broad ranges of stability of the titanium nitride phases formed during the reaction. The quantitative analysis of the titanium/nitrogen overlayers by AES is difficult because of the overlap of titanium and nitrogen Auger peaks. In quantitative XPS analysis problems arise due to difficulties in defining Ti 2p peak areas. This work presents practical procedures for the quantitative evaluation by XPS and AES of nitridic overlayers with sufficient accuracy. (orig.) [de
Atomic structure of non-stoichiometric transition metal carbides
International Nuclear Information System (INIS)
Moisy-Maurice, Virginie.
1981-10-01
Different kinds of experimental studies of the atomic arrangement in non-stoichiometric transition metal carbides are proposed: the ordering of carbon vacancies and the atomic static displacements are the main subjects studied. Powder neutron diffraction on TiCsub(1-x) allowed us to determine the order-disorder transition critical temperature -Tsub(c) approximately 770 0 C- in the TiCsub(0.52-0.67) range, and to analyze at 300 K the crystal structure of long-range ordered samples. A neutron diffuse scattering quantitative study at 300 K of short-range order in TiCsub(0.76), TiCsub(0.79) and NbCsub(0.73) single crystals is presented: as in Ti 2 Csub(1+x) and Nb 6 C 5 superstructures, vacancies avoid to be on each side of a metal atom. Besides, the mean-square carbon atom displacements from their sites are small, whereas metal atoms move radially about 0.03 A away from vacancies. These results are in qualitative agreement with EXAFS measurements at titanium-K edge of TiCsub(1-x). An interpretation of ordering in term of short-range interaction pair potentials between vacancies is proposed [fr
Ibrahim, Khalil; Taha, Hatem; Mahbubur Rahman, M.; Kabir, Humayun; Jiang, Zhong-Tao
2018-03-01
Since solar-thermal collectors are considered to be the most direct way of converting solar energy into usable forms, in the last few years growing attention has been paid to the development of transition metal nitride and metal oxynitride based thin film selective surfaces for solar-thermal collectors, in order to harvest more solar energy. A solar-thermal energy system, generally, shows very high solar absorption of incident solar radiation from the solar-thermal collectors in the visible range (0.3 to 2.5 μm) and extremely low thermal losses through emission (or high reflection) in the infrared region (≥2.5 μm). The efficiency of a solar-thermal energy conversion system can be improved by the use of solar selective surfaces consisting of novel metallic nanoparticles embedded in metal nitride/oxynitride systems. In order to enhance the effectiveness of solar-thermal devices, solar selective surfaces with high thermal stability are a prerequisite. Over the years, substantial efforts have been made in the field of solar selective surfaces to attain higher solar absorptance and lower thermal emittance in high temperature (above 400 °C) applications. In this article, we review the present state-of-the-art transition metal nitride and/or oxynitride based vacuum sputtered nanostructured thin film coatings, with respect to their optical and solar selective surface applications. We have also summarized the solar selectivity data from recently published investigations, including discussion on some potential applications for these materials.
Plasma nitriding of AISI 52100 ball bearing steel and effect of heat ...
Indian Academy of Sciences (India)
††National Engineering Industries Pvt. Ltd., Jaipur 302 006, India. MS received 26 April ... hardened and tempered with spheroidized carbides is the most commonly used mate- ... because they are polluting the environment. Plasma nitrid-.
Point defects and transport properties in carbides
International Nuclear Information System (INIS)
Matzke, Hj.
1984-01-01
Carbides of transition metals and of actinides are interesting and technologically important. The transition-metal carbides (or carbonitrides) are extensively being used as hard materials and some of them are of great interest because of the high transition temperature for superconductivity, e.g. 17 K for Nb(C,N). Actinide carbides and carbonitrides, (U,Pu)C and (U,Pu)(C,N) are being considered as promising advanced fuels for liquid metal cooled fast breeder nuclear reactors. Basic interest exists in all these materials because of their high melting points (e.g. 4250 K for TaC) and the unusually broad range of homogeneity of nonstoichiometric compositions (e.g. from UCsub(0.9) to UCsub(1.9) at 2500 K). Interaction of point defects to clusters and short-range ordering have recently been studied with elastic neutron diffraction and diffuse scattering techniques, and calculations of energies of formation and interaction of point defects became available for selected carbides. Diffusion measurements also exist for a number of carbides, in particular for the actinide carbides. The existing knowledge is discussed and summarized with emphasis on informative examples of particular technological relevance. (Auth.)
International Nuclear Information System (INIS)
Hasegawa, Masashi; Yagi, Takehiko
2005-01-01
Syntheses of 3d-transition metal (Ti-Cu) nitrides have been tried in a supercritical nitrogen fluid at high pressures (about 10 GPa) and high temperatures (about 1800 K) using diamond anvil cell and YAG laser heating system. Nitrides, such as TiN, VN, CrN, Mn 3 N 2 , Fe 2 N, Co 2 N and Ni 3 N have been successfully synthesized easily by a simple direct nitriding reaction between metal and fluid nitrogen in a short time, while any Cu nitrides were not synthesized. These results indicate that the ratio of nitrogen to metal, N/M, of the nitride decreases from 1 to 0 with the sequence from the early transition metal nitrides to the late transition metal ones. The systematic change of the N/M ratio and crystal structure of the 3d-transition metal nitrides is discussed and interpreted on the basis of the electron arrangement of the 3d-transition metal which is relevant to its coordination number
Failed fuel identification techniques for liquid-metal cooled reactors
International Nuclear Information System (INIS)
Lambert, J.D.B.; Gross, K.C.; Mikaili, R.; Frank, S.M.; Cutforth, D.C.; Angelo, P.L.
1995-01-01
The Experimental Breeder Reactor II (EBR-II), located in Idaho and operated for the US Department of Energy by Argonne National Laboratory, has been used as an irradiation testbed for LMR fuels and components for thirty years. During this time many endurance tests have been carried out with experimental LMR metal, oxide, carbide and nitride fuel elements, in which cladding failures were intentionally allowed to occur. This paper describes methods that have been developed for the detection, identification and verification of fuel failures
New Routes to Lanthanide and Actinide Nitrides
Energy Technology Data Exchange (ETDEWEB)
Butt, D.P.; Jaques, B.J.; Osterberg, D.D. [Boise State University, 1910 University Dr., Boise, Idaho 83725-2075 (United States); Marx, B.M. [Concurrent Technologies Corporation, Johnstown, PA (United States); Callahan, P.G. [Carnegie Mellon University, Pittsburgh, PA (United States); Hamdy, A.S. [Central Metallurgical R and D Institute, Helwan, Cairo (Egypt)
2009-06-15
The future of nuclear energy in the U.S. and its expansion worldwide depends greatly on our ability to reduce the levels of high level waste to minimal levels, while maintaining proliferation resistance. Implicit in the so-called advanced fuel cycle is the need for higher levels of fuel burn-up and consequential use of complex nuclear fuels comprised of fissile materials such as Pu, Am, Np, and Cm. Advanced nitride fuels comprised ternary and quaternary mixtures of uranium and these actinides have been considered for applications in advanced power plants, but there remain many processing challenges as well as necessary qualification testing. In this presentation, the advantages and disadvantages of nitride fuels are discussed. Methods of synthesizing the raw materials and sintering of fuels are described including a discussion of novel, low cost routes to nitrides that have the potential for reducing the cost and footprint of a fuel processing plant. Phase pure nitrides were synthesized via four primary methods; reactive milling metal flakes in nitrogen at room temperature, directly nitriding metal flakes in a pure nitrogen atmosphere, hydriding metal flakes prior to nitridation, and carbo-thermically reducing the metal oxide and carbon mixture prior to nitridation. In the present study, the sintering of UN, DyN, and their solid solutions (U{sub x}, Dy{sub 1-x}) (x = 1 to 0.7) were also studied. (authors)
International Nuclear Information System (INIS)
Ngai, K.L.; Hsia, Y.
1982-01-01
A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features
International Nuclear Information System (INIS)
Benedict, U.
1977-01-01
The Relative Lattice Parameter Difference (RLPD) is defined for a solute element with respect to cubic carbides and nitrides of uranium and plutonium as solvents. Rules are given for the relationship between the solubility and the RLPD. NaCl type monocarbides with RLPD's from -10.2% to +7.8% are completely miscible with UC and PuC. NaCl type mononitrides with RLPD's from -7.5% to +8.5% are completely miscible with UN and PuN. The solubility in the sesquicarbides increases with decreasing RPLD and becomes complete in Pu 2 C 3 at RLPD = +4%, and in U 2 C 3 at RLPD approximately +1.5%. Solubilities are predicted on the basis of these rules for the cases where no experimental results are available
Advanced ceramic material for high temperature turbine tip seals
Solomon, N. G.; Vogan, J. W.
1978-01-01
Ceramic material systems are being considered for potential use as turbine blade tip gas path seals at temperatures up to 1370 1/4 C. Silicon carbide and silicon nitride structures were selected for study since an initial analysis of the problem gave these materials the greatest potential for development into a successful materials system. Segments of silicon nitride and silicon carbide materials over a range of densities, processed by various methods, a honeycomb structure of silicon nitride and ceramic blade tip inserts fabricated from both materials by hot pressing were tested singly and in combination. The evaluations included wear under simulated engine blade tip rub conditions, thermal stability, impact resistance, machinability, hot gas erosion and feasibility of fabrication into engine components. The silicon nitride honeycomb and low-density silicon carbide using a selected grain size distribution gave the most promising results as rub-tolerant shroud liners. Ceramic blade tip inserts made from hot-pressed silicon nitride gave excellent test results. Their behavior closely simulated metal tips. Wear was similar to that of metals but reduced by a factor of six.
Energy Technology Data Exchange (ETDEWEB)
Westerheide, R.; Woetting, G.; Schmitz, H.W.
1998-07-01
The presented activities were initiated by the well known publications of Niihara and Ishizaki. There, the strengthening and toughening of silicon nitride by nanoscaled silicon carbide particles are described. Both authors have used expensive powder production routes to achieve the optimum mechanical properties. However, for a commercial purpose these routes are not applicable due to their high cost and low reproducibility. The production route chosen by H.C. Starck together with CFI and the Fraunhofer-Institute is a powder synthesis based on the carbothermal reaction of silicon nitride as a low cost synthesis method. The investigations were performed for materials made from synthesis powders and other reference materials. The materials were densified with relatively high amounts of conventional sintering additives by gas pressure sintering. It is shown, that the postulated maxima of strength and fracture toughness behaviour at room temperature with maxima at about 5% to 25% nanoscaled SiC cannot be achieved. However, the mechanical high temperature material behaviour is as good as the behaviour of highly developed silicon nitride materials, which are produced by HIP or by consequent minimisation of the additive content with the well known difficulties to densify these materials. An overview will be given here on the powder production route and their specific problems, the mechanical properties, the microstructure and the possible effects of the microstructure, which result in an improvement of the creep resistance. (orig.)
Synthesis, Structure, and Properties of Refractory Hard-Metal Borides
Lech, Andrew Thomas
As the limits of what can be achieved with conventional hard compounds, such as tungsten carbide, are nearing reach, super-hard materials are an area of increasing industrial interest. The refractory hard metal borides, such as ReB2 and WB4, offer an increasingly attractive alternative to diamond and cubic boron nitride as a next-generation tool material. In this Thesis, a thorough discussion is made of the progress achieved by our laboratory towards understanding the synthesis, structure, and properties of these extremely hard compounds. Particular emphasis is placed on structural manipulation, solid solution formation, and the unique crystallographic manifestations of what might also be called "super-hard metals".
Use of cermet thin film resistors with nitride passivated metal insulator field effect transistor
Brown, G. A.; Harrap, V.
1971-01-01
Film deposition of cermet resistors on same chip with metal nitride oxide silicon field effect transistors permits protection of contamination sensitive active devices from contaminants produced in cermet deposition and definition processes. Additional advantages include lower cost, greater reliability, and space savings.
New routes to nitrogen-rich transition metal nitrides: Synthesis of novel polymorphs of Hf3N4
Salamat, Ashkan; Hector, A.; Gray, B.; Kimber, S.; Bouvier, P.; McMillan, P.
2013-06-01
One of the most obvious features of transition metal nitride chemistry is that the maximum formal oxidation state of the metal is rarely as high as in the corresponding oxides or fluorides. Much of the interest in the high oxidation phases stems from the desire to identify the next generation of photocatalytic materials with tuneable bandgaps. Experiments in the laser heated diamond anvil cell (LHDAC) between the direct reaction of metals and nitrogen have previously produced a number of important new main group nitride phases. This technique has also demonstrated its potential for formation of new nitrogen-rich transition metal nitride phases. Alternative methods with the development of ``soft'' routes to new phases with high nitrogen content also offer the possibility of obtaining metastable phases through topotactic conversions. Using LHDAC in situ with synchrotron angle dispersive diffraction techniques we have crystallised at high pressures and temperatures two novel polymorphs of Hf3N4. Starting with an amide-derived nanocrystalline Hf3N4 sample we have identified a novel tetragonal (I4/ m) polymorph at 15 GPa and 1500K and a second high pressure orthorhombic (Pnma) polymorph at 30 GPa and 2000 K. This study demonstrates that the combination of precursor-based synthesis and high-pressure crystallization could be very productive in synthesis of such nitrogen-rich phases.
Innovative boron nitride-doped propellants
Directory of Open Access Journals (Sweden)
Thelma Manning
2016-04-01
Full Text Available The U.S. military has a need for more powerful propellants with balanced/stoichiometric amounts of fuel and oxidants. However, balanced and more powerful propellants lead to accelerated gun barrel erosion and markedly shortened useful barrel life. Boron nitride (BN is an interesting potential additive for propellants that could reduce gun wear effects in advanced propellants (US patent pending 2015-026P. Hexagonal boron nitride is a good lubricant that can provide wear resistance and lower flame temperatures for gun barrels. Further, boron can dope steel, which drastically improves its strength and wear resistance, and can block the formation of softer carbides. A scalable synthesis method for producing boron nitride nano-particles that can be readily dispersed into propellants has been developed. Even dispersion of the nano-particles in a double-base propellant has been demonstrated using a solvent-based processing approach. Stability of a composite propellant with the BN additive was verified. In this paper, results from propellant testing of boron nitride nano-composite propellants are presented, including closed bomb and wear and erosion testing. Detailed characterization of the erosion tester substrates before and after firing was obtained by electron microscopy, inductively coupled plasma and x-ray photoelectron spectroscopy. This promising boron nitride additive shows the ability to improve gun wear and erosion resistance without any destabilizing effects to the propellant. Potential applications could include less erosive propellants in propellant ammunition for large, medium and small diameter fire arms.
Effect of microstructure on the high temperature strength of nitride
Indian Academy of Sciences (India)
Effect of microstructure on the high temperature strength of nitride bonded silicon carbide composite. J Rakshit P K Das. Composites Volume ... The effect of these parameters on room temperature and high temperature strength of the composite up to 1300°C in ambient condition were studied. The high temperature flexural ...
International Nuclear Information System (INIS)
Serghiou, G; McGaff, A J; Russell, N; Morniroli, J P; Frost, D J; Odling, N; Boehler, R; Troadec, D; Lathe, C
2010-01-01
High density nitrides and group IV alloys are of growing importance for both ceramic and optoelectronic applications. We present here new data and processes in our ongoing preparation of alkaline earth and transition metal nitrides as well as group IV alloys, here, up to 25 GPa and 2300 K. We employ large volume and laser-heated diamond anvil cell techniques for synthesis, processing tools including focused ion beam, and synchrotron X-ray diffraction, transmission electron microscopy and scanning electron microscopy for characterization.
III-nitrides, 2D transition metal dichalcogenides, and their heterojunctions
Mishra, Pawan
2017-04-01
Group III-nitride materials have attracted great attention for applications in high efficiency electronic and optoelectronics devices such as high electron mobility transistors, light emitting diodes, and laser diodes. On the other hand, group VI transition metal dichalcogenides (TMDs) in the form of MX2 has recently emerged as a novel atomic layered material system with excellent thermoelectric, electronic and optoelectronic properties. Also, the recent investigations reveal that the dissimilar heterojunctions formed by TMDs and III-nitrides provide the route for novel devices in the area of optoelectronic, electronics, and water splitting applications. In addition, integration of III-nitrides and TMDs will enable high density integrated optoelectronic circuits and the development of hybrid integration technologies. In this work, we have demonstrated kinetically controlled growth processes in plasma assisted molecular beam epitaxy (PAMBE) for the III-nitrides and their engineered heterostructures. Techniques such as Ga irradiation and nitrogen plasma exposure has been utilized to implement bulk GaN, InGaN and their heterostructures in PAMBE. For the growth of III-nitride based heterostructures, the in-situ surface stoichiometry monitoring (i-SSM) technique was developed and used for implementing stepped and compositionally graded InGaN-based multiple quantum wells (MQWs). Their optical and microstrain analysis in conjunction with theoretical studies confirmed improvement in the radiative recombination rate of the graded-MQWs as compared to that of stepped-MQWs, owing to the reduced strain in graded-MQWs. Our achievement also includes the realization of the p-type MoS2 by engineering pristine MoS2 layers in PAMBE. Mainly, Ga and nitrogen plasma irradiation on the pristine MoS2 in PAMBE has resulted in the realization of the p-type MoS2. Also, GaN epitaxial thin layers were deposited on MoS2/c-sapphire, WSe2/c-sapphire substrates by PAMBE to study the band
Xiong, Hui-Hui; Gan, Lei; Tong, Zhi-Fang; Zhang, Heng-Hua; Zhou, Yang
2018-05-01
The nucleation potential of transition metal (TM) carbides formed in steel can be predicted by the behavior of iron adsorption on their surface. Therefore, Fe adsorption on the (001) surface of (A1-xmx)C (A = Nb, Ti, m = Mo, V) was investigated by the first-principles method to reveal the initialization of Fe nucleation. The Mulliken population and partial density of state (PDOS) were also calculated and analyzed in this work. The results show that Fe adsorption depends on the composition and configuration of the composite carbides. The adsorption energy (Wads) of Fe on most of (A1-xmx)C is larger than that of Fe on pure TiC or NbC. The maximum Wads is found for Fe on (Nb0.5Mo0.5)C complex carbide, indicating that this carbide has the high nucleation capacity at early stage. The Fe adsorption could be improved by the segregation of Cr and Mn atoms on the surfaces of (Nb0.5Mo0.5)C and (Ti0.5Mo0.5)C. The PDOS analysis of (Cr, Mn)-doped systems further explains the strong interactions between Fe and Cr or Mn atoms.
International Nuclear Information System (INIS)
Oliveira, Eduardo Spinelli
2017-01-01
Surface coatings are applied to many cutting tools in the metallurgical industry in order to improve cutting efficiency and extend its useful life. In this work, tests were performed to remove the coating of titanium aluminum nitride (TiAlN) on tungsten carbide (WC-Co) pellets, using an ultrashort laser pulses beam. After determination of the damage thresholds of the film and the substrate, were ablated on the surface of the coating lines using two ablation conditions, it was initially operated on the low fluence regime for the film, and later on the low fluence regime of the substrate, far below the threshold of the film, applying high overlapping pulses. A laser induced breakdown spectroscopy (LIBS) system was set up to monitor the materials present in the plasma generated by the laser, but the system did not present sufficient sensitivity to read the low intensity of the plasma generated in the process and was not used. After the analysis of the traces by electron microscopy, optical profilometer and X-ray fluorescence spectroscopy, it was not possible to determine a safe process to carry out the selective removal of the film in question, however, due to the data obtained and observations of the results in some traces, new possibilities were raised, opening the discussion for future work. (author)
Effect of ion beam bombardment on the carbide in M2 steel modified by ion-beam-assisted deposition
Energy Technology Data Exchange (ETDEWEB)
Li, X.Y.; Wang, F.J.; Wang, Y.K. (Dept. of Materials Engineering, Dalian Univ. of Technology (China)); Ma, T.C. (National Lab. of Materials Modification by Beam Three, Dalian (China))
1991-10-30
Transmission electron microscopy was used to study the effect of nitrogen ion bombardment with different doses on the carbides in M2 high speed steel as the nitrogen ions penetrated into the nitride films during ion-beam-assisted deposition. With different doses of nitrogen, alterations in the morphological characteristics of the carbide M6C at the interface were observed. With lower doses, knitting-like contrast within the carbide showed subboundary structure defects in M6C. With increasing dose, the substructure defects were broken up into small fragments owing to heavy bombardment. The microstructures of carbides at the interface damaged by nitrogen ions are discussed in detail. (orig.).
Preparation and characteristics of various rare earth nitrides
International Nuclear Information System (INIS)
Imamura, H.; Imahashi, T.; Zaimi, M.; Sakata, Y.
2008-01-01
Active nanocrystalline nitrides of EuN and YbN with high surface areas were successfully prepared by the thermal decomposition of the rare earth amides (Eu(NH 2 ) 2 , Yb(NH 2 ) 2 and Yb(NH 2 ) 3 ). For the preparation of CeN, PrN and NdN, the direct reaction of the rare earth metals with ammonia was extensively studied to determine optimal conditions. In the reaction of rare earth metals with ammonia, hydrides besides the nitrides were competitively formed. The reaction conditions such as temperatures and ratios of ammonia to rare earth metal were crucial in preferential formation of nitride. The nanocrystalline YbN and EuN readily absorbed large amounts of ammonia even at room temperature upon contact with ammonia (13.3 kPa). The absorbed ammonia existed in at least two forms on/in the nitride; the one was surface-adsorbed ammonia and the other ammonia absorbed in the nitride in a decomposed state. The properties of ammonia absorbed by the nitride were further evaluated by temperature-programmed desorption (TPD), FT-IR and XRD techniques
Reaction sintering of a clay-containing silicon nitride bonded silicon carbide refractory
International Nuclear Information System (INIS)
Swenser, S.P.; Cheng, Y.B.
1998-01-01
Aspects of the reaction sequence for the reaction bonding of a cast refractory, which in the green state was composed of 79 wt-% SiC grit, 16 wt-% Si powder and 5 wt-% clay were established. As it was fired up to 1600 deg C in flowing N 2 (g), weight gains were noted and phase evolution was monitored by X-ray diffraction. However, details of the reaction sequence were not determined directly from this material because several reaction-bonding processes occurred simultaneously. Reaction features were ascertained by contrasting the weight changes and phase evolution in the refractory with those observed during reaction-bonding of (a) Si and clay without the SiC and (b) SiC and clay without the Si. In addition to silicon nitridation and the development of sialon phases by silicothermal and carbothermal reduction-nitridation processes, indirect evidence suggested that α-Si 3 N 4 formed by the carbothermal reduction-nitridation (CRN) of SiO(g). Copyright (1998) Australasian Ceramic Society
Effect of metallic coating on the properties of copper-silicon carbide composites
Chmielewski, M.; Pietrzak, K.; Teodorczyk, M.; Nosewicz, S.; Jarząbek, D.; Zybała, R.; Bazarnik, P.; Lewandowska, M.; Strojny-Nędza, A.
2017-11-01
In the presented paper a coating of SiC particles with a metallic layer was used to prepare copper matrix composite materials. The role of the layer was to protect the silicon carbide from decomposition and dissolution of silicon in the copper matrix during the sintering process. The SiC particles were covered by chromium, tungsten and titanium using Plasma Vapour Deposition method. After powder mixing of components, the final densification process via Spark Plasma Sintering (SPS) method at temperature 950 °C was provided. The almost fully dense materials were obtained (>97.5%). The microstructure of obtained composites was studied using scanning electron microscopy as well as transmission electron microscopy. The microstructural analysis of composites confirmed that regardless of the type of deposited material, there is no evidence for decomposition process of silicon carbide in copper. In order to measure the strength of the interface between ceramic particles and the metal matrix, the micro tensile tests have been performed. Furthermore, thermal diffusivity was measured with the use of the laser pulse technique. In the context of performed studies, the tungsten coating seems to be the most promising solution for heat sink application. Compared to pure composites without metallic layer, Cu-SiC with W coating indicate the higher tensile strength and thermal diffusitivy, irrespective of an amount of SiC reinforcement. The improvement of the composite properties is related to advantageous condition of Cu-SiC interface characterized by well homogenity and low porosity, as well as individual properties of the tungsten coating material.
High temperature solar energy absorbing surfaces
Schreyer, J.M.; Schmitt, C.R.; Abbatiello, L.A.
A solar collector having an improved coating is provided. The coating is a plasma-sprayed coating comprising a material having a melting point above 500/sup 0/C at which it is stable and selected from the group of boron carbide, boron nitride, metals and metal oxides, nitrides, carbides, borides, and silicates. The coatings preferably have a porosity of about 15 to 25% and a thickness of less than 200 micrometers. The coatings can be provided by plasma-spraying particles having a mean diameter of about 10 to 200 micrometers.
The passivation of uranium metal surfaces by nitrogen bombardment - the formation of uranium nitride
International Nuclear Information System (INIS)
Allen, G.C.; Holmes, N.R.
1987-08-01
As part of a detailed investigation of the behaviour of metallic uranium in various atmospheres, we have examined the reaction between nitrogen gas and uranium metal. At room temperature there was no evidence of reaction between nitrogen gas and a clean metal surface; the only changes observed could be attributed to reaction between the metal and traces of oxygen (less than 0.1 ppm) in the nitrogen gas. Reaction between the metal and nitrogen was induced however by accelerating nitrogen towards the surface using a fast atom gun. The resulting nitrided surface was characterised by X-ray photoelectron spectroscopy, and its oxidation behaviour was monitored over an extended period in UHV and in air. (author)
The passivation of uranium metal surfaces by nitrogen bombardment - the formation of uranium nitride
International Nuclear Information System (INIS)
Allen, G.C.; Holmes, N.R.
1988-01-01
As part of a detailed investigation of the behaviour of metallic uranium in various atmospheres, we have examined the reaction between nitrogen gas and uranium metal. At room temperature there was no evidence of reaction between nitrogen gas and a clean metal surface; the only changes observed could be attributed to reaction between the metal and traces of oxygen (less than 0.1 ppm) in the nitrogen gas. Reaction between the metal and nitrogen was induced, however, by accelerating nitrogen towards the surface using a fast atom gun. The resulting nitrided surface was characterized by X-ray photoelectron spectroscopy, and its oxidation behaviour was monitored over an extended period in UHV and in air. (orig.)
International Nuclear Information System (INIS)
Budnick, J.I.; Pease, D.M.
1995-01-01
The following areas of study are reported on: bonding and near neighbor force constants in NiAl, CoAl, FeAl via temperature dependent EXAFS; alloys formed when Fe or Ga is microalloyed into a NiAl matrix; EXAFS studies of nitrided versus non nitrided Y 2 Fe 17 ; and transition metal x-ray spectra as related to magnetic moments
Energy Technology Data Exchange (ETDEWEB)
Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)
2015-02-23
Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.
International Nuclear Information System (INIS)
Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke
2015-01-01
Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs
On thermal stability of cyanocomplexes of some transition metals
International Nuclear Information System (INIS)
Sergeeva, A.N.; Pavlenko, L.I.; Dovgej, V.V.; Zubritskaya, D.I.; Tkachenko, Zh.I.
1981-01-01
The experimental data on the study of thermal stability of the coordination cyanides of the composition M'sub(x)[M''(CN)sub(y)]xnHsub(2)O, where M'=K; M''=V(2,3), Mo(2,4), Re(3,5), Ru(2); x=3,4; y=6-8; n=1-3, are generalized and systematized. Three main stages of decomposition of cyanocomlexes, proceeding in argon medium at 20-900 deg, are established. Hexacyanocomplexes of Re(3), Mo(2), Ru(2), V(2) according to their increasing thermal stability can be arranged in the series: K 3 [Re(CN) 6 ] 4 [Mo(CN) 6 ] 4 [Ru(CN) 6 ] 4 [V(CN) 6 ], from which it follows that cyanocomplexes of d-metals of periods 6 and 5 are less thermally stable than similar complexes of d-metal of period 4. The decomposition of cyanides of the type M(CN) 2 in the case of ruthenium ends with the formation of free metal at 470-670 deg, for rhenium - with the formation of free metal and rhenium nitride ReN 2 at 680-700 deg, for molybdenum - molybdenum carbide Mo 2 C at > 670 deg, for vanadium - vanadium carbide VC at 705 deg [ru
Metal-carbide multilayers for molten Pu containment
International Nuclear Information System (INIS)
Summers, T.S.E.; Curtis, P.G.; Juntz, R.S.; Krueger, R.L.
1991-12-01
Multilayers composed of nine or ten alternating layers of Ta or W and TaC were studied for the feasibility of their use in containing molten plutonium (Pu) at 1200 degrees C. Single layers of W and TaC were also investigated. A two-source electron beam evaporation process was developed to deposit these coatings onto the inside surface of hemispherical Ta cups about 38 mm in diameter. Pu testing was done by melting Pu in the coated hemispherical cups and holding them under vacuum at 1200 degrees C for two hours. Metallographic examination and microprobe analysis of cross sections showed that Pu had penetrated to the Ta substrate in all cases to some extent. Full penetration to the outer surface of the Ta substrate, however, occurred in only a few of the samples. The fact that full penetration occurred in any of the samples suggests that it would have occurred in uncoated Ta under these testing conditions which in turn suggests that the multilayer coatings do afford some protection against Pu attack. The TaC used for these specimens was wet by Pu under these testing conditions, and following testing, Pu was found uniformly distributed throughout the carbide layers which appeared to be rather porous. Pu was seen in the W and Ta layers only when exposed directly to molten Pu during testing or near defects suggesting that Pu penetrated the multilayers at defects in the coating and traveled parallel to the layers along the carbide layers. These results indicate that the use of alternating metal and ceramic layers for Pu containment should be possible through the use of nonporous ceramic that is not wet by molten Pu and defect-free films
Zhao, Guo-Hua; Aune, Ragnhild E; Espallargas, Nuria
2016-10-01
The medical grade pure titanium, stainless steel and CoCrMo alloy have been utilized as biomaterials for load-bearing orthopedic prosthesis. The conventional surgery metals suffer from a combined effect of wear and corrosion once they are implanted, which may significantly accelerate the material degradation process. In this work, the tribocorrosion performance of the metallic biomaterials with different surface modifications was studied in the simulated body fluid for the purpose of investigating the effect of the surface treatments on the tribocorrosion performance and eventually finding the most suitable implantation materials. The metals were subjected to surface modifications by plasma nitriding in different treatment temperatures or physical vapor deposition (PVD) to produce diamond-like carbon (DLC) coating, respectively. The dry wear and tribocorrosion properties of the samples were evaluated by using a reciprocating ball-on-disc tribometer equipped with an electrochemical cell. Prior to the tribocorrosion tests, their electrochemical behavior was measured by the potentiodynamic polarization in phosphate buffer saline (PBS) solution at room temperature. Both stainless steel and CoCrMo after low temperature nitriding kept their passive nature by forming an expanded austenite phase. The DLC coated samples presented the low anodic corrosion current due to the chemical inertness of the carbon layer. During the tribocorrosion tests at open circuit potential, the untreated and low temperature nitrided samples exhibited significant potential drop towards the cathodic direction, which was a result of the worn out of the passive film. Galvanic coupling was established between the depassivated (worn) area and the still passive (unworn) area, making the materials suffered from wear-accelerated corrosion. The DLC coating performed as a solid lubricant in both dry wear and tribocorrosion tests, and the resulting wear after the tests was almost negligible. Copyright
High temperature evaporation of titanium, zirconium and hafnium carbides
International Nuclear Information System (INIS)
Gusev, A.I.; Rempel', A.A.
1991-01-01
Evaporation of cubic nonstoichiometric carbides of titanium, zirconium and hafnium in a comparatively low-temperature interval (1800-2700) with detailed crystallochemical sample certification is studied. Titanium carbide is characterized by the maximum evaporation rate: at T>2300 K it loses 3% of sample mass during an hour and at T>2400 K titanium carbide evaporation becomes extremely rapid. Zirconium and hafnium carbide evaporation rates are several times lower than titanium carbide evaporation rates at similar temperatures. Partial pressures of metals and carbon over the carbides studied are calculated on the base of evaporation rates
International Nuclear Information System (INIS)
Tang, Y.; Li, Y.S.; Yang, Q.; Hirose, A.
2010-01-01
Diamond nucleation and growth on several typical carbide-forming elements (CFE) (Ti, Cr and W) coated Si and WC-Co substrates were studied. The ion beam sputtered CFE interlayers show an amorphous/nanocrystalline microstructure. The diamond formed on the CFE coated substrates shows higher nucleation density and rate and finer grain structure than on uncoated substrates. Consequently, nanocrystalline diamond thin films can be formed on the CFE coated substrates under conventional microcrystalline diamond growth conditions. Among the three tested CFE interlayers, diamond has the highest nucleation density and rate on W layer and the lowest on Ti layer. The diamond nucleation density and rate on CFE coated WC-Co are much higher than those on widely used metal nitride coated WC-Co.
Process for producing ceramic nitrides anc carbonitrides and their precursors
Brown, G.M.; Maya, L.
1987-02-25
A process for preparing ceramic nitrides and carbon nitrides in the form of very pure, fine particulate powder. Appropriate precursors is prepared by reaching a transition metal alkylamide with ammonia to produce a mixture of metal amide and metal imide in the form of an easily pyrolyzable precipitate.
Leachability of nitrided ilmenite in hydrochloric acid
Swanepoel, J.J.; van Vuuren, D.S.; Heydenrych, M.
2011-01-01
Titanium nitride in upgraded nitrided ilmenite (bulk of iron removed) can selectively be chlorinated to produce titanium tetrachloride. Except for iron, most other components present during this low temperature (ca. 200°C) chlorination reaction will not react with chlorine. It is therefore necessary to remove as much iron as possible from the nitrided ilmenite. Hydrochloric acid leaching is a possible process route to remove metallic iron from nitrided ilmenite without excessive dissolution o...
DEFF Research Database (Denmark)
Vojvodic, A.; Hellman, Anders; Ruberto, C.
2009-01-01
Adsorption and catalytic properties of the polar (111) surface of transition-metal carbides (TMC's) are investigated by density-functional theory. Atomic and molecular adsorption are rationalized with the concerted-coupling model, in which two types of TMC surface resonances (SR's) play key roles...
Effect of boron nitride coating on fiber-matrix interactions
International Nuclear Information System (INIS)
Singh, R.N.; Brun, M.K.
1987-01-01
Coatings can modify fiber-matrix reactions and consequently interfacial bond strengths. Commercially available mullite, silicon carbide, and carbon fibers were coated with boron nitride via low pressure chemical vapor deposition and incorporated into a mullite matrix by hot-pressing. The influence of fiber-matrix interactions for uncoated fibers on fracture morphologies was studied. These observations are related to the measured values of interfacial shear strengths
The passivation of uranium metal surfaces by nitrogen bombardment — the formation of uranium nitride
Allen, Geoffrey C.; Holmes, Nigel R.
1988-05-01
As part of a detailed investigation of the behaviour of metallic uranium in various atmospheres, we have examined the reaction between nitrogen gas and uranium metal. At room temperature there was no evidence of reaction between nitrogen gas and a clean metal surface; the only changes observed could be attributed to reaction between the metal and traces of oxygen (less than 0.1 ppm) in the nitrogen gas. Reaction between the metal and nitrogen was induced, however, by accelerating nitrogen towards the surface using a fast atom gun. The resulting nitrided surface was characterized by X-ray photoelectron spectroscopy, and its oxidation behaviour was monitored over an extended period in UHV and in air.
Energy Technology Data Exchange (ETDEWEB)
Mikailoff, H; Mustelier, J P; Bloch, J; Leclere, J; Hayet, L [Commissariat a l' Energie Atomique, Fontenay-aux-Roses (France). Centre d' Etudes Nucleaires
1967-07-01
In the framework of the research program of fast reactor fuels two irradiation experiments have been carried out on mixed uranium-plutonium carbides, nitrides and carbo-nitrides. In the first experiment carried out with thermal neutrons, the fuel consisted of sintered pellets sheathed in a stainless steel can with a small gap filled with helium. There were three mixed mono-carbide samples and the maximum linear power was 715 W/cm. After a burn-up slightly lower than 20000 MW day/tonne, a swelling of the fuel which had ruptured the cans was observed. In the second experiment carried out in the BR2 reactor with epithermal neutrons, the samples consisted of sintered pellets sodium bonded in a stainless steel tube. There were three samples containing different fuels and the linear power varies between 1130 and 1820 W/cm. Post-irradiation examination after a maximal burn-up of 1550 MW day/tonne showed that the behaviour of the three fuel elements was satisfactory. (authors) [French] Dans le cadre du programme d'etude des conibustiles pour reacteurs rapides, on a realise deux experiences d'irradiation de carbures, nitrures et carbonitrures mixtes d'uranium et de plutonium. Dans la premiere experience, faite en neutrons thermiques, le combustible etait constitue de,pastilles frittees gainees dans un tube d'acier inoxydable avec un faible jeu rempli d'helium. Il y avait trois echantillons de monocarbures mixtes, et la puissance lineaire maximale etait de 715 W/cm. Apres un taux de combustion legerement inferieur a 20 000 MWj/t, on a observe un gonflement des combustible qui a provoque, la rupture des gaines. Pans la seconde experience, realisee dans le reacteur BR2 en neutrons epithermiques, les echantillons etaient constitues de pastilles frittees gainees dans un tube d'acier avec un joint sodium. Il y avait trois echantillons contenant des combustibles differents, et la puissance lineaire variait de 1130 a 1820 W/cm. Les examens apres irradiation a un taux maximal de
Surface metallurgy of cemented carbide tools
International Nuclear Information System (INIS)
Chopra, K.L.; Kashyap, S.C.; Rao, T.V.; Rajagopalan, S.; Srivastava, P.K.
1983-01-01
Transition metal carbides, owing to their high melting point, hardness and wear resistance, are potential candidates for specific application in rockets, nuclear engineering equipment and cutting tools. Tungsten carbide sintered with a binder (either cobalt metal or a mixture of Co + TiC and/or TaC(NbC)) is used for cutting tools. The surface metallurgy of several commercially available cemented carbide tools was studied by Auger electron spectroscopy and X-ray photoelectron spectroscopy techniques. The tool surfaces were contaminated by adsorbed oxygen up to a depth of nearly 0.3 μm causing deterioration of the mechanical properties of the tools. Studies of fractured samples indicated that the tool surfaces were prone to oxygen adsorption. The fracture path passes through the cobalt-rich regions. The ineffectiveness of a worn cutting tool is attributed to the presence of excessive iron from the steel workpiece and carbon and oxygen in the surface layers of the tool. The use of appropriate hard coatings on cemented carbide tools is suggested. (Auth.)
Rahman, Shakeelur; Momin, Bilal; Higgins M., W.; Annapure, Uday S.; Jha, Neetu
2018-04-01
In recent times, low cost and metal free photocatalyts driven under visible light have attracted a lot of interest. One such photo catalyst researched extensively is bulk graphitic carbon nitride sheets. But the low surface area and weak mobility of photo generated electrons limits its photocatalytic performance in the visible light spectrum. Here we present the facile synthesis of ultrathin graphitic carbon nitride using a cost effective melamine precursor and its application in highly efficient photocatalytic dye degradation of Rhodamine B molecules. Compared to bulk graphitic carbon nitride, the synthesized ultrathin graphitic carbon nitride shows an increase in surface area, a a decrease in optical band gap and effective photogenerated charge separation which facilitates the harvest of visible light irradiation. Due to these optimal properties of ultrathin graphitic carbon nitride, it shows excellent photocatalytic activity with photocatalytic degradation of about 95% rhodamine B molecules in 1 hour.
Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.
2014-06-01
The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.
Maetz, J-Y; Douillard, T; Cazottes, S; Verdu, C; Kléber, X
2016-05-01
The precipitation evolution during ageing of a 2101 lean duplex stainless steel was investigated, revealing that the precipitate type and morphology depends on the nature of the grain boundary. Triangular M23C6 carbides precipitate only at γ/δ interfaces and rod-like Cr2N nitrides precipitate at both γ/δ and δ/δ interfaces. After 15min of ageing, the M23C6 size no longer evolves, whereas that of the Cr2N continues to evolve. For Cr2N, the morphology is maintained at γ/δ interfaces, whereas percolation occurs to form a continuous layer at δ/δ interfaces. By combining 2D and 3D characterisation at the nanoscale using transmission electron microscopy (TEM) and focused ion beam (FIB) tomography, a complete description of the precipitation evolution was obtained, including the composition, crystallographic structure, orientation relationship with the matrix phases, location, morphology, size and volume fraction. Copyright © 2016 Elsevier Ltd. All rights reserved.
International Nuclear Information System (INIS)
Zhang, Yang
2013-01-01
Rare-earth metal containing endohedral fullerenes have attracted much attention due to the feasibility of encaging metal atom, atoms or cluster inside of carbon cages. By switching the metal atom or cluster entrapped inside of the carbon cage the physical and chemical properties of the fullerene compounds can be tuned. The understanding of magnetic and electrochemical properties of endohedral fullerenes plays an essential role in fundamental scientific researches and potential applications in materials science. In this thesis, synthesizing novel rare-earth metal containing endohedral fullerene structures, studying the properties of these isolated endohedral fullerenes and the strategies of tuning the electronic and magnetic properties of endohedral fullerenes were introduced. The DC-arc discharging synthesis of different lanthanide metal-based (Ho, Ce and Pr) mixed metal nitride clusterfullerenes was achieved. Those rare-earth metal containing endohedral fullerenes were isolated by multi-step HPLC. The isolated samples were characterized by spectroscopic techniques included UV-vis-NIR, FTIR, Raman, LDI-TOF mass spectrometry, NMR and electrochemistry. The Ho-based mixed metal nitride clusterfullerenes Ho x M 3-x N rate at C 80 (M= Sc, Lu, Y; x=1, 2) were synthesized by ''reactive gas atmosphere'' method or ''selective organic solid'' route. The isolated samples were characterized by LDI-TOF mass spectrometry, UV-vis-NIR, FTIR, Raman and NMR spectroscopy. The 13 C NMR spectroscopic studies demonstrated exceptional NMR behaviors that resulted from switching the second metal inside of the mixed metal nitride cluster Ho x M 3-x N from Sc to Lu and further to Y. The LnSc 2 N rate at C 80 (Ln= Ce, Pr, Nd, Tb, Dy, Ho, Lu) MMNCFs were characterized by 13 C and 45 Sc NMR study respectively. According to Bleaney's theory and Reilley method, the separation of δ PC and δ con from δ para was achieved by the primary 13 C and 45 Sc NMR analysis of LnSc 2 N rate at C 80 (I). The
Joining of boron carbide using nickel interlayer
International Nuclear Information System (INIS)
Vosughi, A.; Hadian, A. M.
2008-01-01
Carbide ceramics such as boron carbide due to their unique properties such as low density, high refractoriness, and high strength to weight ratio have many applications in different industries. This study focuses on direct bonding of boron carbide for high temperature applications using nickel interlayer. The process variables such as bonding time, temperature, and pressure have been investigated. The microstructure of the joint area was studied using electron scanning microscope technique. At all the bonding temperatures ranging from 1150 to 1300 d eg C a reaction layer formed across the ceramic/metal interface. The thickness of the reaction layer increased by increasing temperature. The strength of the bonded samples was measured using shear testing method. The highest strength value obtained was about 100 MPa and belonged to the samples bonded at 1250 for 75 min bonding time. The strength of the joints decreased by increasing the bonding temperature above 1250 d eg C . The results of this study showed that direct bonding technique along with nickel interlayer can be successfully utilized for bonding boron carbide ceramic to itself. This method may be used for bonding boron carbide to metals as well.
Energy Technology Data Exchange (ETDEWEB)
An, Ho-Myoung; Seo, Yu Jeong; Kim, Hee Dong; Kim, Kyoung Chan; Kim, Jong-Guk [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Cho, Won-Ju; Koh, Jung-Hyuk [Department of Electronic Materials Engineering, Kwangwoon University, Seoul 139-701 (Korea, Republic of); Sung, Yun Mo [Department of Materials and Science Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Kim, Tae Geun, E-mail: tgkim1@korea.ac.k [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of)
2009-07-31
We examine the electrical properties of metal/oxide/nitride/oxide/silicon (MONOS) capacitors with two different blocking oxides, SiO{sub 2} and Al{sub 2}O{sub 3}, under the influence of the same electric field. The thickness of the Al{sub 2}O{sub 3} layer is set to 150 A, which is electrically equivalent to a thickness of the SiO{sub 2} layer of 65 A, in the MONOS structure for this purpose. The capacitor with the Al{sub 2}O{sub 3} blocking layer shows a larger capacitance-voltage memory window of 8.6 V, lower program voltage of 7 V, faster program/erase speeds of 10 ms/1 {mu}s, lower leakage current of 100 pA and longer data retention than the one with the SiO{sub 2} blocking layer does. These improvements are attributed to the suppression of the carrier transport to the gate electrode afforded by the use of an Al{sub 2}O{sub 3} blocking layer physically thicker than the SiO{sub 2} one, as well as the effective charge-trapping by Al{sub 2}O{sub 3} at the deep energy levels in the nitride layer.
Medium temperature reaction between lanthanide and actinide carbides and hydrogen
International Nuclear Information System (INIS)
Dean, G.; Lorenzelli, R.; Pascard, R.
1964-01-01
Hydrogen is fixed reversibly by the lanthanide and actinide mono carbides in the range 25 - 400 C, as for pure corresponding metals. Hydrogen goes into the carbides lattice through carbon vacancies and the total fixed amount is approximately equal to two hydrogen atoms per initial vacancy. Final products c.n thus be considered as carbo-hydrides of general formula M(C 1-x , H 2x ). The primitive CFC, NaCl type, structure remains unchanged but expands strongly in the case of actinide carbides. With lanthanide carbides, hydrogenation induces a phase transformation with reappearance of the metal structure (HCP). Hydrogen decomposition pressures of all the studied carbo-hydrides are greater than those of the corresponding di-hydrides. (authors) [fr
Effect of alloying on elastic properties of ZrN based transition metal nitride alloys
Kanoun, Mohammed; Goumri-Said, Souraya
2014-01-01
We report the effect of composition and metal sublattice substitutional element on the structural, elastic and electronic properties of ternary transition metal nitrides Zr1-xMxN with M=Al, Ti, Hf, V, Nb, W and Mo. The analysis of the elastic constants, bulk modulus, shear modulus, Young's modulus, and Poisson's ratio provides insights regarding the mechanical behavior of Zr1-xMxN. We predict that ternary alloys are more ductile compared to their parent binary compounds. The revealed trend in the mechanical behavior might help for experimentalists on the ability of tuning the mechanical properties during the alloying process by varying the concentration of the transition metal. © 2014 Elsevier B.V.
Effect of alloying on elastic properties of ZrN based transition metal nitride alloys
Kanoun, Mohammed
2014-09-01
We report the effect of composition and metal sublattice substitutional element on the structural, elastic and electronic properties of ternary transition metal nitrides Zr1-xMxN with M=Al, Ti, Hf, V, Nb, W and Mo. The analysis of the elastic constants, bulk modulus, shear modulus, Young\\'s modulus, and Poisson\\'s ratio provides insights regarding the mechanical behavior of Zr1-xMxN. We predict that ternary alloys are more ductile compared to their parent binary compounds. The revealed trend in the mechanical behavior might help for experimentalists on the ability of tuning the mechanical properties during the alloying process by varying the concentration of the transition metal. © 2014 Elsevier B.V.
Point defects in thorium nitride: A first-principles study
Energy Technology Data Exchange (ETDEWEB)
Pérez Daroca, D., E-mail: pdaroca@tandar.cnea.gov.ar [Gerencia de Investigación y Aplicaciones, Comisión Nacional de Energía Atómica (Argentina); Consejo Nacional de Investigaciones Científicas y Técnicas (Argentina); Llois, A.M. [Gerencia de Investigación y Aplicaciones, Comisión Nacional de Energía Atómica (Argentina); Consejo Nacional de Investigaciones Científicas y Técnicas (Argentina); Mosca, H.O. [Gerencia de Investigación y Aplicaciones, Comisión Nacional de Energía Atómica (Argentina); Instituto de Tecnología Jorge A. Sabato, UNSAM-CNEA (Argentina)
2016-11-15
Thorium and its compounds (carbides and nitrides) are being investigated as possible materials to be used as nuclear fuels for Generation-IV reactors. As a first step in the research of these materials under irradiation, we study the formation energies and stability of point defects in thorium nitride by means of first-principles calculations within the framework of density functional theory. We focus on vacancies, interstitials, Frenkel pairs and Schottky defects. We found that N and Th vacancies have almost the same formation energy and that the most energetically favorable defects of all studied in this work are N interstitials. These kind of results for ThN, to the best authors' knowledge, have not been obtained previously, neither experimentally, nor theoretically.
Point defects in thorium nitride: A first-principles study
International Nuclear Information System (INIS)
Pérez Daroca, D.; Llois, A.M.; Mosca, H.O.
2016-01-01
Thorium and its compounds (carbides and nitrides) are being investigated as possible materials to be used as nuclear fuels for Generation-IV reactors. As a first step in the research of these materials under irradiation, we study the formation energies and stability of point defects in thorium nitride by means of first-principles calculations within the framework of density functional theory. We focus on vacancies, interstitials, Frenkel pairs and Schottky defects. We found that N and Th vacancies have almost the same formation energy and that the most energetically favorable defects of all studied in this work are N interstitials. These kind of results for ThN, to the best authors' knowledge, have not been obtained previously, neither experimentally, nor theoretically.
Microsegregation in Nodular Cast Iron with Carbides
Directory of Open Access Journals (Sweden)
S. Pietrowski
2012-12-01
Full Text Available In this paper results of microsegregation in the newly developed nodular cast iron with carbides are presented. To investigate the pearlitic and bainitic cast iron with carbides obtained by Inmold method were chosen. The distribution of linear elements on the eutectic cell radius was examined. To investigate the microsegregation pearlitic and bainitic cast iron with carbides obtained by Inmold method were chosen.The linear distribution of elements on the eutectic cell radius was examined. Testing of the chemical composition of cast iron metal matrix components, including carbides were carried out. The change of graphitizing and anti-graphitizing element concentrations within eutectic cell was determined. It was found, that in cast iron containing Mo carbides crystallizing after austenite + graphite eutectic are Si enriched.
Microsegregation in Nodular Cast Iron with Carbides
Directory of Open Access Journals (Sweden)
Pietrowski S.
2012-12-01
Full Text Available In this paper results of microsegregation in the newly developed nodular cast iron with carbides are presented. To investigate the pearlitic and bainitic cast iron with carbides obtained by Inmold method were chosen. The distribution of linear elements on the eutectic cell radius was examined. To investigate the microsegregation pearlitic and bainitic cast iron with carbides obtained by Inmold method were chosen. The linear distribution of elements on the eutectic cell radius was examined. Testing of the chemical composition of cast iron metal matrix components, including carbides were carried out. The change of graphitizing and anti-graphitizing element concentrations within eutectic cell was determined. It was found, that in cast iron containing Mo carbides crystallizing after austenite + graphite eutectic are Si enriched.
Isolation and characterization of a uranium(VI)-nitride triple bond
King, David M.; Tuna, Floriana; McInnes, Eric J. L.; McMaster, Jonathan; Lewis, William; Blake, Alexander J.; Liddle, Stephen T.
2013-06-01
The nature and extent of covalency in uranium bonding is still unclear compared with that of transition metals, and there is great interest in studying uranium-ligand multiple bonds. Although U=O and U=NR double bonds (where R is an alkyl group) are well-known analogues to transition-metal oxo and imido complexes, the uranium(VI)-nitride triple bond has long remained a synthetic target in actinide chemistry. Here, we report the preparation of a uranium(VI)-nitride triple bond. We highlight the importance of (1) ancillary ligand design, (2) employing mild redox reactions instead of harsh photochemical methods that decompose transiently formed uranium(VI) nitrides, (3) an electrostatically stabilizing sodium ion during nitride installation, (4) selecting the right sodium sequestering reagent, (5) inner versus outer sphere oxidation and (6) stability with respect to the uranium oxidation state. Computational analyses suggest covalent contributions to U≡N triple bonds that are surprisingly comparable to those of their group 6 transition-metal nitride counterparts.
Conversion of MX nitrides to Z-phase in a martensitic 12% Cr steel
DEFF Research Database (Denmark)
Cipolla, L.; Danielsen, Hilmar Kjartansson; Venditti, D.
2010-01-01
A 12% Cr model steel was designed with the purpose of studying the nucleation and growth of modified Z-phase, Cr(V,Nb)N. The model alloy develops Z-phase after relatively short ageing times and contains only nitrides of Cr, V and Nb. Interferences from the presence of carbides and the development...
Thermionic field emission in gold nitride Schottky nanodiodes
Spyropoulos-Antonakakis, N.; Sarantopoulou, E.; Kollia, Z.; Samardžija, Z.; Kobe, S.; Cefalas, A. C.
2012-11-01
We report on the thermionic field emission and charge transport properties of gold nitride nanodomains grown by pulsed laser deposition with a molecular fluorine laser at 157 nm. The nanodomains are sandwiched between the metallic tip of a conductive atomic force microscope and a thin gold layer forming thus a metal-semiconductor-metal junction. Although the limited existing data in the literature indicate that gold nitride was synthesized previously with low efficiency, poor stability, and metallic character; in this work, it is shown that gold nitride nanodomains exhibit semiconducting behavior and the metal-semiconductor-metal contact can be modeled with the back-to-back Schottky barrier model. From the experimental I-V curves, the main charge carrier transport process is found to be thermionic field emission via electron tunneling. The rectifying, near symmetric and asymmetric current response of nanocontacts is related to the effective contact area of the gold nitride nanodomains with the metals. A lower limit for the majority charge carriers concentration at the boundaries of nanodomains is also established using the full depletion approximation, as nanodomains with thickness as low as 6 nm were found to be conductive. Current rectification and charge memory effects are also observed in "quite small" conductive nanodomains (6-10 nm) due to stored charges. Indeed, charges near the surface are identified as inversion domains in the phase shift mapping performed with electrostatic force microscopy and are attributed to charge trapping at the boundaries of the nanodomains.
Interaction of noble-metal fission products with pyrolytic silicon carbide
International Nuclear Information System (INIS)
Lauf, R.J.; Braski, D.N.
1982-01-01
Fuel particles for the High-Temperature Gas-Cooled Reactor (HTGR) contain layers of pyrolytic carbon and silicon carbide, which act as a miniature pressure vessel and form the primary fission product barrier. Of the many fission products formed during irradiation, the noble metals are of particular interest because they interact significantly with the SiC layer and their concentrations are somewhat higher in the low-enriched uranium fuels currently under consideration. To study fission product-SiC interactions, particles of UO 2 or UC 2 are doped with fission product elements before coating and are then held in a thermal gradient up to several thousand hours. Examination of the SiC coatings by TEM-AEM after annealing shows that silver behaves differently from the palladium group
Energy Technology Data Exchange (ETDEWEB)
Zhang, Yang
2013-10-16
Rare-earth metal containing endohedral fullerenes have attracted much attention due to the feasibility of encaging metal atom, atoms or cluster inside of carbon cages. By switching the metal atom or cluster entrapped inside of the carbon cage the physical and chemical properties of the fullerene compounds can be tuned. The understanding of magnetic and electrochemical properties of endohedral fullerenes plays an essential role in fundamental scientific researches and potential applications in materials science. In this thesis, synthesizing novel rare-earth metal containing endohedral fullerene structures, studying the properties of these isolated endohedral fullerenes and the strategies of tuning the electronic and magnetic properties of endohedral fullerenes were introduced. The DC-arc discharging synthesis of different lanthanide metal-based (Ho, Ce and Pr) mixed metal nitride clusterfullerenes was achieved. Those rare-earth metal containing endohedral fullerenes were isolated by multi-step HPLC. The isolated samples were characterized by spectroscopic techniques included UV-vis-NIR, FTIR, Raman, LDI-TOF mass spectrometry, NMR and electrochemistry. The Ho-based mixed metal nitride clusterfullerenes Ho{sub x}M{sub 3-x}N rate at C{sub 80} (M= Sc, Lu, Y; x=1, 2) were synthesized by ''reactive gas atmosphere'' method or ''selective organic solid'' route. The isolated samples were characterized by LDI-TOF mass spectrometry, UV-vis-NIR, FTIR, Raman and NMR spectroscopy. The {sup 13}C NMR spectroscopic studies demonstrated exceptional NMR behaviors that resulted from switching the second metal inside of the mixed metal nitride cluster Ho{sub x}M{sub 3-x}N from Sc to Lu and further to Y. The LnSc{sub 2}N rate at C{sub 80} (Ln= Ce, Pr, Nd, Tb, Dy, Ho, Lu) MMNCFs were characterized by {sup 13}C and {sup 45}Sc NMR study respectively. According to Bleaney's theory and Reilley method, the separation of δ{sup PC} and δ{sup con
Energy Technology Data Exchange (ETDEWEB)
Pathak, Manisha; Bobnar, Matej; Ormeci, Alim; Hoehn, Peter [Chemische Metallkunde, Max-Planck-Institut fuer Chemische Physik fester Stoffe, Dresden (Germany); Stoiber, Dominik; Niewa, Rainer [Institut fuer Anorganische Chemie, Universitaet Stuttgart (Germany); Ovchinnikov, Alexander [Chemische Metallkunde, Max-Planck-Institut fuer Chemische Physik fester Stoffe, Dresden (Germany); Department of Chemistry and Biochemistry, University of Delaware, Newark, DE (United States)
2017-11-17
Large single crystals of Li{sub 2}(Ca{sub 3}N){sub 2}[Ga{sub 4}] and Li{sub 2}(Sr{sub 3}N){sub 2}[Ga{sub 4}] up to several mm in size were grown from mixtures of the respective elements and binary alkaline-earth metal nitrides in reactive lithium melts employing a modified high-temperature centrifugation-aided filtration (HTCAF) technique. The main structural features of these isotypic phases are stella quadrangula building units [Ga{sub 4}]Li{sub 4/2} and octahedra (Nae{sub 6/2}), which form two independent interpenetrating networks. The phases crystallize in the η-carbide structure and represent diamagnetic small bandgap semiconductors. Real-space chemical bonding analysis indicates predominantly ionic bonding. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Anasori, Babak
2016-02-24
In this study, a transition from metallic to semiconducting-like behavior has been demonstrated in two-dimensional (2D) transition metal carbides by replacing titanium with molybdenum in the outer transition metal (M) layers of M3C2 and M4C3 MXenes. The MXene structure consists of n + 1 layers of near-close packed M layers with C or N occupying the octahedral site between them in an [MX]nM arrangement. Recently, two new families of ordered 2D double transition metal carbides MXenes were discovered, M′2M′′C2 and M′2M′′2C3 – where M′ and M′′ are two different early transition metals, such as Mo, Cr, Ta, Nb, V, and Ti. The M′ atoms only occupy the outer layers and the M′′ atoms fill the middle layers. In other words, M′ atomic layers sandwich the middle M′′–C layers. Using X-ray atomic pair distribution function (PDF) analysis on Mo2TiC2 and Mo2Ti2C3 MXenes, we present the first quantitative analysis of structures of these novel materials and experimentally confirm that Mo atoms are in the outer layers of the [MC]nM structures. The electronic properties of these Mo-containing MXenes are compared with their Ti3C2 counterparts, and are found to be no longer metallic-like conductors; instead the resistance increases mildly with decreasing temperatures. Density functional theory (DFT) calculations suggest that OH terminated Mo–Ti MXenes are semiconductors with narrow band gaps. Measurements of the temperature dependencies of conductivities and magnetoresistances have confirmed that Mo2TiC2Tx exhibits semiconductor-like transport behavior, while Ti3C2Tx is a metal. This finding opens new avenues for the control of the electronic and optical applications of MXenes and for exploring new applications, in which semiconducting properties are required.
Synthesis of hexagonal boron nitride with the presence of representative metals
Energy Technology Data Exchange (ETDEWEB)
Budak, Erhan, E-mail: erhan@ibu.edu.t [Department of Chemistry, Faculty of Art and Science, Abant Izzet Baysal University, Bolu 14280 (Turkey); Bozkurt, Cetin [Department of Chemistry, Faculty of Art and Science, Abant Izzet Baysal University, Bolu 14280 (Turkey)
2010-11-15
Hexagonal boron nitride (h-BN) samples were prepared using the modified O'Connor method with KNO{sub 3} and Ca(NO{sub 3}){sub 2} at different temperatures (1050, 1250, and 1450 deg. C). The samples were characterized by FTIR, XRD, and SEM techniques. Usage of representative metals exhibited a positive effect on the crystallization of h-BN and they caused the formation of nano-scale products at relatively low temperature. XRD results indicated that there was an increase in interlayer spacing due to the d-{pi} interaction. The calculated lattice constants were very close to the reported value for h-BN.
New Icosahedral Boron Carbide Semiconductors
Echeverria Mora, Elena Maria
Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto
Laser deposition of carbide-reinforced coatings
International Nuclear Information System (INIS)
Cerri, W.; Martinella, R.; Mor, G.P.; Bianchi, P.; D'Angelo, D.
1991-01-01
CO 2 laser cladding with blown powder presents many advantages: fusion bonding with the substrate with low dilution, metallurgical continuity in the metallic matrix, high solidification rates, ease of automation, and reduced environmental contamination. In the present paper, laser cladding experimental results using families of carbides (tungsten and titanium) mixed with metallic alloys are reported. As substrates, low alloy construction steel (AISI 4140) (austenitic stainless steel) samples have been utilized, depending on the particular carbide reinforcement application. The coating layers obtained have been characterized by metallurgical examination. They show low dilution, absence of cracks, and high abrasion resistance. The WC samples, obtained with different carbide sizes and percentages, have been characterized with dry and rubber wheel abrasion tests and the specimen behaviour has been compared with the behaviour of materials used for similar applications. The abrasion resistance proved to be better than that of other widely used hardfacing materials and the powder morphology have a non-negligible influence on the tribological properties. (orig.)
Barbé, Elric; Fu, Chu-Chun; Sauzay, Maxime
2018-02-01
It is known that microcrack initiation in metallic alloys containing second-phase particles may be caused by either an interfacial or an intraprecipitate fracture. So far, the dependence of these features on properties of the precipitate and the interface is not clearly known. The present study aims to determine the key properties of carbide-metal interfaces controlling the energy and critical stress of fracture, based on density functional theory (DFT) calculations. We address coherent interfaces between a fcc iron or nickel matrix and a frequently observed carbide, the M23C6 , for which a simplified chemical composition Cr23C6 is assumed. The interfacial properties such as the formation and Griffith energies, and the effective Young's modulus are analyzed as functions of the magnetic state of the metal lattice, including the paramagnetic phase of iron. Interestingly, a simpler antiferromagnetic phase is found to exhibit similar interfacial mechanical behavior to the paramagnetic phase. A linear dependence is determined between the surface (and interface) energy and the variation of the number of chemical bonds weighted by the respective bond strength, which can be used to predict the relative formation energy for the surface and interface with various chemical terminations. Finally, the critical stresses of both intraprecipitate and interfacial fractures due to a tensile loading are estimated via the universal binding energy relation (UBER) model, parametrized on the DFT data. The validity of this model is verified in the case of intraprecipitate fracture, against results from DFT tensile test simulations. In agreement with experimental evidences, we predict a much stronger tendency for an interfacial fracture for this carbide. In addition, the calculated interfacial critical stresses are fully compatible with available experimental data in steels, where the interfacial carbide-matrix fracture is only observed at incoherent interfaces.
DEFF Research Database (Denmark)
2011-01-01
Source: US2012111456A A method of activating an article of passive ferrous or non-ferrous metal by heating at least one compound containing nitrogen and carbon, wherein the article is treated with gaseous species derived from the compound. The activated article can be subsequently carburised......, nitrided or nitrocarburised in shorter time at lower temperature and resulting superior mechanical properties compared with non-activated articles and even articles of stainless steel, nickel alloy, cobalt alloy or titanium based material can be carburised, nitrided or nitrocarburised....
Structure-Property Relationship in Metal Carbides and Bimetallic Alloys
Energy Technology Data Exchange (ETDEWEB)
Chen, Jingguan [University of Delaware
2014-03-04
The primary objective of our DOE/BES sponsored research is to use carbide and bimetallic catalysts as model systems to demonstrate the feasibility of tuning the catalytic activity, selectivity and stability. Our efforts involve three parallel approaches, with the aim at studying single crystal model surfaces and bridging the “materials gap” and “pressure gap” between fundamental surface science studies and real world catalysis. The utilization of the three parallel approaches has led to the discovery of many intriguing catalytic properties of carbide and bimetallic surfaces and catalysts. During the past funding period we have utilized these combined research approaches to explore the possibility of predicting and verifying bimetallic and carbide combinations with enhanced catalytic activity, selectivity and stability.
Ultra-high-rate pseudocapacitive energy storage in two-dimensional transition metal carbides
Lukatskaya, Maria R.; Kota, Sankalp; Lin, Zifeng; Zhao, Meng-Qiang; Shpigel, Netanel; Levi, Mikhael D.; Halim, Joseph; Taberna, Pierre-Louis; Barsoum, Michel W.; Simon, Patrice; Gogotsi, Yury
2017-08-01
The use of fast surface redox storage (pseudocapacitive) mechanisms can enable devices that store much more energy than electrical double-layer capacitors (EDLCs) and, unlike batteries, can do so quite rapidly. Yet, few pseudocapacitive transition metal oxides can provide a high power capability due to their low intrinsic electronic and ionic conductivity. Here we demonstrate that two-dimensional transition metal carbides (MXenes) can operate at rates exceeding those of conventional EDLCs, but still provide higher volumetric and areal capacitance than carbon, electrically conducting polymers or transition metal oxides. We applied two distinct designs for MXene electrode architectures with improved ion accessibility to redox-active sites. A macroporous Ti3C2Tx MXene film delivered up to 210 F g-1 at scan rates of 10 V s-1, surpassing the best carbon supercapacitors known. In contrast, we show that MXene hydrogels are able to deliver volumetric capacitance of ˜1,500 F cm-3 reaching the previously unmatched volumetric performance of RuO2.
Gusev, Aleksandr I.
2000-01-01
Data on order-disorder phase transformations in strongly nonstoichiometric carbides and nitrides MXy (X=C, N) of Group IV and V transition metals at temperatures below 1300-1400 K are reviewed. The order-parameter functional method as applied to atomic and vacancy ordering in strongly nonstoichiometric MXy compounds and to phase equilibrium calculations for M-X systems is discussed. Phase diagram calculations for the Ti-C, Zr-C, Hf-C, V-C, Nb-C, Ta-C, Ti-N, and Ti-B-C systems (with the inclusion of the ordering of nonstoichiometric carbides and nitrides) and those for pseudobinary carbide M(1)C-M(2)C systems are presented. Heat capacity, electrical resistivity and magnetic susceptibility changes at reversible order-disorder phase transformations in nonstoichiometric carbides are considered.
A study on the formation of uranium carbide in an induction furnace
International Nuclear Information System (INIS)
Song, In Young; Lee, Yoon Sang; Kim, Eung Soo; Lee, Don Bae; Kim, Chang Kyu
2005-01-01
Uranium is a typical carbide-forming element. Three carbides, UC, U 2 C 3 and UC 2 , are formed in the uranium-carbon system. The most important of these as fuel is uranium monocarbide UC. It is well known that Uranium carbides can be obtained by three basic methods: 1) by reaction of uranium metal with carbon; 2) by reaction of uranium metal powder with gaseous hydrocarbons; 3) by reaction of uranium oxides with carbon. The use of uranium monocarbide, or materials based on it, has great prospects as fuel for nuclear reactors. It is quite possible that uranium dicarbide UC 2 may also acquire great importance as a fuel, particularly in dispersion fuel elements with graphite matrix. In the present study, uranium carbides are obtained by direct reaction of uranium metal with graphite in a high frequency induction furnace
Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials
Saha, Bivas; Shakouri, Ali; Sands, Timothy D.
2018-06-01
Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.
METHOD FOR PRODUCING CEMENTED CARBIDE ARTICLES
Onstott, E.I.; Cremer, G.D.
1959-07-14
A method is described for making molded materials of intricate shape where the materials consist of mixtures of one or more hard metal carbides or oxides and matrix metals or binder metals thereof. In one embodiment of the invention 90% of finely comminuted tungsten carbide powder together with finely comminuted cobalt bonding agent is incorporated at 60 deg C into a slurry with methyl alcohol containing 1.5% paraffin, 3% camphor, 3.5% naphthalene, and 1.8% toluene. The compact is formed by the steps of placing the slurry in a mold at least one surface of which is porous to the fluid organic system, compacting the slurry, removing a portion of the mold from contact with the formed object and heating the formed object to remove the remaining organic matter and to sinter the compact.
Metal Matrix Composite Material by Direct Metal Deposition
Novichenko, D.; Marants, A.; Thivillon, L.; Bertrand, P. H.; Smurov, I.
Direct Metal Deposition (DMD) is a laser cladding process for producing a protective coating on the surface of a metallic part or manufacturing layer-by-layer parts in a single-step process. The objective of this work is to demonstrate the possibility to create carbide-reinforced metal matrix composite objects. Powders of steel 16NCD13 with different volume contents of titanium carbide are tested. On the base of statistical analysis, a laser cladding processing map is constructed. Relationships between the different content of titanium carbide in a powder mixture and the material microstructure are found. Mechanism of formation of various precipitated titanium carbides is investigated.
Guidelines for Synthesis and Processing of 2D Titanium Carbide (Ti3C2Tx MXene)
Alhabeb, Mohamed
2017-08-25
Two-dimensional (2D) transition metal carbides, carbonitrides and nitrides (MXenes) were discovered in 2011. Since the original discovery, more than 20 different compositions have been synthesized by the selective etching of MAX phase and other precursors and many more theoretically predicted. They offer a variety of different properties, making the family promising candidates in a wide range of applications, such as energy storage, electromagnetic interference shielding, water purification, electrocatalysis and medicine. These solution-processable materials have the potential to be highly scalable, deposited by spin, spray or dip coating, painted or printed, or fabricated in a variety of ways. Due to this promise, the amount of research on MXenes has been increasing, and methods of synthesis and processing are expanding quickly. The fast evolution of the material can also be noticed in the wide range of synthesis and processing protocols that determine the yield of delamination, as well as the quality of the 2D flakes produced. Here we describe the experimental methods and best practices we use to synthesize the most studied MXene, titanium carbide (Ti3C2Tx), using different etchants and delamination methods. We also explain effects of synthesis parameters on the size and quality of Ti3C2Tx and suggest the optimal processes for the desired application.
Plasma spraying process of disperse carbides for spraying and facing
International Nuclear Information System (INIS)
Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.
1989-01-01
A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures
International Nuclear Information System (INIS)
Rocha, J.C. da; Greca, M.C.
1989-01-01
The influence of main parameters involved in the method of silicon nitride attainment by carbothermal reduction of silica followed by nitridation were studied in isothermal experiments of fine powder mixtures of silica and graphite in a nitrogen gas flow. The time, temperature, rate C/SiO 2 and flow of nitrogen were varied since they are the main parameters involved in this kind of reaction. The products of reaction were analysed by X-ray diffraction to identify the crystalline phases and as a result was obtained the nucleation of silicon nitride phase. Meanwhile, corroborating prior results, we verified to be difficult the progress of the reaction and the inhibition of formation of silicon carbide phase, the last one being associated to the formation of silicon nitride phase due to thermodynamic matters [pt
Nitriding behavior of Ni and Ni-based binary alloys
Energy Technology Data Exchange (ETDEWEB)
Fonovic, Matej
2015-01-15
Gaseous nitriding is a prominent thermochemical surface treatment process which can improve various properties of metallic materials such as mechanical, tribological and/or corrosion properties. This process is predominantly performed by applying NH{sub 3}+H{sub 2} containing gas atmospheres serving as the nitrogen donating medium at temperatures between 673 K and 873 K (400 C and 600 C). NH{sub 3} decomposes at the surface of the metallic specimen and nitrogen diffuses into the surface adjacent region of the specimen whereas hydrogen remains in the gas atmosphere. One of the most important parameters characterizing a gaseous nitriding process is the so-called nitriding potential (r{sub N}) which determines the chemical potential of nitrogen provided by the gas phase. The nitriding potential is defined as r{sub N} = p{sub NH{sub 3}}/p{sub H{sub 2}{sup 3/2}} where p{sub NH{sub 3}} and p{sub H{sub 2}} are the partial pressures of the NH{sub 3} and H{sub 2} in the nitriding atmosphere. In contrast with nitriding of α-Fe where the nitriding potential is usually in the range between 0.01 and 1 atm{sup -1/2}, nitriding of Ni and Ni-based alloys requires employing nitriding potentials higher than 100 atm{sup -1/2} and even up to ∞ (nitriding in pure NH{sub 3} atmosphere). This behavior is compatible with decreased thermodynamic stability of the 3d-metal nitrides with increasing atomic number. Depending on the nitriding conditions (temperature, nitriding potential and treatment time), different phases are formed at the surface of the Ni-based alloys. By applying very high nitriding potential, formation of hexagonal Ni{sub 3}N at the surface of the specimen (known as external nitriding) leads to the development of a compound layer, which may improve tribological properties. Underneath the Ni{sub 3}N compound layer, two possibilities exist: (i) alloying element precipitation within the nitrided zone (known as internal nitriding) and/or (ii) development of metastable and
Narang, Prineha
This thesis puts forth a theory-directed approach coupled with spectroscopy aimed at the discovery and understanding of light-matter interactions in semiconductors and metals. The first part of the thesis presents the discovery and development of Zn-IV nitride materials. The commercial prominence in the optoelectronics industry of tunable semiconductor alloy materials based on nitride semiconductor devices, specifically InGaN, motivates the search for earth-abundant alternatives for use in efficient, high-quality optoelectronic devices. II-IV-N2 compounds, which are closely related to the wurtzite-structured III-N semiconductors, have similar electronic and optical properties to InGaN namely direct band gaps, high quantum efficiencies and large optical absorption coefficients. The choice of different group II and group IV elements provides chemical diversity that can be exploited to tune the structural and electronic properties through the series of alloys. The first theoretical and experimental investigation of the ZnSnxGe1--xN2 series as a replacement for III-nitrides is discussed here. The second half of the thesis shows ab-initio calculations for surface plasmons and plasmonic hot carrier dynamics. Surface plasmons, electromagnetic modes confined to the surface of a conductor-dielectric interface, have sparked renewed interest because of their quantum nature and their broad range of applications. The decay of surface plasmons is usually a detriment in the field of plasmonics, but the possibility to capture the energy normally lost to heat would open new opportunities in photon sensors, energy conversion devices and switching. A theoretical understanding of plasmon-driven hot carrier generation and relaxation dynamics in the ultrafast regime is presented here. Additionally calculations for plasmon-mediated upconversion as well as an energy-dependent transport model for these non-equilibrium carriers are shown. Finally, this thesis gives an outlook on the
Energy Technology Data Exchange (ETDEWEB)
Guersoy, Murat
2015-07-06
This work reports on the solid state synthesis and structural and thermoelectrical characterization of hexaborides (CaB{sub 6}, SrB{sub 6}, BaB{sub 6}, EuB{sub 6}), diboride dicarbides (CeB{sub 2}C{sub 2}, LaB{sub 2}C{sub 2}), a carbaboride (NaB{sub 5}C) and composites of boron carbide. The characterization was performed by X-ray diffraction methods and Rietveld refinements based on structure models from literature. Most of the compounds were densified by spark plasma sintering at 100 MPa. As high-temperature thermoelectric properties the Seebeck coefficients, electrical conductivities, thermal diffusivities and heat capacities were measured between room temperature and 1073 K. ZT values as high as 0.5 at 1273 K were obtained for n-type conducting EuB{sub 6}. High-temperature X-ray diffraction also confirmed its thermal stability. The solid solutions Ca{sub x}Sr{sub 1-x}B{sub 6}, Ca{sub x}Ba{sub 1-x}B{sub 6} and Sr{sub x}Ba{sub 1-x}B{sub 6} (x = 0, 0.25, 0.5, 0.75, 1) are also n-type but did not show better ZT values for the ternary compounds compared to the binaries, but for CaB{sub 6} the values of the figure of merit (ca. 0.3 at 1073 K) were significantly increased (ca. 50 %) compared to earlier investigations which is attributed to the densification process. Sodium carbaboride, NaB{sub 5}C, was found to be the first p-type thermoelectric material that crystallizes with the hexaboride-structure type. Seebeck coefficients of ca. 80 μV . K{sup -1} were obtained. Cerium diboride dicarbide, CeB{sub 2}C{sub 2}, and lanthanum diboride dicarbide, LaB{sub 2}C{sub 2}, are metallic. Both compounds were used as model compounds to develop compacting strategies for such layered borides. Densities obtained at 50 MPa were determined to be higher than 90 %. A new synthesis route using single source precursors that contain boron and carbon was developed to open the access to new metal-doped boron carbides. It was possible to obtain boron carbide, but metal-doping could not be
Reaction phases and diffusion paths in SiC/metal systems
Energy Technology Data Exchange (ETDEWEB)
Naka, M.; Fukai, T. [Osaka Univ., Osaka (Japan); Schuster, J.C. [Vienna Univ., Vienna (Austria)
2004-07-01
The interface structures between SiC and metal are reviewed at SiC/metal systems. Metal groups are divided to carbide forming metals and non-carbide forming metals. Carbide forming metals form metal carbide granular or zone at metal side, and metal silicide zone at SiC side. The further diffusion of Si and C from SiC causes the formation of T ternary phase depending metal. Non-carbide forming metals form silicide zone containing graphite or the layered structure of metal silicide and metal silicide containing graphite. The diffusion path between SiC and metal are formed along tie-lines connecting SiC and metal on the corresponding ternary Si-C-M system. The reactivity of metals is dominated by the forming ability of carbide or silicide. Te reactivity tendency of elements are discussed on the periodical table of elements, and Ti among elements shows the highest reactivity among carbide forming metals. For non-carbide forming metals the reactivity sequence of metals is Fe>Ni>Co. (orig.)
Plasmonic spectral tunability of conductive ternary nitrides
Energy Technology Data Exchange (ETDEWEB)
Kassavetis, S.; Patsalas, P., E-mail: ppats@physics.auth.gr [Department of Physics, Aristotle University of Thessaloniki, GR-54124 Thessaloniki (Greece); Bellas, D. V.; Lidorikis, E. [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece); Abadias, G. [Institut Pprime, Département Physique et Mécanique des Matériaux, Université de Poitiers-CNRS-ENSMA, 86962 Chasseneuil-Futuroscope (France)
2016-06-27
Conductive binary transition metal nitrides, such as TiN and ZrN, have emerged as a category of promising alternative plasmonic materials. In this work, we show that ternary transition metal nitrides such as Ti{sub x}Ta{sub 1−x}N, Ti{sub x}Zr{sub 1−x}N, Ti{sub x}Al{sub 1−x}N, and Zr{sub x}Ta{sub 1−x}N share the important plasmonic features with their binary counterparts, while having the additional asset of the exceptional spectral tunability in the entire visible (400–700 nm) and UVA (315–400 nm) spectral ranges depending on their net valence electrons. In particular, we demonstrate that such ternary nitrides can exhibit maximum field enhancement factors comparable with gold in the aforementioned broadband range. We also critically evaluate the structural features that affect the quality factor of the plasmon resonance and we provide rules of thumb for the selection and growth of materials for nitride plasmonics.
Ultra-high-rate pseudocapacitive energy storage in two-dimensional transition metal carbides
Energy Technology Data Exchange (ETDEWEB)
Lukatskaya, Maria R. [Drexel Univ., Philadelphia, PA (United States); Dept. of Chemical Engineering, Stanford, CA (United States); Kota, Sankalp [Drexel Univ., Philadelphia, PA (United States); Lin, Zifeng [Univ. Paul Sabatier, Toulouse (France); Reseau sur le Stockage Electrochimique de l' Energie (RS2E) (France); Zhao, Meng -Qiang [Drexel Univ., Philadelphia, PA (United States); Shpigel, Netanel [Bar-Ilan Univ., Ramat-Gan (Israel); Levi, Mikhael D. [Bar-Ilan Univ., Ramat-Gan (Israel); Halim, Joseph [Drexel Univ., Philadelphia, PA (United States); Taberna, Pierre -Louis [Univ. Paul Sabatier, Toulouse (France); Reseau sur le Stockage Electrochimique de l' Energie (RS2E) (France); Barsoum, Michel W. [Drexel Univ., Philadelphia, PA (United States); Simon, Patrice [Univ. Paul Sabatier, Toulouse (France); Reseau sur le Stockage Electrochimique de l' Energie (RS2E) (France); Gogotsi, Yury G. [Drexel Univ., Philadelphia, PA (United States)
2017-07-10
In this study, the use of fast surface redox storage (pseudocapacitive) mechanisms can enable devices that store much more energy than electrical double-layer capacitors (EDLCs) and, unlike batteries, can do so quite rapidly. Yet, few pseudocapacitive transition metal oxides can provide a high power capability due to their low intrinsic electronic and ionic conductivity. Here we demonstrate that two-dimensional transition metal carbides (MXenes) can operate at rates exceeding those of conventional EDLCs, but still provide higher volumetric and areal capacitance than carbon, electrically conducting polymers or transition metal oxides. We applied two distinct designs for MXene electrode architectures with improved ion accessibility to redox-active sites. A macroporous Ti3C2Tx MXene film delivered up to 210 F g–1 at scan rates of 10 V s–1, surpassing the best carbon supercapacitors known. In contrast, we show that MXene hydrogels are able to deliver volumetric capacitance of ~1,500 F cm–3 reaching the previously unmatched volumetric performance of RuO2.
Exploring electrolyte preference of vanadium nitride supercapacitor electrodes
Energy Technology Data Exchange (ETDEWEB)
Wang, Bo; Chen, Zhaohui; Lu, Gang [Department of Electrical Engineering and Automation, Luoyang Institute of Science and Technology, Luoyang 471023 (China); Wang, Tianhu [School of Electrical Information and Engineering, Jiangsu University of Technology, Changzhou 213001 (China); Ge, Yunwang, E-mail: ywgelit@126.com [Department of Electrical Engineering and Automation, Luoyang Institute of Science and Technology, Luoyang 471023 (China)
2016-04-15
Highlights: • Hierarchical VN nanostructures were prepared on graphite foam. • Electrolyte preference of VN supercapacitor electrodes was explored. • VN showed better capacitive property in organic and alkaline electrolytes than LiCl. - Abstract: Vanadium nitride hierarchical nanostructures were prepared through an ammonia annealing procedure utilizing vanadium pentoxide nanostructures grown on graphite foam. The electrochemical properties of hierarchical vanadium nitride was tested in aqueous and organic electrolytes. As a result, the vanadium nitride showed better capacitive energy storage property in organic and alkaline electrolytes. This work provides insight into the charge storage process of vanadium nitride and our findings can shed light on other transition metal nitride-based electrochemical energy storage systems.
Joining of cemented carbides to steel by laser beam welding
Energy Technology Data Exchange (ETDEWEB)
Barbatti, C.; Garcia, J.; Pyzalla, A. [Max-Planck-Institut fuer Eisenforschung GmbH, 40237 Duesseldorf (Germany); Liedl, G. [TU Wien, Institut fuer Umform- und Hochleistungslasertechnik (IFLT), 1040 Vienna (Austria)
2007-11-15
Welding of dissimilar materials such as steel and cemented carbides (hardmetals, cermets) is particularly challenging e.g. because mismatches in their thermal expansion coefficients and thermal conductivities result in residual stress formation and because of the formation of brittle intermetallic phases. Laser beam welding of cemented carbides to steel appears as an attractive complementary technique to conventional brazing processes due to its high precision, high process speed, low heat input and the option of welding without filler. Here a laser welding process including pre-heat treatment and post-heat treatment was applied successfully to joining as-sintered and nitrided hardmetals and cermets to low alloyed steel. The microstructure and mechanical properties of the welds are investigated by microscopy, X-ray diffraction, microhardness measurements, and bending tests. The results reveal that the three-step laser beam welding process produced crack-free and non-porous joints. Nitridation of the cemented carbides results in a significant reduction of the amount of brittle intermetallic phases. The mechanical properties of the joints are competitive to those of the conventional brazed steel-cemented carbide joints. (Abstract Copyright [2007], Wiley Periodicals, Inc.) [German] Das Schweissen von ungleichartigen Werkstoffen wie z. B. Staehlen mit Hartmetallen und Cermets stellt eine erhebliche Herausforderung dar, u. a. infolge der unterschiedlichen thermischen Ausdehnungskoeffizienten und Waermeleitfaehigkeiten, welche die Bildung von Eigenspannungen zur Folge haben, sowie aufgrund der Bildung sproeder intermetallischer Phasen. Das Laserstrahlschweissen von Hartmetallen/Cermets mit Stahl erscheint als attraktives komplementaeres Verfahren zum ueblicherweise verwendeten Loeten, da es die Herstellung von Verbindungen mit hoeherer Praezision, hoeherer Geschwindigkeit sowie geringerem Waermeeintrag erlaubt und die Verwendung eines Zusatzwerkstoffs nicht notwendig ist
Some Thermodynamic Features of Uranium-Plutonium Nitride Fuel in the Course of Burnup
Rusinkevich, A. A.; Ivanov, A. S.; Belov, G. V.; Skupov, M. V.
2017-12-01
Calculation studies on the effect of carbon and oxygen impurities on the chemical and phase compositions of nitride uranium-plutonium fuel in the course of burnup are performed using the IVTANTHERMO code. It is shown that the number of moles of UN decreases with increasing burnup level, whereas UN1.466, UN1.54, and UN1.73 exhibit a considerable increase. The presence of oxygen and carbon impurities causes an increase in the content of the UN1.466, UN1.54 and UN1.73 phases in the initial fuel by several orders of magnitude, in particular, at a relatively low temperature. At the same time, the presence of impurities abruptly reduces the content of free uranium in unburned fuel. Plutonium in the considered system is contained in form of Pu, PuC, PuC2, Pu2C3, and PuN. Plutonium carbides, as well as uranium carbides, are formed in small amounts. Most of the plutonium remains in the form of nitride PuN, whereas unbound Pu is present only in the areas with a low burnup level and high temperatures.
Fabrication of metallic channel-containing UO2 fuels
International Nuclear Information System (INIS)
Yang, Jae Ho; Song, Kun Woo; Kim, Keon Sik; Jung, Youn Ho
2004-01-01
The uranium dioxide is widely used as a fuel material in the nuclear industry, owing to many advantages. But it has a disadvantage of having the lowest thermal conductivity of all kinds of nuclear fuels; metal, carbide, nitride. It is well known that the thermal conductivity of UO 2 fuel is enhanced by making, so called, the CERMET (ceramic-metal) composite which consists of both continuous body of highly thermal-conducting metal and UO 2 islands. The CERMET fuel fabrication technique needs metal phase of at least 30%, mostly more than 50%, of the volume of the pellet in order to keep the metal phase interconnected. This high volume fraction of metal requires such a high enrichment of U that the parasitic effect of metal should be compensated. Therefore, it is attractive to develop an innovative composite fuel that can form continuous metal phase with a small amount of metal. In this investigation, a feasibility study was made on how to make such an innovative fuel. Candidate metals (W, Mo, Cr) were selected, and fabrication process was conceptually designed from thermodynamic calculations. We have experimentally found that a metal phase envelops perfectly UO 2 grains, forming continuous channel throughout the pellet, and improving the thermal conductivity of pellet
Endohedral clusterfullerenes--playing with cluster and cage sizes.
Dunsch, Lothar; Yang, Shangfeng
2007-06-28
The family of endohedral fullerenes was significantly enlarged within the past six years by the clusterfullerenes containing structures like the M(2)C(2) carbides and the M(3)N nitrides. While the carbide clusters are generated under the standard arc burning conditions according to the stabilisation energy the nitride clusterfullerene type is formed by varying the composition of the cooling gas atmosphere in the arc burning process. The special situation in nitride clusterfullerene synthesis is described in detail and the optimum conditions for the production of nitride clusterfullerenes as the main product in fullerene synthesis are discussed. A review of new nitride clusterfullerenes reported recently is given summarizing the structures, properties and the stability of metal nitride clusterfullerenes. It is shown that all cages with even carbon atoms of C(68) and beyond are available as endohedral nitride clusterstructures. Furthermore the nitride clusterfullerenes are that class of endohedral fullerenes forming the largest number of non-IPR structures. Finally the prospects of this evolving field are briefly discussed taking the superior stability of these endohedral clusterfullerenes into account.
Scott, T B; Petherbridge, J R; Harker, N J; Ball, R J; Heard, P J; Glascott, J; Allen, G C
2011-11-15
The reaction between uranium and water vapour has been well investigated, however discrepancies exist between the described kinetic laws, pressure dependence of the reaction rate constant and activation energies. Here this problem is looked at by examining the influence of impurities in the form of carbide inclusions on the reaction. Samples of uranium containing 600 ppm carbon were analysed during and after exposure to water vapour at 19 mbar pressure, in an environmental scanning electron microscope (ESEM) system. After water exposure, samples were analysed using secondary ion mass spectrometry (SIMS), focused ion beam (FIB) imaging and sectioning and transmission electron microscopy (TEM) with X-ray diffraction (micro-XRD). The results of the current study indicate that carbide particles on the surface of uranium readily react with water vapour to form voluminous UO(3) · xH(2)O growths at rates significantly faster than that of the metal. The observation may also have implications for previous experimental studies of uranium-water interactions, where the presence of differing levels of undetected carbide may partly account for the discrepancies observed between datasets. Crown Copyright © 2011. Published by Elsevier B.V. All rights reserved.
The influence of metal Mg on micro-morphology and crystallinity of spherical hexagonal boron nitride
Energy Technology Data Exchange (ETDEWEB)
Zhang, Ning, E-mail: zhangning5832@163.com; Liu, Huan; Kan, Hongmin; Wang, Xiaoyang; Long, Haibo; Zhou, Yonghui
2015-08-15
Highlights: • The action mechanism of Mg to the synthesis of spherical BN was explored. • The influence of Mg content on the crystallinity of h-BN powders was studied. • Even if not added any template, the spherical h-BN could be prepared. - Abstract: This search used the boric acid and borax as a source of boron, urea as a nitrogen source, Mg as metal catalyst, and thus prepared different micro-morphology and crystallinity hexagonal boron nitride powders under a flowing ammonia atmosphere at a nitriding temperature of 750 °C. The effect of Mg content on the crystallinity and micro-morphology of hexagonal boron nitride powders was studied, and the Mg action mechanism was explored. Without the added surfactant, the graphitization index (GI) was 6.87, and the diameter of the spherical h-BN was bigger. When the added Mg were 0.1 g, 0.3 g, 0.5 g and 0.7 g, the (GI) decreased to 6.04, 5.67, 4.62 and 4.84, respectively. When the Mg content was higher (0.9 g), GI value increased rapidly, and the crystallinity became bad. When the Mg content was 0.5 g, the dispersion of h-BN powders was at its optimum and refinement apparently, and the crystallinity at its highest.
Directory of Open Access Journals (Sweden)
Krzysztof J. Kurzydlowski
2013-11-01
Full Text Available The aim of this work was to characterize the microstructure of the as-cast Haynes® 282® alloy. Observations and analyses were carried out using techniques such as X-ray diffraction (XRD, light microscopy (LM, scanning electron microscopy (SEM, transmission electron microscopy (TEM, X-ray spectroscopy (EDS, wave length dispersive X-ray spectroscopy (WDS, auger electron spectroscopy (AES and electron energy-loss spectrometry (EELS. The phases identified in the as-cast alloy include: γ (gamma matrix, γʹ (matrix strengthening phase, (TiMoCrC (primary carbide, TiN (primary nitride, σ (sigma-TCP phase, (TiMo2SC (carbosulphide and a lamellar constituent consisting of molybdenum and chromium rich secondary carbide phase together with γ phase. Within the dendrites the γʹ appears mostly in the form of spherical, nanometric precipitates (74 nm, while coarser (113 nm cubic γʹ precipitates are present in the interdendritic areas. Volume fraction content of the γʹ precipitates in the dendrites and interdendritic areas are 9.6% and 8.5%, respectively. Primary nitrides metallic nitrides (MN, are homogeneously dispersed in the as-cast microstructure, while primary carbides metallic carbides (MC, preferentially precipitate in interdendritic areas. Such preference is also observed in the case of globular σ phase. Lamellar constituents characterized as secondary carbides/γ phases were together with (TiMo2SC phase always observed adjacent to σ phase precipitates. Crystallographic relations were established in-between the MC, σ, secondary carbides and γ/γʹ matrix.
International Nuclear Information System (INIS)
Su'ud, Zaki
2008-01-01
In this paper the safety performance of 25-100 MWe Pb-Bi cooled long life fast reactors based on three types of fuels: MOX, nitride and metal is compared and discussed. In the fourth generation NPP paradigm, especially for Pb-Bi cooled fast reactors, inherent safety capability is necessary against some standard accidents such as unprotected loss of flow (ULOF), unprotected rod run-out transient over power (UTOP), unprotected loss of heat sink (ULOHS). Selection of fuel type will have important impact on the overall system safety performance. The results of safety analysis of long life Pb-Bi cooled fast reactors without on-site fuelling using nitride, MOX and metal fuel have been performed. The reactors show the inherent safety pattern with enough safety margins during ULOF and UTOP accidents. For MOX fuelled reactors, ULOF accident is more severe than UTOP accident while for nitride fuelled cores UTOP accident may push power much higher than that comparable MOX fuelled cores. (author)
Chakraborty, Debdutta; Chattaraj, Pratim Kumar
2017-10-01
The possibility of functionalizing boron nitride flakes (BNFs) with some selected main group metal clusters, viz. OLi4, NLi5, CLi6, BLI7 and Al12Be, has been analyzed with the aid of density functional theory (DFT) based computations. Thermochemical as well as energetic considerations suggest that all the metal clusters interact with the BNF moiety in a favorable fashion. As a result of functionalization, the static (first) hyperpolarizability (β ) values of the metal cluster supported BNF moieties increase quite significantly as compared to that in the case of pristine BNF. Time dependent DFT analysis reveals that the metal clusters can lower the transition energies associated with the dominant electronic transitions quite significantly thereby enabling the metal cluster supported BNF moieties to exhibit significant non-linear optical activity. Moreover, the studied systems demonstrate broad band absorption capability spanning the UV-visible as well as infra-red domains. Energy decomposition analysis reveals that the electrostatic interactions principally stabilize the metal cluster supported BNF moieties.
Energy Technology Data Exchange (ETDEWEB)
Zoler, D.; Bruma, C.; Cuperman, S.
1999-07-01
A new method and an experimental device for powders of metals, carbides and ceramics coating of various substrates are presented. The powder-particles are accelerated and heated by a mixture of plasma and gases resulted from the burning of an energetic (propellant). The operating prototype already allows one to obtain coatings of metals, carbides and ceramics. Some of the coatings obtained, especially those by carbides powders, indicate even at the present stage of research, properties (as hardness, porosity) which are comparable to those provided by the presently industrial methods in use. The accelerating-heating agent in the device (the plasma-gas mixture) is characterized by very high densities (up to 120 kg/m{sup 3}), temperatures (up to 20,000 K) and velocities (more than 1,500 m/s). Due to these characteristics, the powder particles are accelerated to velocities significantly higher than those reached in other coating devices as, for example, the detonation (D) gun. Some preliminary experimental data show that the accelerated particle can reach velocities higher than 1,000 m/s. In parallel, in order to better understand the phenomena taking place inside the device and to determine the optimal process parameters leading to high quality coatings an appropriate theoretical model was developed. The model is able to describe the complex processes of plasma-gas-propellant interaction, gas flow and powder particles heating and acceleration. The model gives a detailed description of the gas, propellant and accelerated particle parameters, their spatial distribution and temporal evolution; predicts their dependence on the values of some input quantities such as: the plasma energy, propellant characteristics and accelerated particles type and geometry. The computational results the authors obtained show that, indeed, during the acceleration process the particles are heated, melted and eventually vaporized. One of the most interesting theoretical results is that the
Ratso, Sander; Kruusenberg, Ivar; Käärik, Maike; Kook, Mati; Puust, Laurits; Saar, Rando; Leis, Jaan; Tammeveski, Kaido
2018-01-01
The search for an efficient electrocatalyst for oxygen reduction reaction (ORR) to replace platinum in fuel cell cathode materials is one of the hottest topics in electrocatalysis. Among the many non-noble metal catalysts, metal/nitrogen/carbon composites made by pyrolysis of cheap materials are the most promising with control over the porosity and final structure of the catalyst a crucial point. In this work we show a method of producing a highly active ORR catalyst in alkaline media with a controllable porous structure using titanium carbide derived carbon as a base structure and dicyandiamide along with FeCl3 or CoCl2 as the dopants. The resulting transition metal-nitrogen co-doped carbide derived carbon (M/N/CDC) catalyst is highly efficient for ORR electrocatalysis with the activity in 0.1 M KOH approaching that of commercial 46.1 wt.% Pt/C. The catalyst materials are also investigated by scanning electron microscopy, Raman spectroscopy and X-ray photoelectron spectroscopy to characterise the changes in morphology and composition causing the raise in electrochemical activity. MEA performance of M/N/CDC cathode materials in H2/O2 alkaline membrane fuel cell is tested with the highest power density reached being 80 mW cm-2 compared to 90 mW cm-2 for Pt/C.
Iron-based alloy and nitridation treatment for PEM fuel cell bipolar plates
Brady, Michael P [Oak Ridge, TN; Yang, Bing [Oak Ridge, TN; Maziasz, Philip J [Oak Ridge, TN
2010-11-09
A corrosion resistant electrically conductive component that can be used as a bipolar plate in a PEM fuel cell application is composed of an alloy substrate which has 10-30 wt. % Cr, 0.5 to 7 wt. % V, and base metal being Fe, and a continuous surface layer of chromium nitride and vanadium nitride essentially free of base metal. A oxide layer of chromium vanadium oxide can be disposed between the alloy substrate and the continuous surface nitride layer. A method to prepare the corrosion resistant electrically conductive component involves a two-step nitridization sequence by exposing the alloy to a oxygen containing gas at an elevated temperature, and subsequently exposing the alloy to an oxygen free nitrogen containing gas at an elevated temperature to yield a component where a continuous chromium nitride layer free of iron has formed at the surface.
Gordon, Roy G.; Kurtz, Sarah
1984-11-27
In a photovoltaic cell structure containing a visibly transparent, electrically conductive first layer of metal oxide, and a light-absorbing semiconductive photovoltaic second layer, the improvement comprising a thin layer of transition metal nitride, carbide or boride interposed between said first and second layers.
Bone response to a titanium aluminium nitride coating on metallic implants.
Freeman, C O; Brook, I M
2006-05-01
The design, surface characteristics and strength of metallic implants are dependant on their intended use and clinical application. Surface modifications of materials may enable reduction of the time taken for osseointegration and improve the biological response of bio-mechanically favourable metals and alloys. The influence of a titanium aluminium nitride (TAN) coating on the response of bone to commercially pure titanium and austenitic 18/8 stainless steel wire is reported. TAN coated and plain rods of stainless steel and commercially pure titanium were implanted into the mid-shaft of the femur of Wistar rats. The femurs were harvested at four weeks and processed for scanning electron and light microscopy. All implants exhibited a favourable response in bone with no evidence of fibrous encapsulation. There was no significant difference in the amount of new bone formed around the different rods (osseoconduction), however, there was a greater degree of shrinkage separation of bone from the coated rods than from the plain rods (p = 0.017 stainless steel and p = 0.0085 titanium). TAN coating may result in reduced osseointegration between bone and implant.
Synthesis, reactivity, and electronic structure of molecular uranium nitrides
Cleaves, Peter A.
2016-01-01
The study of metal-ligand multiple bonding offers insight into the electronic structure and bond of metal systems. Until recently, for uranium, such systems were limited to uranyl, and terminal chalcogenide, imide and carbene complexes. In 2012, this was extended to nitrides with the first preparation of a uranium–nitride (U≡N) species isolable under standard conditions, namely [U(TrenTIPS)(N)][Na(12C4)2] (52), which is prepared by the two-electron reduction of sodium azide with a trivalent u...
Metal Matrix Composites Reinforced by Nano-Particles—A Review
Directory of Open Access Journals (Sweden)
Riccardo Casati
2014-03-01
Full Text Available Metal matrix composites reinforced by nano-particles are very promising materials, suitable for a large number of applications. These composites consist of a metal matrix filled with nano-particles featuring physical and mechanical properties very different from those of the matrix. The nano-particles can improve the base material in terms of wear resistance, damping properties and mechanical strength. Different kinds of metals, predominantly Al, Mg and Cu, have been employed for the production of composites reinforced by nano-ceramic particles such as carbides, nitrides, oxides as well as carbon nanotubes. The main issue of concern for the synthesis of these materials consists in the low wettability of the reinforcement phase by the molten metal, which does not allow the synthesis by conventional casting methods. Several alternative routes have been presented in literature for the production of nano-composites. This work is aimed at reviewing the most important manufacturing techniques used for the synthesis of bulk metal matrix nanocomposites. Moreover, the strengthening mechanisms responsible for the improvement of mechanical properties of nano-reinforced metal matrix composites have been reviewed and the main potential applications of this new class of materials are envisaged.
Riedell, James A. (Inventor); Easler, Timothy E. (Inventor)
2009-01-01
A precursor of a ceramic adhesive suitable for use in a vacuum, thermal, and microgravity environment. The precursor of the ceramic adhesive includes a silicon-based, preceramic polymer and at least one ceramic powder selected from the group consisting of aluminum oxide, aluminum nitride, boron carbide, boron oxide, boron nitride, hafnium boride, hafnium carbide, hafnium oxide, lithium aluminate, molybdenum silicide, niobium carbide, niobium nitride, silicon boride, silicon carbide, silicon oxide, silicon nitride, tin oxide, tantalum boride, tantalum carbide, tantalum oxide, tantalum nitride, titanium boride, titanium carbide, titanium oxide, titanium nitride, yttrium oxide, zirconium diboride, zirconium carbide, zirconium oxide, and zirconium silicate. Methods of forming the ceramic adhesive and of repairing a substrate in a vacuum and microgravity environment are also disclosed, as is a substrate repaired with the ceramic adhesive.
Modification of silicon nitride and silicon carbide surfaces for food and biosensor applications
Rosso, M.
2009-01-01
Silicon-rich silicon nitride (SixN4, x > 3) is a robust insulating material widely used for the coating of microdevices: its high chemical and mechanical inertness make it a material of choice for the reinforcement of fragile microstructures (e.g. suspended microcantilevers, micro-fabricated
Effect of ion nitridation process on hardness and the corrosion resistance of biomaterials
International Nuclear Information System (INIS)
Wirjoadi; Lely Susita; Bambang Siswanto; Sudjatmoko
2012-01-01
Ion nitriding process has been performed on metal biomaterials to improve their mechanical properties of materials, particularly to increase hardness and corrosion resistance. This metallic biomaterials used for artificial bone or a prosthetic graft and used as devices of orthopedic biomaterials are usually of 316L SS metal-type and Ti-6Al-4V alloy. The purpose of this study is to research the development and utilization of ion nitridation method in order to get iron and titanium nitride thin films on the metallic biomaterials for artificial bone that has wear resistance and corrosion resistance is better. Microhardness of the samples was measured using a microhardness tester, optimum hardness of SS 316L samples are about 582 VHN, this was obtained at the nitriding temperature of 500 °C, the nitriding time of 3 hours and the nitrogen gas pressure of 1.6 mbar, while optimum hardness of Ti-6Al-4V alloy is 764 VHN, this was obtained at the nitriding temperature of 500 °C, the nitriding time of 4 hours and the nitrogen gas pressure of 1.6 mbar. The hardness value of SS 316L sample and Ti-6Al-4V alloy increase to 143% and 153%, if compared with standard samples. The optimum corrosion resistance at temperature of 350 °C for SS 316L and Ti-6Al-4V are 260,12 and 110,49 μA/cm 2 or corrosion rate are 29,866 and 15,189 mpy, respectively. (author)
Atom-vacancy ordering and magnetic susceptibility of nonstoichiometric hafnium carbide
International Nuclear Information System (INIS)
Gusev, A.I.; Zyryanova, A.N.
1999-01-01
Experimental results on magnetic susceptibility of nonstoichiometric hafnium carbide HfC y (0.6 0.71 , HfC 0.78 and HfC 0.83 in the range of 870-930 K the anomalies are revealed which are associated with superstructure short-range ordering in a non-metallics sublattice. It is shown that a short-range order in HfC 0.71 and HfC 0.78 carbides corresponds to Hf 3 C 2 ordered phase, and in HfC 0.83 carbide - to Hf 6 C 5 ordered phase. HfC 0.78 carbide is found to possesses zero magnetic susceptibility in temperature range 910-980 K [ru
Electronic structure and mechanical properties of plasma nitrided ferrous alloys
Energy Technology Data Exchange (ETDEWEB)
Portolan, E. [Centro de Ciencias Exatas e Tecnologia, Universidade de Caxias do Sul, 95070-560 Caxias do Sul-RS (Brazil); Baumvol, I.J.R. [Centro de Ciencias Exatas e Tecnologia, Universidade de Caxias do Sul, 95070-560 Caxias do Sul-RS (Brazil); Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Porto Alegre 91509-970 (Brazil); Figueroa, C.A., E-mail: cafiguer@ucs.br [Centro de Ciencias Exatas e Tecnologia, Universidade de Caxias do Sul, 95070-560 Caxias do Sul-RS (Brazil)
2009-04-15
The electronic structures of the near-surface regions of two different nitrided steels (AISI 316 and 4140) were investigated using X-ray photoelectron spectroscopy. Photoelectron groups from all main chemical elements involved were addressed for steel samples with implanted-N concentrations in the range 16-32 at.%. As the implanted-N concentrations were increased, rather contrasting behaviors were observed for the two kinds of steel. The N1s photoelectrons had spectral shifts toward lower (nitrided AISI 316) or higher (nitrided AISI 4140) binding energies, whereas the Fe2p{sub 3/2} photoelectron spectrum remains at a constant binding energy (nitrided AISI 316) or shifts toward higher binding energies (AISI 4140). These trends are discussed in terms of the metallic nitride formation and the overlapping of atomic orbitals. For nitrided AISI 316, a semi-classical approach of charge transfer between Cr and N is used to explain the experimental facts (formation of CrN), while for nitrided AISI 4140 we propose that the interaction between orbitals 4s from Fe and 2p from N promotes electrons to the conduction band increasing the electrical attraction of the N1s and Fe2p electrons in core shells (formation of FeN{sub x}). The increase in hardness of the steel upon N implantation is attributed to the localization of electrons in specific bonds, which diminishes the metallic bond character.
Electronic structure and mechanical properties of plasma nitrided ferrous alloys
Portolan, E.; Baumvol, I. J. R.; Figueroa, C. A.
2009-04-01
The electronic structures of the near-surface regions of two different nitrided steels (AISI 316 and 4140) were investigated using X-ray photoelectron spectroscopy. Photoelectron groups from all main chemical elements involved were addressed for steel samples with implanted-N concentrations in the range 16-32 at.%. As the implanted-N concentrations were increased, rather contrasting behaviors were observed for the two kinds of steel. The N1s photoelectrons had spectral shifts toward lower (nitrided AISI 316) or higher (nitrided AISI 4140) binding energies, whereas the Fe2p 3/2 photoelectron spectrum remains at a constant binding energy (nitrided AISI 316) or shifts toward higher binding energies (AISI 4140). These trends are discussed in terms of the metallic nitride formation and the overlapping of atomic orbitals. For nitrided AISI 316, a semi-classical approach of charge transfer between Cr and N is used to explain the experimental facts (formation of CrN), while for nitrided AISI 4140 we propose that the interaction between orbitals 4s from Fe and 2p from N promotes electrons to the conduction band increasing the electrical attraction of the N1s and Fe2p electrons in core shells (formation of FeN x). The increase in hardness of the steel upon N implantation is attributed to the localization of electrons in specific bonds, which diminishes the metallic bond character.
Electronic structure and mechanical properties of plasma nitrided ferrous alloys
International Nuclear Information System (INIS)
Portolan, E.; Baumvol, I.J.R.; Figueroa, C.A.
2009-01-01
The electronic structures of the near-surface regions of two different nitrided steels (AISI 316 and 4140) were investigated using X-ray photoelectron spectroscopy. Photoelectron groups from all main chemical elements involved were addressed for steel samples with implanted-N concentrations in the range 16-32 at.%. As the implanted-N concentrations were increased, rather contrasting behaviors were observed for the two kinds of steel. The N1s photoelectrons had spectral shifts toward lower (nitrided AISI 316) or higher (nitrided AISI 4140) binding energies, whereas the Fe2p 3/2 photoelectron spectrum remains at a constant binding energy (nitrided AISI 316) or shifts toward higher binding energies (AISI 4140). These trends are discussed in terms of the metallic nitride formation and the overlapping of atomic orbitals. For nitrided AISI 316, a semi-classical approach of charge transfer between Cr and N is used to explain the experimental facts (formation of CrN), while for nitrided AISI 4140 we propose that the interaction between orbitals 4s from Fe and 2p from N promotes electrons to the conduction band increasing the electrical attraction of the N1s and Fe2p electrons in core shells (formation of FeN x ). The increase in hardness of the steel upon N implantation is attributed to the localization of electrons in specific bonds, which diminishes the metallic bond character.
Natural precursor based hydrothermal synthesis of sodium carbide for reactor applications
Swapna, M. S.; Saritha Devi, H. V.; Sebastian, Riya; Ambadas, G.; Sankararaman, S.
2017-12-01
Carbides are a class of materials with high mechanical strength and refractory nature which finds a wide range of applications in industries and nuclear reactors. The existing synthesis methods of all types of carbides have problems in terms of use of toxic chemical precursors, high-cost, etc. Sodium carbide (Na2C2) which is an alkali metal carbide is the least explored one and also that there is no report of low-cost and low-temperature synthesis of sodium carbide using the eco-friendly, easily available natural precursors. In the present work, we report a simple low-cost, non-toxic hydrothermal synthesis of refractory sodium carbide using the natural precursor—Pandanus. The formation of sodium carbide along with boron carbide is evidenced by the structural and morphological characterizations. The sample thus synthesized is subjected to field emission scanning electron microscopy (FESEM), x-ray powder diffraction (XRD), ultraviolet (UV)—visible spectroscopy, Fourier transform infrared spectroscopy (FTIR), Raman, and photoluminescent (PL) spectroscopic techniques.
Fluorescent Silicon Carbide and its Applications in White Light-Emitting Diodes
DEFF Research Database (Denmark)
Ou, Yiyu
light extraction efficiency are presented. White LEDs are the most promising techniques to replace the conventional lighting sources. A typical white LED consists of a Gallium Nitride (GaN) blue or Ultraviolet (UV) LED stack and a wavelengthconversion material. Silicon Carbide (SiC) has a wide optical...... rendering performance and a much longer material lifetime compared with the commonly used wavelength-conversion material like Phosphors. In this thesis, f-SiC with different doping concentrations are analyzed and optimized in order to enhance the quantum efficiency. On the other hand, semiconductor...
Tantalum and niobium carbides obtention by carbothermic reduction of columbotantalite ores
International Nuclear Information System (INIS)
Gordo, E.; Garcia-Carcedo, F.; Torralba, J.M.
1998-01-01
Tantalum and niobium carbides are characterized by its high hardness and chemical corrosion resistance. Both carbides, but mainly TaC, are used in hard metals (sintered carbides), together with their carbides, to manufacture cutting tools and dies in special machining applications involving mechanical shock at high temperature. Its use as reinforcement of wear resistant materials through powder metallurgy techniques are being investigated. However, the use of TaC is usually limited because of its high cost. Therefore tantalum carbide with niobium content, which is cheaper, is used. In this work the obtention of complex tantalum and niobium carbides from a Spanish columbotantalite ore is studied through relatively cheap and simple process as it is carbothermic reduction. Concentration of the ore, its reduction and the characterization of products are described. (Author) 11 refs
Formation mechanism of spheroidal carbide in ultra-low carbon ductile cast iron
Directory of Open Access Journals (Sweden)
Bin-guo Fu
2016-09-01
Full Text Available The formation mechanism of the spheroidal carbide in the ultra-low carbon ductile cast iron fabricated by the metal mold casting technique was systematically investigated. The results demonstrated that the spheroidal carbide belonged to eutectic carbide and crystallized in the isolated eutectic liquid phase area. The formation process of the spheroidal carbide was related to the contact and the intersection between the primary dendrite and the secondary dendrite of austenite. The oxides of magnesium, rare earths and other elements can act as heterogeneous nucleation sites for the spheroidal carbide. It was also found that the amount of the spheroidal carbide would increase with an increase in carbon content. The cooling rate has an important influence on the spheroidal carbide under the same chemical composition condition.
International Nuclear Information System (INIS)
Haftlang, Farahnaz; Habibolahzadeh, Ali; Sohi, Mahmoud Heydarzadeh
2014-01-01
Highlights: • AlN coating was applied on AISI 1045 steel via plasma nitriding and aluminizing. • Aluminizing of pre-nitrided specimen provides the highest surface hardness. • The lowest wear rate was obtained via aluminizing of pre-nitrided specimen. • Wear mechanism of the modified layer consists of oxidative and spallung wear. - Abstract: Duplex surface treatments via aluminizing and plasma nitriding were carried out on AISI 1045 steel. A number of work pieces were aluminized and subsequently plasma nitrided (Al–PN) and other work pieces were plasma nitrided and then aluminized (PN–Al). Aluminizing was carried out via pack process at 1123 K for 5 h and plasma nitriding was performed at 823 K for 5 h. The fabricated steels were characterized using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), X-ray diffraction (XRD) and microhardness testing. Tribological behaviors of the duplex treated AISI 1045 steels were examined against tungsten carbide pin using a pin-on-disc apparatus at room temperature. The PN–Al specimen showed higher surface hardness, lower wear rate and coefficient of friction than the Al–PN one. It was noticed from the worn surfaces that tribo-oxidation plays an important role in wear behavior of both specimens
Characterization of plasma nitrided layers produced on sintered iron
Directory of Open Access Journals (Sweden)
Marcos Alves Fontes
2014-07-01
Full Text Available Plasma nitriding is a thermo-physical-chemical treatment process, which promotes surface hardening, caused by interstitial diffusion of atomic nitrogen into metallic alloys. In this work, this process was employed in the surface modification of a sintered ferrous alloy. Scanning electron microscopy (SEM, X-ray diffraction (XRD analyses, and wear and microhardness tests were performed on the samples submitted to ferrox treatment and plasma nitriding carried out under different conditions of time and temperature. The results showed that the nitride layer thickness is higher for all nitrided samples than for ferrox treated samples, and this layer thickness increases with nitriding time and temperature, and temperature is a more significant variable. The XRD analysis showed that the nitrided layer, for all samples, near the surface consists in a mixture of γ′-Fe4N and ɛ-Fe3N phases. Both wear resistance and microhardness increase with nitriding time and temperature, and temperature influences both the characteristics the most.
Zhu, Jixin; Sakaushi, Ken; Clavel, Guylhaine; Shalom, Menny; Antonietti, Markus; Fellinger, Tim-Patrick
2015-04-29
The synthesis of vertically aligned functional graphitic carbon nanosheets (CNS) is challenging. Herein, we demonstrate a general approach for the fabrication of vertically aligned CNS and metal carbide@CNS composites via a facile salt templating induced self-assembly. The resulting vertically aligned CNS and metal carbide@CNS structures possess ultrathin walls, good electrical conductivity, strong adhesion, excellent structural robustness, and small particle size. In electrochemical energy conversion and storage such unique features are favorable for providing efficient mass transport as well as a large and accessible electroactive surface. The materials were tested as electrodes in a lithium ion battery and in electrochemical water splitting. The vertically aligned nanosheets exhibit remarkable lithium ion storage properties and, concurrently, excellent properties as electrocatalysts for hydrogen evolution.
Ternary carbide uranium fuels for advanced reactor design applications
International Nuclear Information System (INIS)
Knight, Travis; Anghaie, Samim
1999-01-01
Solid-solution mixed uranium/refractory metal carbides such as the pseudo-ternary carbide, (U, Zr, Nb)C, hold significant promise for advanced reactor design applications because of their high thermal conductivity and high melting point (typically greater than 3200 K). Additionally, because of their thermochemical stability in a hot-hydrogen environment, pseudo-ternary carbides have been investigated for potential space nuclear power and propulsion applications. However, their stability with regard to sodium and improved resistance to attack by water over uranium carbide portends their usefulness as a fuel for advanced terrestrial reactors. An investigation into processing techniques was conducted in order to produce a series of (U, Zr, Nb)C samples for characterization and testing. Samples with densities ranging from 91% to 95% of theoretical density were produced by cold pressing and sintering the mixed constituent carbides at temperatures as high as 2650 K. (author)
Strengthening of metallic alloys with nanometer-size oxide dispersions
Flinn, John E.; Kelly, Thomas F.
1999-01-01
Austenitic stainless steels and nickel-base alloys containing, by wt. %, 0.1 to 3.0% V, 0.01 to 0.08% C, 0.01 to 0.5% N, 0.05% max. each of Al and Ti, and 0.005 to 0.10% O, are strengthened and ductility retained by atomization of a metal melt under cover of an inert gas with added oxygen to form approximately 8 nanometer-size hollow oxides within the alloy grains and, when the alloy is aged, strengthened by precipitation of carbides and nitrides nucleated by the hollow oxides. Added strengthening is achieved by nitrogen solid solution strengthening and by the effect of solid oxides precipitated along and pinning grain boundaries to provide temperature-stabilization and refinement of the alloy grains.
Strengthening of metallic alloys with nanometer-size oxide dispersions
Flinn, J.E.; Kelly, T.F.
1999-06-01
Austenitic stainless steels and nickel-base alloys containing, by wt. %, 0.1 to 3.0% V, 0.01 to 0.08% C, 0.01 to 0.5% N, 0.05% max. each of Al and Ti, and 0.005 to 0.10% O, are strengthened and ductility retained by atomization of a metal melt under cover of an inert gas with added oxygen to form approximately 8 nanometer-size hollow oxides within the alloy grains and, when the alloy is aged, strengthened by precipitation of carbides and nitrides nucleated by the hollow oxides. Added strengthening is achieved by nitrogen solid solution strengthening and by the effect of solid oxides precipitated along and pinning grain boundaries to provide temperature-stabilization and refinement of the alloy grains. 20 figs.
Plastic deformation of particles of zirconium and titanium carbide subjected to vibration grinding
Energy Technology Data Exchange (ETDEWEB)
Kravchik, A.E.; Neshpor, V.S.; Savel' ev, G.A.; Ordan' yan, S.S.
1976-12-01
A study is made of the influence of stoichiometry on the characteristics of microplastic deformation in powders of zirconium and titanium carbide subjected to vibration grinding. The carbide powders were produced by direct synthesis from the pure materials: metallic titanium and zirconium and acetylene black. As to the nature of their elastic deformation, zirconium and titanium carbides can be considered elastic-isotropic materials. During vibration grinding, the primary fracture planes are the (110) planes. Carbides of nonstoichiometric composition are more brittle.
Investigations on Wear Mechanisms of PVD Coatings on Carbides and Sialons
Directory of Open Access Journals (Sweden)
Staszuk M.
2017-12-01
Full Text Available The paper presents the results on the wear resistance of PVD coatings on cutting inserts made from sintered carbide and sialon ceramics. The exploitative properties of coatings in technological cutting trials were defined in the paper, which also examined the adhesion of coatings to the substrate, the thickness of the coating, and the microhardness. As a result, it was found that isomorphic coating with AlN-h phase of covalent interatomic bonds exhibits much better adhesion to the sialon substrate than isomorphic coating with titanium nitride TiN. These coatings assure the high wear resistance of the coated tools, and the high adhesion combined with the high microhardness and fine-grained structure assure an increase in the exploitative life of the coated tools. In the case of coatings on substrate made from sintered carbide, there was a significant influence on the properties of the tools coated with them as concerns the existence of the diffusion zone between the substrate and the coating.
Conceptual design study of LMFBR core with carbide fuel
International Nuclear Information System (INIS)
Tezuka, H.; Hojuyama, T.; Osada, H.; Ishii, T.; Hattori, S.; Nishimura, T.
1987-01-01
Carbide fuel is a hopeful candidate for demonstration FBR(DFBR) fuel from the plant cost reduction point of view. High thermal conductivity and high heavy metal content of carbide fuel lead to high linear heat rate and high breeding ratio. We have analyzed carbide fuel core characteristics and have clarified the concept of carbide fuel core. By survey calculation, we have obtained a correlation map between core parameters and core characteristics. From the map, we have selected a high efficiency core whose features are better than those of an oxide core, and have obtained reactivity coefficients. The core volume and the reactor fuel inventory are approximately 20% smaller, and the burn-up reactivity loss is 50% smaller compared with the oxide fuel core. These results will reduce the capital cost. The core reactivity coefficients are similar to the conventional oxide DFBR's. Therefore the carbide fuel core is regarded as safe as the oxide core. Except neutron fluence, the carbide fuel core has better nuclear features than the oxide core
The valve effect of the carbide interlayer of an electric resistance plug
International Nuclear Information System (INIS)
Lakomskii, V.
1998-01-01
The welded electric resistance plug (ERP) usually contains a carbide interlayer at the plug-carbon material interface. The interlayer forms during welding the contact metallic alloy with the carbon material when the oxide films of the alloy are reduced on the interface surface by carbon to the formation of carbides and the surface layer of the plug material dissolves carbon to saturation. Subsequently, during solidification of the plug material it forms carbides with the alloy components. The structural composition of the carbide interlayer is determined by the chemical composition of the contact alloy. In alloys developed by the author and his colleagues the carbide forming elements are represented in most cases by silicon and titanium and, less frequently, by chromium and manganese. Therefore, the carbide interlayers in the ERP consisted mainly of silicon and titanium carbides
Xu, Jingjing; Li, Bin; Li, Songmei; Liu, Jianhua
2017-07-01
Development of new and efficient metal-free electrocatalysts for replacing Pt to improve the sluggish kinetics of oxygen reduction reaction (ORR) is of great importance to emerging renewable energy technologies such as metal-air batteries and polymer electrolyte fuel cells. Herein, 3D sulfur-doping carbon nitride (S-CN) as a novel metal-free ORR electrocatalyst was synthesized by exploiting commercial melamine sponge as raw material. The sulfur atoms were doping on CN networks uniformly through numerous S-C bonds which can provide additional active sites. And it was found that the S-CN exhibited high catalytic activity for ORR in term of more positive onset potential, higher electron transfer number and higher cathodic density. This work provides a novel choice of metal-free ORR electrocatalysts and highlights the importance of sulfur-doping CN in metal-free ORR electrocatalysts.
International Nuclear Information System (INIS)
Selig, S; Westig, M P; Jacobs, K; Honingh, C E
2014-01-01
In this paper we demonstrate the reduction of heating in a niobium superconductor-insulator-superconductor (SIS) junction with aluminum-oxide tunnel barrier embedded in a niobium-titanium-nitride circuit. Nonequilibrium quasiparticles which are created due to the Andreev trap at the interface between the niobium and the niobium-titanium-nitride layers are relaxed by inserting a normal-metal conductor of gold between these two layers. In an earlier work we explained the observed relaxation of nonequilibrium quasiparticles due to the geometrically assisted cooling effect. In this paper we investigate this cooling effect in dependence of the normal-metal layer shape and size. We expect that an adapted normal-metal layer is necessary for implementation in practical terahertz SIS heterodyne mixer circuits. We observe in DC-measurements of a large number of devices a clear relation between the volume of the gold layer and the effective electron temperature in the device. Our central finding is that the shape of the gold layer does not influence the cooling provided that the volume is sufficient.
International Nuclear Information System (INIS)
Shen Liru; Fu, Ricky K.Y.; Chu, Paul K.
2004-01-01
Aluminum nitride (AlN) is of interest in the industry because of its excellent electronic, optical, acoustic, thermal, and mechanical properties. In this work, aluminum nitride films are deposited on silicon wafers (100) by metal plasma immersion ion implantation and deposition (PIIID) using a modified hybrid gas-metal cathodic arc plasma source and with no intentional heating to the substrate. The mixed metal and gaseous plasma is generated by feeding the gas into the arc discharge region. The deposition rate is found to mainly depend on the Al ion flux from the cathodic arc source and is only slightly affected by the N 2 flow rate. The AlN films fabricated by this method exhibit a cubic crystalline microstructure with stable and low internal stress. The surface of the AlN films is quite smooth with the surface roughness on the order of 1/2 nm as determined by atomic force microscopy, homogeneous, and continuous, and the dense granular microstructures give rise to good adhesion with the substrate. The N to Al ratio increases with the bias voltage applied to the substrates. A fairly large amount of O originating from the residual vacuum is found in the samples with low N:Al ratios, but a high bias reduces the oxygen concentration. The compositions, microstructures and crystal states of the deposited films are quite stable and remain unchanged after annealing at 800 deg. C for 1 h. Our hybrid gas-metal source cathodic arc source delivers better AlN thin films than conventional PIIID employing dual plasmas
Energy Technology Data Exchange (ETDEWEB)
Billingsley, Daniel; Pritchett, David; Henderson, Walter; Carver, Alexander G.; Burnham, Shawn D.; Doolittle, W.A. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)
2008-07-01
Molecular hydrogen (H{sub 2}) has been investigated as a means to improve ammonia nitridation efficiency and attempts to reduce carbon contamination in ammonia-based metal-organic molecular beam epitaxy (MOMBE). A 30% improvement in crystalline quality, inferred from XRD, as well as an increase in subsequent GaN bulk growth rate was observed when bare sapphire was subject to H{sub 2} annealing before nitridation. However, the use of H{sub 2} during GaN homoepitaxy on GaN templates resulted in increased carbon contamination and decreased growth rate of GaN. The results demonstrate promise and proper uses of H{sub 2} during GaN growth under certain conditions. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
The failure of aluminium nitride under shock
International Nuclear Information System (INIS)
Pickup, I.M.; Bourne, N.K.
2002-01-01
The shear strength of aluminium nitride has been measured over a range of impact stresses by measuring lateral stresses in plate impact experiments. The range of impact stress spanned several key shock thresholds for the material, pre and post Hugoniot elastic limit and up to values where the hexagonal to cubic phase transition starts. The shear strength measurements indicate significant inelastic damage at stress levels in excess of the HEL, but a significant recovery of strength at the highest impact stress was observed. This stress equates to the phase transition stress. The shear strength behaviour is compared to that of silicon carbide, which does not exhibit a phase change at these impact velocities
Carbothermic reduction of refractory metals
International Nuclear Information System (INIS)
Anderson, R.N.; Parlee, N.A.D.
1976-01-01
The reduction of stable refractory metal oxides by carbon is generally unacceptable since the product is usually contaminated with carbides. The carbide formation may be avoided by selecting a solvent metal to dissolve the reactive metal as it is produced and reduce its chemical activity below that required for carbide formation. This approach has been successfully applied to the oxides of Si, Zr, Ti, Al, Mg, and U. In the case where a volatile suboxide, a carbonyl reaction, or a volatile metal occur, the use of the solvent metal appears satisfactory to limit the loss of material at low pressures. In several solute--solvent systems, vacuum evaporation is used to strip the solvent metal from the alloy to give the pure metal
Thermionic emission of cermets made of refractory carbides
International Nuclear Information System (INIS)
Samsonow, G.W.; Bogomol, I.W.; Ochremtschuk, L.N.; Podtschernjajewa, I.A.; Fomenko, W.S.
1975-01-01
In order to improve the resistance to thermal variations of refractory carbides having good behavior for thermionic emission, they have been combined with transition metals d. Thermionic emission was studied with cermets in compact samples. Following systems were examined: TiC-Nb, TiC-Mo, TiC-W, ZrC-Nb, ZrC-Mo, ZrC-W, WC-Mo with compositions of: 75% M 1 C-25% M 2 , 50%M 1 C-50%M 2 , 25%M 1 C-75%M 2 . When following the variation of electron emission energy phi versus the composition, it appears that in the range of mixed crystals (M 1 M 2 )C, phi decreases and the resistance to thermal variations of these phases is higher than that of individual carbides. The study of obtained cermets shows that their resistance to thermal variations is largely superior to the one of starting carbides; TiC and ZrC carbides, combined with molybdenum and tungsten support the highest number of thermic cycles
Chemical order-disorder in alloys. Study by neutrons diffuse diffusion
International Nuclear Information System (INIS)
Novion, C. de; Beuneu, B.
1993-01-01
Applications of neutrons diffuse diffusion for short distance chemical order in FCC transition metals solid solutions (Pd-V, Ni-V, Ni-Cr) and understoichiometric carbides or nitrides of transition metals (TiC 1-x , NbC 1-x , TiN 1-x ) are shortly presented with theoretical and experimental aspects. (A.B.)
Study on the nitride fuel fabrication for FBR cycle (1)
International Nuclear Information System (INIS)
Shinkai, Yasuo; Ono, Kiyoshi; Tanaka, Kenya
2002-07-01
In the phase-II of JNC's 'Feasibility Study on Commercialized Fuel Reactor Cycle System (the F/S)', the nitride fuels are selected as candidate for fuels for heavy metal cooled reactor, gas cooled reactor, and small scale reactor. In particular, the coated fuel particles are a promising concept for gas cooled reactor. In addition, it is necessary to study in detail the application possibility of pellet nitride fuel and vibration compaction nitride fuel for heavy metal cooled reactor and small scale reactor in the phase-II. In 2001, we studied more about additional equipments for the nitride fuel fabrication in processes from gelation to carbothermic reduction in the vibration compaction method. The result of reevaluation of off-gas mass flow around carbothermic reduction equipment in the palletizing method, showed that quantity of off-gas flow reduced and its reduction led the operation cost to decrease. We studied the possibility of fabrication of large size particles in the coated fuel particles for helium gas cooled reactor and we made basic technical issues clear. (author)
Spheroidization of transition metal carbides in low temperature plasma
International Nuclear Information System (INIS)
Klinskaya, N.A.; Koroleva, E.B.; Petrunichev, V.A.; Rybalko, O.F.; Solov'ev, P.V.; Ugol'nikova, T.A.
1986-01-01
Plasma process of preparation of titanium, tungsten and chromium carbide spherical powders with the main particle size 40-80 μm is considered. Spheroidization degree, granulometric and phase composition of the product are investigated
P and Si functionalized MXenes for metal-ion battery applications
Zhu, Jiajie
2017-04-10
MXenes are a family of two-dimensional materials, composed of early transition metal carbides, nitrides, and carbonitrides, with great potential in energy storage systems, in particular in electrodes for Li, Na, K-ion batteries. However, so far the capacities are not competitive. In this context, we investigate P and Si functionalized MXenes for metal-ion battery applications, using first-principles calculations, since P and Si provide reaction products with high ion content. Replacement of the F and OH ligands of Ti2C and V2C with P and Si is demonstrated to be feasible (energy barriers of less than 0.128 eV) and the ion diffusion barriers turn out to be less than 0.32 eV. Importantly, the Li, Na, and K capacities are predicted to be 1767 mAh g−1, 711 mAh g−1, and 711 mAh g−1, respectively, thus being much higher than in the case of F and OH functionalization.
Titanium nitride deposition in titanium implant alloys produced by powder metallurgy
International Nuclear Information System (INIS)
Henriques, V.A.R.; Cairo, C.A.A.; Faria, J.; Lemos, T.G.; Galvani, E.T.
2009-01-01
Titanium nitride (TiN) is an extremely hard material, often used as a coating on titanium alloy, steel, carbide, and aluminum components to improve wear resistance. Electron Beam Physical Vapor Deposition (EB-PVD) is a form of deposition in which a target anode is bombarded with an electron beam given off by a charged tungsten filament under high vacuum, producing a thin film in a substrate. In this work are presented results of TiN deposition in targets and substrates of Ti (C.P.) and Ti- 13 Nb- 13 Zr obtained by powder metallurgy. Samples were produced by mixing of hydride metallic powders followed by uniaxial and cold isostatic pressing with subsequent densification by sintering between 900°C up to 1400 °C, in vacuum. The deposition was carried out under nitrogen atmosphere. Sintered samples were characterized for phase composition, microstructure and microhardness by X-ray diffraction, scanning electron microscopy and Vickers indentation, respectively. It was shown that the samples were sintered to high densities and presented homogeneous microstructure, with ideal characteristics for an adequate deposition and adherence. The film layer presented a continuous structure with 15μm. (author)
Fabrication of aluminum nitride crucibles for molten salt and plutonium compatibility studies
International Nuclear Information System (INIS)
Phillips, J.A.
1991-01-01
The overall objective of this research was to fabricate a calcium oxide sinter-aided aluminum nitride crucible and determine the compatibility of this crucible with molten chloride salts and plutonium metal in the DOR process. Calcium oxide sinter-aided aluminum nitride was preferred over yttrium oxide sinter-aided aluminum nitride because of (1) the presence of calcium chloride, calcium oxide, and calcium metal in the molten salts utilized in the DOR process, and (2) the higher volatility of the secondary phases formed compared with phases resulting from the addition of yttrium oxide during the aluminum nitride sintering process. The calcium oxide system may yield a higher purity crystal structure with fewer secondary phases present than in the yttrium oxide system. The secondary phases that are present in the grain boundaries may be unreactive with the calcium chloride salt due to the presence of calcium in the secondary phases
Advanced technologies of production of cemented carbides and composite materials based on them
International Nuclear Information System (INIS)
Bondarenko, V.; Pavlotskaya, E.; Martynova, L.; Epik, I.
2001-01-01
The paper presents new technological processes of production of W, WC and (Ti, W)C powders, cemented carbides having a controlled carbon content, high-strength nonmagnetic nickel-bonded cemented carbides, cemented carbide-based composites having a wear-resistant antifriction working layer as well as processes of regeneration of cemented carbide waste. It is shown that these technological processes permit radical changes in the production of carbide powders and products of VK, TK, VN and KKhN cemented carbides. The processes of cemented carbide production become ecologically acceptable and free of carbon black, the use of cumbersome mixers is excluded, the power expenditure is reduced and the efficiency of labor increases. It becomes possible to control precisely the carbon content within a two-phase region -carbide-metal. A high wear resistance of parts of friction couples which are lubricated with water, benzine, kerosene, diesel fuel and other low-viscosity liquids, is ensured with increased strength and shock resistance. (author)
Directory of Open Access Journals (Sweden)
Yoshihiro Irokawa
2011-01-01
Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.
Shishodia, Manmohan Singh; Pathania, Pankaj
2018-04-01
Refractory transition metal nitrides such as zirconium nitride (ZrN), hafnium nitride (HfN) and titanium nitride (TiN) have emerged as viable alternatives to coinage metals based plasmonic materials, e.g., gold (Au) and silver (Ag). The present work assesses the suitability of gain assisted ZrN-, HfN- and TiN-based conventional core-shell nanoparticles (CCSNPs) and multilayered core-shell nanoparticles (MCSNPs) for refractive index sensing. We report that the optical gain incorporation in the dielectric layer leads to multifold enhancement of the scattering efficiency (Qsca), substantial reduction of the spectral full width at half maximum, and a higher figure of merit (FOM). In comparison with CCSNPs, the MCSNP system exhibits superior sensing characteristics such as higher FOM, ˜ 45% reduction in the critical optical gain, response shift towards the biological window, and higher degree of tunability. Inherent biocompatibility, growth compatibility, chemical stability and flexible spectral tuning of refractory nitrides augmented by superior sensing properties in the present work may pave the way for refractory nitrides based low cost sensing.
International Nuclear Information System (INIS)
Anon.
1978-01-01
The following topics are considered: (1) cryosorption vacuum pumping for fusion reactors, (2) TNS support studies, (3) tritium recovery from irradiated Li-Al and SAP, (4) actinide oxides, nitrides, and carbides, and (5) transition metal-actinide-C phase equilibria
Preparation of phosphorus targets using the compound phosphorus nitride
International Nuclear Information System (INIS)
Maier-Komor, P.
1987-01-01
Commercially available phosphorus nitride (P 3 N 5 ) shows a high oxygen content. Nevertheless, this material is attractive for use as phosphorus targets in experiments where red phosphorus would disappear due to its high vapor pressure and where a metal partner in the phosphide must be excluded due to its high atomic number. Methods are described to produce phosphorus nitride targets by vacuum evaporation condensation. (orig.)
Lattice dynamics and electron/phonon interactions in epitaxial transition-metal nitrides
Mei, Antonio Rodolph Bighetti
Transition metal (TM) nitrides, due to their unique combination of remarkable physical properties and simple NaCl structure, are presently utilized in a broad range of applications and as model systems in the investigation of complex phenomena. Group-IVB nitrides TiN, ZrN, and HfN have transport properties which include superconductivity and high electrical conductivity; consequentially, they have become technologically important as electrodes and contacts in the semiconducting and superconducting industries. The Group-VB nitride VN, which exhibits enhanced ductility, is a fundamental component in superhard and tough nanostructured hard coatings. In this thesis, I investigate the lattice dynamics responsible for controlling superconductivity and electrical conductivities in Group-IVB nitrides and elasticity and structural stability of the NaCl-structure Group-VB nitride VN. Our group has already synthesized high-quality epitaxial TiN, HfN, and CeN layers on MgO(001) substrates. By irradiating the growth surface with high ion fluxes at energies below the bulk lattice-atom displacement threshold, dense epitaxial single crystal TM nitride films with extremely smooth surfaces have been grown using ultra-high vacuum magnetically-unbalanced magnetron sputter deposition. Using this approach, I completed the Group-IVB nitride series by growing epitaxial ZrN/MgO(001) films and then grew Group-VB nitride VN films epitaxially on MgO(001), MgO(011), and MgO(111). The combination of high-resolution x-ray diffraction (XRD) reciprocal lattice maps (RLMs), high-resolution cross-sectional transmission electron microscopy (HR-XTEM), and selected-area electron diffraction (SAED) show that single-crystal stoichiometric ZrN films grown at 450 °C are epitaxially oriented cube-on-cube with respect to their MgO(001) substrates, (001) ZrN||(001)MgO and [100]ZrN||[100]MgO. The layers are essentially fully relaxed with a lattice parameter of 0.4575 nm. X-ray reflectivity results reveal that
First principles calculations of interstitial and lamellar rhenium nitrides
Energy Technology Data Exchange (ETDEWEB)
Soto, G., E-mail: gerardo@cnyn.unam.mx [Universidad Nacional Autonoma de Mexico, Centro de Nanociencias y Nanotecnologia, Km 107 Carretera Tijuana-Ensenada, Ensenada Baja California (Mexico); Tiznado, H.; Reyes, A.; Cruz, W. de la [Universidad Nacional Autonoma de Mexico, Centro de Nanociencias y Nanotecnologia, Km 107 Carretera Tijuana-Ensenada, Ensenada Baja California (Mexico)
2012-02-15
Highlights: Black-Right-Pointing-Pointer The possible structures of rhenium nitride as a function of composition are analyzed. Black-Right-Pointing-Pointer The alloying energy is favorable for rhenium nitride in lamellar arrangements. Black-Right-Pointing-Pointer The structures produced by magnetron sputtering are metastable variations. Black-Right-Pointing-Pointer The structures produced by high-pressure high-temperature are stable configurations. Black-Right-Pointing-Pointer The lamellar structures are a new category of interstitial dissolutions. - Abstract: We report here a systematic first principles study of two classes of variable-composition rhenium nitride: i, interstitial rhenium nitride as a solid solution and ii, rhenium nitride in lamellar structures. The compounds in class i are cubic and hexagonal close-packed rhenium phases, with nitrogen in the octahedral and tetrahedral interstices of the metal, and they are formed without changes to the structure, except for slight distortions of the unit cells. In the compounds in class ii, by contrast, the nitrogen inclusion provokes stacking faults in the parent metal structure. These faults create trigonal-prismatic sites where the nitrogen residence is energetically favored. This second class of compounds produces lamellar structures, where the nitrogen lamellas are inserted among multiple rhenium layers. The Re{sub 3}N and Re{sub 2}N phases produced recently by high-temperature and high-pressure synthesis belong to this class. The ratio of the nitrogen layers to the rhenium layers is given by the composition. While the first principle calculations point to higher stability for the lamellar structures as opposed to the interstitial phases, the experimental evidence presented here demonstrates that the interstitial classes are synthesizable by plasma methods. We conclude that rhenium nitrides possess polymorphism and that the two-dimensional lamellar structures might represent an emerging class of materials
First principles calculations of interstitial and lamellar rhenium nitrides
International Nuclear Information System (INIS)
Soto, G.; Tiznado, H.; Reyes, A.; Cruz, W. de la
2012-01-01
Highlights: ► The possible structures of rhenium nitride as a function of composition are analyzed. ► The alloying energy is favorable for rhenium nitride in lamellar arrangements. ► The structures produced by magnetron sputtering are metastable variations. ► The structures produced by high-pressure high-temperature are stable configurations. ► The lamellar structures are a new category of interstitial dissolutions. - Abstract: We report here a systematic first principles study of two classes of variable-composition rhenium nitride: i, interstitial rhenium nitride as a solid solution and ii, rhenium nitride in lamellar structures. The compounds in class i are cubic and hexagonal close-packed rhenium phases, with nitrogen in the octahedral and tetrahedral interstices of the metal, and they are formed without changes to the structure, except for slight distortions of the unit cells. In the compounds in class ii, by contrast, the nitrogen inclusion provokes stacking faults in the parent metal structure. These faults create trigonal-prismatic sites where the nitrogen residence is energetically favored. This second class of compounds produces lamellar structures, where the nitrogen lamellas are inserted among multiple rhenium layers. The Re 3 N and Re 2 N phases produced recently by high-temperature and high-pressure synthesis belong to this class. The ratio of the nitrogen layers to the rhenium layers is given by the composition. While the first principle calculations point to higher stability for the lamellar structures as opposed to the interstitial phases, the experimental evidence presented here demonstrates that the interstitial classes are synthesizable by plasma methods. We conclude that rhenium nitrides possess polymorphism and that the two-dimensional lamellar structures might represent an emerging class of materials within binary nitride chemistry.
International Nuclear Information System (INIS)
Gemini, Laura; Hashida, Masaki; Shimizu, Masahiro; Miyasaka, Yasuhiro; Inoue, Shunsuke; Tokita, Shigeki; Sakabe, Shuji; Limpouch, Jiri; Mocek, Tomas
2013-01-01
Periodic structures were generated on Si and SiC surfaces by irradiation with femtosecond laser pulses. Self-organized structures with spatial periodicity of approximately 600 nm appear on silicon and silicon carbide in the laser fluence range just above the ablation threshold and upon irradiation with a large number of pulses. As in the case of metals, the dependence of the spatial periodicity on laser fluence can be explained by the parametric decay of laser light into surface plasma waves. The results show that the proposed model might be universally applicable to any solid state material
Conductive and robust nitride buffer layers on biaxially textured substrates
Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN
2009-03-31
The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.
Cr-doped III-V nitrides: Potential candidates for spintronics
Amin, Bin
2011-02-19
Studies of Cr-doped III-V nitrides, dilute magnetic alloys in the zincblende crystal structure, are presented. The objective of the work is to investigate half-metallicity in Al 0.75Cr 0.25N, Ga 0.75Cr 0.25N, and In 0.75Cr 0.25N for their possible application in spin-based electronic devices. The calculated spin-polarized band structures, electronic properties, and magnetic properties of these compounds reveal that Al 0.75Cr 0.25N and Ga 0.75Cr 0.25N are half-metallic dilute magnetic semiconductors while In 0.75Cr 0.25N is metallic in nature. The present theoretical predictions provide evidence that some Cr-doped III-V nitrides can be used in spintronics devices. © 2011 TMS.
Cr-doped III-V nitrides: Potential candidates for spintronics
Amin, Bin; Arif, Suneela K.; Ahmad, Iftikhar; Maqbool, Muhammad; Ahmad, Roshan; Goumri-Said, Souraya; Prisbrey, Keith A.
2011-01-01
Studies of Cr-doped III-V nitrides, dilute magnetic alloys in the zincblende crystal structure, are presented. The objective of the work is to investigate half-metallicity in Al 0.75Cr 0.25N, Ga 0.75Cr 0.25N, and In 0.75Cr 0.25N for their possible application in spin-based electronic devices. The calculated spin-polarized band structures, electronic properties, and magnetic properties of these compounds reveal that Al 0.75Cr 0.25N and Ga 0.75Cr 0.25N are half-metallic dilute magnetic semiconductors while In 0.75Cr 0.25N is metallic in nature. The present theoretical predictions provide evidence that some Cr-doped III-V nitrides can be used in spintronics devices. © 2011 TMS.
Nonmetal effect on ordering structures in titanium carbide
International Nuclear Information System (INIS)
Tashmetov, M.Yu.; Ehm, V.T.; Savenko, B.M.
1997-01-01
The effect of oxygen and nitrogen atoms on formation of intermediate, cubic and trigonal ordering structures in the titanium carbide is studied through the roentgenography and neutron radiography methods. Metal atoms in the TiC 0.545 O 0.08 , TiC 0.545 N 0.09 samples under study are shifted from ideal positions in the direction from vacancies to metalloid atoms. In the intermediate cubic phase the values of the titanium atoms free parameter in both samples are identical, but they differ from analogous values in the titanium carbide
Gallium nitride-based micro-opto-electro-mechanical systems
Stonas, Andreas Robert
Gallium Nitride and its associated alloys InGaN and AlGaN have many material properties that are highly desirable for micro-electro-mechanical systems (MEMS), and more specifically micro-opto-electro-mechanical systems (MOEMS). The group III-nitrides are tough, stiff, optically transparent, direct bandgap, chemically inert, highly piezoelectric, and capable of functioning at high temperatures. There is currently no other semiconductor system that possesses all of these properties. Taken together, these attributes make the nitrides prime candidates not only for creating new versions of existing device structures, but also for creating entirely unique devices which combine these properties in novel ways. Unfortunately, their chemical resiliency also makes the group III-nitrides extraordinarily difficult to shape into devices. In particular, until this research, no undercut etch technology existed that could controllably separate a selected part of a MEMS device from its sapphire or silicon carbide substrate. This has effectively prevented GaN-based MEMS from being developed. This dissertation describes how this fabrication obstacle was overcome by a novel etching geometry (bandgap-selective backside-illuminated photoelectochemical (BS-BIPEC) etching) and its resulting morphologies. Several gallium-nitride based MEMS devices were created, actuated, and modelled, including cantilevers and membranes. We describe in particular our pursuit of one of the many novel device elements that is possible only in this material system: a transducer that uses an externally applied strain to dynamically change the optical transition energy of a quantum well. While the device objective of a dynamically tunable quantum well was not achieved, we have demonstrated sufficient progress to believe that such a device will be possible soon. We have observed a shift (5.5meV) of quantum well transition energies in released structures, and we have created structures that can apply large biaxial
Energy Technology Data Exchange (ETDEWEB)
Lassoued, Souheila [Universite de Rennes, Ecole Nationale Superieure de Chimie, UMR 6226 CNRS (France). Inst. des Sciences Chimiques; Universite Kasdi Merbah-Ouargla (Algeria). Faculte des Mathematiques et des Sciences de la Matiere; Boucher, Benoit [Universite de Rennes, Ecole Nationale Superieure de Chimie, UMR 6226 CNRS (France). Inst. des Sciences Chimiques; Max-Planck-Institut fuer Chemische Physik Fester Stoffe, Dresden (Germany); Boutarfaia, Ahmed [Universite Kasdi Merbah-Ouargla (Algeria). Faculte des Mathematiques et des Sciences de la Matiere; Gautier, Regis; Halet, Jean-Francois [Universite de Rennes, Ecole Nationale Superieure de Chimie, UMR 6226 CNRS (France). Inst. des Sciences Chimiques
2016-08-01
The electronic properties of the layered ternary metal boride carbide ScB{sub 2}C{sub 2}, the structure of which consists of B/C layers made of fused five- and seven-membered rings alternating with scandium sheets, are analyzed. In particular, the respective positions of the B and C atoms (the so-called coloring problem) are tackled using density functional theory, quantum theory of atoms in molecules, and electron localizability indicator calculations. Results reveal that (i) the most stable coloring minimizes the number of B-B and C-C contacts and maximizes the number of boron atoms in the heptagons, (ii) the compound is metallic in character, and (iii) rather important covalent bonding occurs between the metallic sheets and the boron-carbon network.
Uranium sesqui nitride synthesis and its use as catalyst for the thermo decomposition of ammonia
International Nuclear Information System (INIS)
Rocha, Soraya Maria Rizzo da
1996-01-01
The preoccupation to have a secure destination for metallic uranium scraps and wastes and to search new non-nuclear uses for the huge amount of depleted metal uranium accumulated at the nuclear industry encouraged the study of the uranium sesqui nitride synthesis and its use. The use of uranium sesqui nitride as a catalyst for the thermo decomposition of ammonia for the hydrogen production has enormous significance. One of the most important nuclear cycle step is the reduction of the higher uranium oxides for the production of uranium dioxide and its conversion to uranium tetrafluoride. The reduction of the UO 3 and U 3 O 8 oxides is accomplished by the gas-solid reaction with elementary hydrogen. For economical purposes and for the safety concern the nuclear industry prefers to manufacture the hydrogen gas at the local and at the moment of use, exploring the catalytic decomposition of ammonia vapor. Using metallic uranium scraps as the raw material the obtention of its nitride was achieved by the reaction with ammonia. The results of the chemical and physical characterization of the prepared uranium sesqui nitride and its behavior as a catalyst for the cracking of ammonia are commented. A lower ammonia cracking temperature (550 deg C) using the uranium sesqui nitride compared with recommended industrial catalysts iron nitride (650 deg C) and manganese nitride (700 deg C) sounds reliable and economically advantageous. (author)
Electrochemical Solution Growth of Magnetic Nitrides
Energy Technology Data Exchange (ETDEWEB)
Monson, Todd C. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Pearce, Charles [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)
2014-10-01
Magnetic nitrides, if manufactured in bulk form, would provide designers of transformers and inductors with a new class of better performing and affordable soft magnetic materials. According to experimental results from thin films and/or theoretical calculations, magnetic nitrides would have magnetic moments well in excess of current state of the art soft magnets. Furthermore, magnetic nitrides would have higher resistivities than current transformer core materials and therefore not require the use of laminates of inactive material to limit eddy current losses. However, almost all of the magnetic nitrides have been elusive except in difficult to reproduce thin films or as inclusions in another material. Now, through its ability to reduce atmospheric nitrogen, the electrochemical solution growth (ESG) technique can bring highly sought after (and previously inaccessible) new magnetic nitrides into existence in bulk form. This method utilizes a molten salt as a solvent to solubilize metal cations and nitrogen ions produced electrochemically and form nitrogen compounds. Unlike other growth methods, the scalable ESG process can sustain high growth rates (~mm/hr) even under reasonable operating conditions (atmospheric pressure and 500 °C). Ultimately, this translates into a high throughput, low cost, manufacturing process. The ESG process has already been used successfully to grow high quality GaN. Below, the experimental results of an exploratory express LDRD project to access the viability of the ESG technique to grow magnetic nitrides will be presented.
Zhou, Li; Zhang, Huayang; Guo, Xiaochen; Sun, Hongqi; Liu, Shaomin; Tade, Moses O; Wang, Shaobin
2017-05-01
Graphitic carbon nitride (g-C 3 N 4 ) has been considered as a metal-free, cost-effective, eco-friendly and efficient catalyst for various photoelectrochemical applications. However, compared to conventional metal-based photocatalysts, its photocatalytic activity is still low because of the low mobility of carriers restricted by the polymer nature. Herein, a series of hybrids of g-C 3 N 4 (GCN) and nanodiamonds (NDs) were synthesized using a solvothermal method. The photoelectrochemical performance and photocatalytic efficiency of the GCN/NDs were investigated by means of the generation of photocurrent and photodegradation of methylene blue (MB) solutions under UV-visible light irradiations. In this study, the sample of GCN/ND-33% derived from 0.1g GCN and 0.05g NDs displayed the highest photocatalytic activity and the strongest photocurrent density. The mechanism of enhanced photoelectrochemical and photocatalytic performances was also discussed. Copyright © 2017 Elsevier Inc. All rights reserved.
Directory of Open Access Journals (Sweden)
Zhang Changrui
2016-07-01
Full Text Available An entirely aqueous solution-gel route has been developed for the synthesis of zirconium carbide, hafnium carbide and their ternary carbide powders. Zirconium oxychloride (ZrOCl₂.8H₂O, malic acid (MA and ethylene glycol (EG were dissolved in water to form the aqueous zirconium carbide precursor. Afterwards, this aqueous precursor was gelled and transformed into zirconium carbide at a relatively low temperature (1200 °C for achieving an intimate mixing of the intermediate products. Hafnium and the ternary carbide powders were also synthesized via the same aqueous route. All the zirconium, hafnium and ternary carbide powders exhibited a particle size of ∼100 nm.
Energy Technology Data Exchange (ETDEWEB)
Zhang, Jie; Chen, Jinwei, E-mail: jwchen@scu.edu.cn; Jiang, Yiwu; Zhou, Feilong; Wang, Gang; Wang, Ruilin, E-mail: rl.wang@scu.edu.cn
2016-12-15
Graphical abstract: A hybrid catalyst was prepared via a quite green and simple method to achieve an one-pot synthesis of the N-doping carbon, tungsten carbides, and iron/cobalt carbides. It exhibited comparable electrocatalytic activity, higher durability and ability to methanol tolerance compared with commercial Pt/C to ORR. - Highlights: • A novel type of hybrid Fe/Co/WC@NC catalysts have been successfully synthesized. • The hybrid catalyst also exhibited better durability and methanol tolerance. • Multiple effective active sites of Fe{sub 3}C, Co{sub 3}C, WC, and NC help to improve catalytic performance. - Abstract: This work presents a type of hybrid catalyst prepared through an environmental and simple method, combining a pyrolysis of transition metal precursors, a nitrogen-containing material, and a tungsten source to achieve a one-pot synthesis of N-doping carbon, tungsten carbides, and iron/cobalt carbides (Fe/Co/WC@NC). The obtained Fe/Co/WC@NC consists of uniform Fe{sub 3}C and Co{sub 3}C nanoparticles encapsulated in graphitized carbon with surface nitrogen doping, closely wrapped around a plate-like tungsten carbide (WC) that functions as an efficient oxygen reduction reaction (ORR) catalyst. The introduction of WC is found to promote the ORR activity of Fe/Co-based carbide electrocatalysts, which is attributed to the synergistic catalysts of WC, Fe{sub 3}C, and Co{sub 3}C. Results suggest that the composite exhibits comparable electrocatalytic activity, higher durability, and ability for methanol tolerance compared with commercial Pt/C for ORR in alkaline electrolyte. These advantages make Fe/Co/WC@NC a promising ORR electrocatalyst and a cost-effective alternative to Pt/C for practical application as fuel cell.
Aluminum Nitride Micro-Channels Grown via Metal Organic Vapor Phase Epitaxy for MEMs Applications
Energy Technology Data Exchange (ETDEWEB)
Rodak, L.E.; Kuchibhatla, S.; Famouri, P.; Ting, L.; Korakakis, D.
2008-01-01
Aluminum nitride (AlN) is a promising material for a number of applications due to its temperature and chemical stability. Furthermore, AlN maintains its piezoelectric properties at higher temperatures than more commonly used materials, such as Lead Zirconate Titanate (PZT) [1, 2], making AlN attractive for high temperature micro and nanoelectromechanical (MEMs and NEMs) applications including, but not limited to, high temperature sensors and actuators, micro-channels for fuel cell applications, and micromechanical resonators. This work presents a novel AlN micro-channel fabrication technique using Metal Organic Vapor Phase Epitaxy (MOVPE). AlN easily nucleates on dielectric surfaces due to the large sticking coefficient and short diffusion length of the aluminum species resulting in a high quality polycrystalline growth on typical mask materials, such as silicon dioxide and silicon nitride [3,4]. The fabrication process introduced involves partially masking a substrate with a silicon dioxide striped pattern and then growing AlN via MOVPE simultaneously on the dielectric mask and exposed substrate. A buffered oxide etch is then used to remove the underlying silicon dioxide and leave a free standing AlN micro-channel. The width of the channel has been varied from 5 ìm to 110 ìm and the height of the air gap from 130 nm to 800 nm indicating the stability of the structure. Furthermore, this versatile process has been performed on (111) silicon, c-plane sapphire, and gallium nitride epilayers on sapphire substrates. Reflection High Energy Electron Diffraction (RHEED), Atomic Force Microscopy (AFM), and Raman measurements have been taken on channels grown on each substrate and indicate that the substrate is influencing the growth of the AlN micro-channels on the SiO2 sacrificial layer.
Corrosion resistant composite materials
International Nuclear Information System (INIS)
Ul'yanin, E.A.
1986-01-01
Foundations for corrosion-resistant composite materials design are considered with account of components compatibility. Fibrous and lamellar composites with metal matrix, dispersion-hardened steels and alloys, refractory metal carbides-, borides-, nitrides-, silicides-based composites are described. Cermet compositions and fields of their application, such as protective coatings for operation in agressive media at high temperatures, are presented
Preparation of mesoporous carbon nitride structure by the dealloying of Ni/a-CN nanocomposite films
Zhou, Han; Shen, Yongqing; Huang, Jie; Liao, Bin; Wu, Xianying; Zhang, Xu
2018-05-01
The preparation of mesoporous carbon nitride (p-CN) structure by the selective dealloying process of Ni/a-CN nanocomposite films is investigated. The composition and structure of the Ni/a-CN nanocomposite films and porous carbon nitride (p-CN) films are determined by scan electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Phase separated structure including nickel carbide phase and the surrounding amorphous carbon nitride (a-CN) matrix are detected for the as-deposited films. Though the bulk diffusion is introduced in the film during the annealing process, the grain sizes for the post-annealed films are around 10 nm and change little comparing with the ones of the as-deposited films, which is associated with the thermostability of the CN surrounding in the film. The p-CN skeleton with its pore size around 12.5 nm is formed by etching the post-annealed films, indicative of the stability of the phase separated structure during the annealing process.
High pressure sintering (HP-HT) of diamond powders with titanium and titanium carbide
International Nuclear Information System (INIS)
Jaworska, L.
1999-01-01
Polycrystalline diamond compacts for cutting tools are mostly manufactured using high pressure sintering (HP-HT). The standard diamond compacts are prepared by diamond powders sintering with metallic binding phase. The first group of metallic binder are metals able to solve carbon - Co, Ni. The second group of metal binders are carbide forming elements - Ti, Cr, W and others. The paper describes high pressure sintering of diamond powder with titanium and nonstoichiometry titanium carbide for cutting tool application. A type of binding phase has the significant influence on microstructure and mechanical properties of diamond compacts. Very homogeneous structure was achieved in case of compacts obtained from metalized diamond where diamond-TiC-diamond connection were predominant. In the case of compacts prepared by mechanical mixing of diamond with titanium powders the obtained structure was nonhomogeneous with titanium carbide clusters. They had more diamond to diamond connections. These compacts compared to the compact made of metallized diamond have greater wear resistance. In the case of the diamond and TiC 0.92 sintering the strong bonding of TiC diamond grains was obtained. The microstructure observations for diamond with 5% wt. Ti and diamond with 5% wt. TiC 0.92 (the initial composition) compacts were performed in transmission microscope. For two type of compacts the strong bonding phase TiC without defects is creating. (author)
International Nuclear Information System (INIS)
Chaporova, I.N.; Kudryavtseva, V.I.; Sapronova, Z.N.; Sychkova, L.V.
1980-06-01
In the present paper the effect of storage and quenching on structure and properties of WC(Fe,Ni)-hardmetals was investigated. Starting materials were powders of tungsten carbide, iron and nickel, commonly used for the hard metal production. WC(Fe,Ni)-specimens (Fe: Ni = 80:20, 85:15) with 8, 11, 80, 85, 89 and 92 percent by weight were produced for the investigation. (orig.) [de
Synthesis of carbon fibre-reinforced, silicon carbide composites by ...
Indian Academy of Sciences (India)
carbon fibre (Cf) reinforced, silicon carbide matrix composites which are ... eral applications, such as automotive brakes, high-efficiency engine systems, ... The PIP method is based on the use of organo metallic pre-ceramic precursors.
Chow, H. M.; Yang, L. D.; Lin, Y. C.; Lin, C. L.
2017-12-01
In this paper, the effects of material removal rate and abrasive grain protrusion on the metal-based diamond grinding wheel were studied to find the optimal parameters for adding powder and wire discharge. In addition, this kind of electric discharge method to add powder on the metal-based diamond grinding wheel on line after dressing and truing will be applied on tungsten carbide to study the grinding material removal rate, grinding wheel wear, surface roughness, and surface micro-hardness.
International Nuclear Information System (INIS)
Manikandan, M.; Santhosh, M.; Rajeswarapalanichamy, R.
2016-01-01
Ab initio calculations are performed to investigate the structural stability, electronic structure and mechanical properties of actinide carbides AnC (An=U, Np) for three different crystal structures, namely NaCl, CsCl and ZnS. Among the considered structures, NaCl structure is found to be the most stable structure for these carbides at normal pressure. A pressure induced structural phase transition from NaCl to ZnS is observed. The electronic structure reveals that these carbides are metals. The calculated elastic constants indicate that these carbides are mechanically stable at normal pressure.
A Simple, General Synthetic Route toward Nanoscale Transition Metal Borides.
Jothi, Palani R; Yubuta, Kunio; Fokwa, Boniface P T
2018-04-01
Most nanomaterials, such as transition metal carbides, phosphides, nitrides, chalcogenides, etc., have been extensively studied for their various properties in recent years. The similarly attractive transition metal borides, on the contrary, have seen little interest from the materials science community, mainly because nanomaterials are notoriously difficult to synthesize. Herein, a simple, general synthetic method toward crystalline transition metal boride nanomaterials is proposed. This new method takes advantage of the redox chemistry of Sn/SnCl 2 , the volatility and recrystallization of SnCl 2 at the synthesis conditions, as well as the immiscibility of tin with boron, to produce crystalline phases of 3d, 4d, and 5d transition metal nanoborides with different morphologies (nanorods, nanosheets, nanoprisms, nanoplates, nanoparticles, etc.). Importantly, this method allows flexibility in the choice of the transition metal, as well as the ability to target several compositions within the same binary phase diagram (e.g., Mo 2 B, α-MoB, MoB 2 , Mo 2 B 4 ). The simplicity and wide applicability of the method should enable the fulfillment of the great potential of this understudied class of materials, which show a variety of excellent chemical, electrochemical, and physical properties at the microscale. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
APS- and XPS-investigations of vanadium, vanadium carbide and graphite
Energy Technology Data Exchange (ETDEWEB)
Bradshaw, A M; Krause, U [Technische Univ. Muenchen (F.R. Germany). Inst. fuer Physikalische Chemie und Theoretische Chemie
1975-11-01
Soft X-ray appearance potential spectroscopy (APS) and X-ray photoelectron spectroscopy (XPS) have been used to study vanadium, vanadium carbide, and graphite. The chemical shifts for vanadium carbide with respect to metallic vanadium and graphite are compared for the two methods. The Csub(K) structure in APS and the valence band in XPS for vanadium carbide show good agreement with the band structure calculations of Neckel and co-workers. Using the band structure calculations of Painter et al. it is also shown how the multi-peak structure in the APS spectrum of graphite is possibly due to density of states effects. It would therefore appear that plasmon coupling plays only a minor role.
International Nuclear Information System (INIS)
Zhou, Xiuquan; Gall, Daniel; Khare, Sanjay V.
2014-01-01
Highlights: • We use DFT to model the anti-ReO 3 structured transition metal nitrides M 3 N. • We predict their lattice constants, electronic structures and mechanical properties. • We correlate the metal d and nitrogen 2p orbitals with stability and hardness. • We established a high-throughput database for materials design. - Abstract: We report a systematic study of the anti-ReO 3 structured transition metal nitrides, M 3 N, using ab initio density functional theory computations in the local density approximation. Here M denotes all the 3d, 4d and 5d transition metals. Our calculations indicate that all M 3 N compounds except V 3 N of group 5 and Zn 3 N and Hg 3 N of group 12 are mechanically stable. For the stable M 3 N compounds, we report a database of predictions for their lattice constants, electronic properties and mechanical properties including bulk modulus, Young’s modulus, shear modulus, ductility, hardness and Debye temperature. It is found that most M 3 N compounds exhibit ductility with Vickers hardness between 0.4 GPa and 11.2 GPa. Our computed lattice constant for Cu 3 N, the only M 3 N compound where experiments exist, agrees well with the experimentally reported values. We report ratios of the melting points of all M 3 N compounds to that of Cu 3 N. The local density of states for all M 3 N compounds are obtained, and electronic band gaps are observed only for M of group 11 (Cu, Ag and Au) while the remaining M 3 N compounds are metallic without band gaps. Valence electron density along with the hybridization of the metal d and nitrogen 2p orbitals play an important role in determining the stability and hardness of different compounds. Our high-throughput databases for the cubic anti-ReO 3 structured transition metal nitrides should motivate future experimental work and shorten the time to their discovery
Tungsten carbide nanoparticles as efficient cocatalysts for photocatalytic overall water splitting
Garcia Esparza, Angel T.
2012-12-17
Tungsten carbide exhibits platinum-like behavior, which makes it an interesting potential substitute for noble metals in catalytic applications. Tungsten carbide nanocrystals (≈5 nm) are directly synthesized through the reaction of tungsten precursors with mesoporous graphitic C3N 4 (mpg-C3N4) as the reactive template in a flow of inert gas at high temperatures. Systematic experiments that vary the precursor compositions and temperatures used in the synthesis selectively generate different compositions and structures for the final nanocarbide (W 2C or WC) products. Electrochemical measurements demonstrate that the WC phase with a high surface area exhibits both high activity and stability in hydrogen evolution over a wide pH range. The WC sample also shows excellent hydrogen oxidation activity, whereas its activity in oxygen reduction is poor. These tungsten carbides are successful cocatalysts for overall water splitting and give H2 and O2 in a stoichiometric ratio from H 2O decomposition when supported on a Na-doped SrTiO3 photocatalyst. Herein, we present tungsten carbide (on a small scale) as a promising and durable catalyst substitute for platinum and other scarce noble-metal catalysts in catalytic reaction systems used for renewable energy generation. Platinum replacement: The phase-controlled synthesis of tungsten carbide nanoparticles from the nanoconfinement of a mesoporous graphite C 3N4 (mpg-C3N4) reactive template is shown. The nanomaterials catalyze hydrogen evolution/oxidation reactions, but are inactive in the oxygen reduction reaction. Tungsten carbide is an effective cocatalyst for photocatalytic overall water splitting (see picture). Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Tungsten carbide nanoparticles as efficient cocatalysts for photocatalytic overall water splitting
Garcia Esparza, Angel T.; Cha, Dong Kyu; Ou, Yiwei; Kubota, Jun; Domen, Kazunari; Takanabe, Kazuhiro
2012-01-01
Tungsten carbide exhibits platinum-like behavior, which makes it an interesting potential substitute for noble metals in catalytic applications. Tungsten carbide nanocrystals (≈5 nm) are directly synthesized through the reaction of tungsten precursors with mesoporous graphitic C3N 4 (mpg-C3N4) as the reactive template in a flow of inert gas at high temperatures. Systematic experiments that vary the precursor compositions and temperatures used in the synthesis selectively generate different compositions and structures for the final nanocarbide (W 2C or WC) products. Electrochemical measurements demonstrate that the WC phase with a high surface area exhibits both high activity and stability in hydrogen evolution over a wide pH range. The WC sample also shows excellent hydrogen oxidation activity, whereas its activity in oxygen reduction is poor. These tungsten carbides are successful cocatalysts for overall water splitting and give H2 and O2 in a stoichiometric ratio from H 2O decomposition when supported on a Na-doped SrTiO3 photocatalyst. Herein, we present tungsten carbide (on a small scale) as a promising and durable catalyst substitute for platinum and other scarce noble-metal catalysts in catalytic reaction systems used for renewable energy generation. Platinum replacement: The phase-controlled synthesis of tungsten carbide nanoparticles from the nanoconfinement of a mesoporous graphite C 3N4 (mpg-C3N4) reactive template is shown. The nanomaterials catalyze hydrogen evolution/oxidation reactions, but are inactive in the oxygen reduction reaction. Tungsten carbide is an effective cocatalyst for photocatalytic overall water splitting (see picture). Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process
International Nuclear Information System (INIS)
Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee
2004-01-01
We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions
Preparation of high-pressure phase boron nitride films by physical vapor deposition
Zhu, P W; Zhao, Y N; Li, D M; Liu, H W; Zou Guang Tian
2002-01-01
The high-pressure phases boron nitride films together with cubic, wurtzic, and explosive high-pressure phases, were successfully deposited on the metal alloy substrates by tuned substrate radio frequency magnetron sputtering. The percentage of cubic boron nitride phase in the film was about 50% as calculated by Fourier transform infrared measurements. Infrared peak position of cubic boron nitride at 1006.3 cm sup - sup 1 , which is close to the stressless state, indicates that the film has very low internal stress. Transition electron microscope micrograph shows that pure cubic boron nitride phase exits on the surface of the film. The growth mechanism of the BN films was also discussed.
Li, Xuning; Ao, Zhimin; Liu, Jiayi; Sun, Hongqi; Rykov, Alexandre I; Wang, Junhu
2016-12-27
Innovation in transition-metal nitride (TMN) preparation is highly desired for realization of various functionalities. Herein, series of graphene-encapsulated TMNs (Fe x Mn 6-x Co 4 -N@C) with well-controlled morphology have been synthesized through topotactic transformation of metal-organic frameworks in an N 2 atmosphere. The as-synthesized Fe x Mn 6-x Co 4 -N@C nanodices were systematically characterized and functionalized as Fenton-like catalysts for catalytic bisphenol A (BPA) oxidation by activation of peroxymonosulfate (PMS). The catalytic performance of Fe x Mn 6-x Co 4 -N@C was found to be largely enhanced with increasing Mn content. Theoretical calculations illustrated that the dramatically reduced adsorption energy and facilitated electron transfer for PMS activation catalyzed by Mn 4 N are the main factors for the excellent activity. Both sulfate and hydroxyl radicals were identified during the PMS activation, and the BPA degradation pathway mainly through hydroxylation, oxidation, and decarboxylation was investigated. Based on the systematic characterization of the catalyst before and after the reaction, the overall PMS activation mechanism over Fe x Mn 6-x Co 4 -N@C was proposed. This study details the insights into versatile TMNs for sustainable remediation by activation of PMS.
Ion nitriding in 316=L stainless steel
International Nuclear Information System (INIS)
Rojas-Calderon, E.L.
1989-01-01
Ion nitriding is a glow discharge process that is used to induce surface modification in metals. It has been applied to 316-L austenitic stainless steel looking for similar benefits already obtained in other steels. An austenitic stainless steel was selected because is not hardenable by heat treatment and is not easy to nitride by gas nitriding. The samples were plastically deformed to 10, 20, 40, 50 AND 70% of their original thickness in order to obtain bulk hardening and to observe nitrogen penetration dependence on it. The results were: an increase of one to two rockwell hardness number (except in 70% deformed sample because of its thickness); an increase of even several hundreds per cent in microhardness knoop number in nitrided surface. The later surely modifies waste resistance which would be worth to quantify in further studies. Microhardness measured in an internal transversal face to nitrided surface had a gradual diminish in its value with depth. Auger microanalysis showed a higher relative concentration rate C N /C F e near the surface giving evidence of nitrogen presence till 250 microns deep. The color metallography etchant used, produced faster corrosion in nitrited regions. Therefore, corrosion studies have to be done before using ion nitrited 316-L under these chemicals. (Author)
Growth and structure of carbide nanorods
International Nuclear Information System (INIS)
Lieber, C.M.; Wong, E.W.; Dai, H.; Maynor, B.W.; Burns, L.D.
1996-01-01
Recent research on the growth and structure of carbide nanorods is reviewed. Carbide nanorods have been prepared by reacting carbon nanotubes with volatile transition metal and main group oxides and halides. Using this approach it has been possible to obtain solid carbide nanorods of TiC, SiC, NbC, Fe 3 C, and BC x having diameters between 2 and 30 nm and lengths up to 20 microm. Structural studies of single crystal TiC nanorods obtained through reactions of TiO with carbon nanotubes show that the nanorods grow along both [110] and [111] directions, and that the rods can exhibit either smooth or saw-tooth morphologies. Crystalline SiC nanorods have been produced from reactions of carbon nanotubes with SiO and Si-iodine reactants. The preferred growth direction of these nanorods is [111], although at low reaction temperatures rods with [100] growth axes are also observed. The growth mechanisms leading to these novel nanomaterials have also been addressed. Temperature dependent growth studies of TiC nanorods produced using a Ti-iodine reactant have provided definitive proof for a template or topotactic growth mechanism, and furthermore, have yielded new TiC nanotube materials. Investigations of the growth of SiC nanorods show that in some cases a catalytic mechanism may also be operable. Future research directions and applications of these new carbide nanorod materials are discussed
Loss-of-flow transient characterization in carbide-fueled LMFBRs
International Nuclear Information System (INIS)
Rothrock, R.B.; Morgan, M.M.; Baars, R.E.; Elson, J.S.; Wray, M.L.
1985-01-01
One of the benefits derived from the use of carbide fuel in advanced Liquid Metal Fast Breeder Reactors (LMFBRs) is a decreased vulnerability to certain accidents. This can be achieved through the combination of advanced fuel performance with the enhanced reactivity feedback effects and passive shutdown cooling systems characteristic of the current 'inherently safe' plant concepts. The calculated core response to an unprotected loss of flow (ULOF) accident has frequently been used as a benchmark test of these designs, and the advantages of a high-conductivity fuel in relation to this type of transient have been noted in previous analyses. To evaluate this benefit in carbide-fueled LMFBRs incorporating representative current plant design features, limited calculations have been made of a ULOF transient in a small ('modular') carbide-fueled LMFBR
Mayet, Abdulilah M.
2016-05-01
The objective of this doctoral thesis is to develop, engineer and investigate an amorphous metal tungsten nitride (aWNx) and to study its functionality for applications focused on electromechanical system at the nano-scale. Charge transport based solid state device oriented complementary metal oxide semiconductor (CMOS) electronics have reached a level where they are scaled down to nearly their fundamental limits regarding switching speed, off state power consumption and the on state power consumption due to the fundamental limitation of sub-threshold slope (SS) remains at 60 mV/dec. NEM switch theoretically and practically offers the steepest sub-threshold slope and practically has shown zero static power consumption due to their physical isolation originated from the nature of their mechanical operation. Fundamental challenges remain with NEM switches in context of their performance and reliability: (i) necessity of lower pull-in voltage comparable to CMOS technology; (ii) operation in ambient/air; (iii) increased ON current and decreased ON resistance; (iv) scaling of devices and improved mechanical and electrical contacts; and (v) high endurance. The “perfect” NEM switch should overcome all the above-mentioned challenges. Here, we show such a NEM switch fabricated with aWNx to show (i) sub-0.3-volt operation; (ii) operation in air and vacuum; (iii) ON current as high as 0.5 mA and ON resistance lower than 5 kΩ; (iv) improved mechanical contact; and the most importantly (v) continuous switching of 8 trillion cycles for more than 10 days with the highest switching speed is 30 nanosecond without hysteresis. In addition, tungsten nitride could be the modern life vine by fulfilling the demand of biodegradable material for sustainable life regime. Transient electronics is a form of biodegradable electronics as it is physically disappearing totally or partially after performing the required function. The fabricated aWNx suites this category very well, despite not
Diaz-Cano, Andres
Boron carbide (B4C) is the third hardest material after diamond and cubic boron nitride. It's unique combination of properties makes B4C a highly valuable material. With hardness values around 35 MPa, a high melting point, 2450°C, density of 2.52 g/cm3, and high chemical inertness, boron carbide is used in severe wear components, like cutting tools and sandblasting nozzles, nuclear reactors' control rots, and finally and most common application, armor. Production of complex-shaped ceramic component is complex and represents many challenges. Present research presents a new and novel approach to produce complex-shaped B4C components. Proposed approach allows forming to be done at room temperatures and under very low forming pressures. Additives and binder concentrations are kept as low as possible, around 5Vol%, while ceramics loadings are maximized above 50Vol%. Given that proposed approach uses water as the main solvent, pieces drying is simple and environmentally safe. Optimized formulation allows rheological properties to be tailored and adjust to multiple processing approaches, including, injection molding, casting, and additive manufacturing. Boron carbide samples then were pressureless sintered. Due to the high covalent character of boron carbide, multiples sintering aids and techniques have been proposed in order to achieve high levels of densification. However, is not possible to define a clear sintering methodology based on literature. Thus, present research developed a comprehensive study on the effect of multiple sintering aids on the densification of boron carbide when pressureless sintered. Relative densities above 90% were achieved with values above 30MPa in hardness. Current research allows extending the uses and application of boron carbide, and other ceramic systems, by providing a new approach to produce complex-shaped components with competitive properties.
Superconducting structure with layers of niobium nitride and aluminum nitride
International Nuclear Information System (INIS)
Murduck, J.M.; Lepetre, Y.J.; Schuller, I.K.; Ketterson, J.B.
1989-01-01
A superconducting structure is formed by depositing alternate layers of aluminum nitride and niobium nitride on a substrate. Deposition methods include dc magnetron reactive sputtering, rf magnetron reactive sputtering, thin-film diffusion, chemical vapor deposition, and ion-beam deposition. Structures have been built with layers of niobium nitride and aluminum nitride having thicknesses in a range of 20 to 350 Angstroms. Best results have been achieved with films of niobium nitride deposited to a thickness of approximately 70 Angstroms and aluminum nitride deposited to a thickness of approximately 20 Angstroms. Such films of niobium nitride separated by a single layer of aluminum nitride are useful in forming Josephson junctions. Structures of 30 or more alternating layers of niobium nitride and aluminum nitride are useful when deposited on fixed substrates or flexible strips to form bulk superconductors for carrying electric current. They are also adaptable as voltage-controlled microwave energy sources. 8 figs
International Nuclear Information System (INIS)
Gao, G.Y.; Yao, K.L.; Liu, Z.L.; Zhang, J.; Min, Y.; Fan, S.W.
2008-01-01
In this Letter, using the first-principles full-potential linearized augmented plane-wave (FP-LAPW) method, we extend the electronic structure and magnetism studies on zinc-blende structure of II-V compounds MX (M=Ca,Sr,Ba; X=N,P,As) [M. Sieberer, J. Redinger, S. Khmelevskyi, P. Mohn, Phys. Rev. B 73 (2006) 024404] to the rock-salt structure. It is found that, in the nine compounds, only alkaline-earth nitrides CaN, SrN and BaN exhibit ferromagnetic half-metallic character with a magnetic moment of 1.00μ B per formula unit. Furthermore, compared with the zinc-blende structure of CaN, SrN and BaN, the rock-salt structure has lower energy, which makes them more promising candidates of possible growth of half-metallic films on suitable substrates
Institute of Scientific and Technical Information of China (English)
Aliakbar Ghadi; Hassan Saghafian; Mansour Soltanieh; Zhi-gang Yang
2017-01-01
The diffusion mechanism of carbide-forming elements from a molten salt bath to a substrate surface was studied in this research, with particular focus on the processes occurring in the molten bath at the time of coating. Metal, oxide, and metal-oxide baths were investi-gated, and the coating process was performed on H13 steel substrates. Scanning electron microscopy and electron-probe microanalysis were used to study the coated samples and the quenched salt bath. The thickness of the carbide coating layer was 6.5 ± 0.5, 5.2 ± 0.5, or 5.7 ± 0.5μm depending on whether it was deposited in a metal, oxide, or metal-oxide bath, respectively. The phase distribution of vanadium-rich regions was 63%, 57%, and 74% of the total coating deposited in metal, oxide, and metal-oxide baths, respectively. The results obtained using the metal bath indicated that undissolved suspended metal particles deposited onto the substrate surface. Then, carbon subsequently diffused to the sub-strate surface and reacted with the metal particles to form the carbides. In the oxide bath, oxide powders dissolved in the bath with or without binding to the oxidative structure (Na2O) of borax; they were then reduced by aluminum and converted into metal particles. We concluded that, in the metal and oxide baths, the deposition of metal particles onto the sample surface is an important step in the formation of the coating.
Directory of Open Access Journals (Sweden)
E. B. Chabina
2014-01-01
Full Text Available Researches by methods of analytical microscopy and the x-ray analysis have allowed to develop a set of standard samples of composition and structure of the strengthening nanostructured and nanolayer coatings for control of the strengthening nanostructured and nanolayer coatings based on nitrides of the metals used to protect critical parts of the compressor of the gas turbine engine from dust erosion, corrosion and oxidation.
Plasma nitriding - an eco friendly surface hardening process
International Nuclear Information System (INIS)
Mukherjee, S.
2015-01-01
Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine
Energy Technology Data Exchange (ETDEWEB)
Nagle, Denis [Johns Hopkins Univ., Baltimore, MD (United States); Zhang, Dajie [Johns Hopkins Univ., Baltimore, MD (United States)
2015-10-22
The focus of this research was concerned with developing materials technology that supports the evolution of Generation IV Advanced High Temperature Reactor (AHTR) concepts. Specifically, we investigate refractory carbide coatings for 1) nickel alloys, and 2) commercial carbon-carbon composites (CCCs). Numerous compelling reasons have driven us to focus on carbon and carbide materials. First, unlike metals, the strength and modulus of CCCs increase with rising temperature. Secondly, graphite and carbon composites have been proven effective for resisting highly corrosive fluoride melts such as molten cryolite [Na₃AlF₆] at ~1000°C in aluminum reduction cells. Thirdly, graphite and carbide materials exhibit extraordinary radiation damage tolerance and stability up to 2000°C. Finally, carbides are thermodynamically more stable in liquid fluoride salt than the corresponding metals (i.e. Cr and Zr) found in nickel based alloys.
Process for ultimate storage of radioactive fission products
International Nuclear Information System (INIS)
Baukal, W.; Gruenthaler, K.H.; Neumann, K.
1980-01-01
In order to exclude cracking in the cooling phase during sealing of radioactive oxidic fission products in glass melts, metallic filling elements - e.g. wires, tissues - are proposed to be incorporated in the mould before the glass melt is poured in. Especially nickel alloys with corrosion proof surface layers, e.g. titanium nitride, silicon carbide, silicon nitride, aluminium oxide, suit best. These elements reduce thermal stresses and effect high thermal conductance towards the mould wall. (UWI) [de
The All Boron Carbide Diode Neutron Detector: Experiment and Modeling Approach
International Nuclear Information System (INIS)
Sabirianov, Ildar F.; Brand, Jennifer I.; Fairchild, Robert W.
2008-01-01
Boron carbide diode detectors, fabricated from two different polytypes of semiconducting boron carbide, will detect neutrons in reasonable agreement with theoretical expectations. The performance of the all boron carbide neutron detector differs, as expected, from devices where a boron rich neutron capture layer is distinct from the diode charge collection region (i.e. a conversion layer solid state detector). Diodes were fabricated from natural abundance boron (20% 10 B and 80% 11 B.) directly on the metal substrates and metal contacts applied to the films as grown. The total boron depth was on the order of 2 microns. This is clearly not a conversion-layer configuration. The diodes were exposed to thermal neutrons generated from a paraffin moderated plutonium-beryllium source in moderated and un-moderated, as well as shielded and unshielded experimental configurations, where the expected energy peaks at at 2.31 MeV and 2.8 MeV were clearly observed, albeit with some incomplete charge collection typical of thinner diode structures. The results are compared with other boron based thin film detectors and literature models. (authors)
Surface modification of the hard metal tungsten carbide-cobalt by boron ion implantation
International Nuclear Information System (INIS)
Mrotchek, I.
2007-01-01
In the present thesis ion beam implantation of boron is studied as method for the increasement of the hardness and for the improvement of the operational characteristics of cutting tools on the tungsten carbide-cobalt base. For the boron implantation with 40 keV energy and ∼5.10 17 ions/cm 2 fluence following topics were shown: The incoerporation of boron leads to a deformation and remaining strain of the WC lattice, which possesses different stregth in the different directions of the elementary cell. The maximum of the deformation is reached at an implantation temperature of 450 C. The segregation of the new phases CoWB and Co 3 W was detected at 900 C implantation temperature. At lower temperatures now new phases were found. The tribological characteristics of WC-Co are improved. Hereby the maxiaml effect was measured for implantation temperatures from 450 C to 700 C: Improvement of the microhardness by the factor 2..2.5, improvement of the wear resistance by the factor 4. The tribological effects extend to larger depths than the penetration depth of the boron implantation profile. The detected property improvements of the hard metal H3 show the possibility of a practical application of boron ion implantation in industry. The effects essential for a wer decreasement are a hardening of the carbide phase by deformation of the lattice, a hardening of the cobalt binding material and the phase boundaries because of the formation of a solid solution of the implanted boron atoms in Co and by this a blocking of the dislocation movement and the rupture spreading under load
Analytical chemistry methods for boron carbide absorber material. [Standard
Energy Technology Data Exchange (ETDEWEB)
DELVIN WL
1977-07-01
This standard provides analytical chemistry methods for the analysis of boron carbide powder and pellets for the following: total C and B, B isotopic composition, soluble C and B, fluoride, chloride, metallic impurities, gas content, water, nitrogen, and oxygen. (DLC)
Dependence of silicon carbide coating properties on deposition parameters: preliminary report
International Nuclear Information System (INIS)
Lauf, R.J.; Braski, D.N.
1980-05-01
Fuel particles for the High-Temperature Gas-Cooled Reactor (HTGR) contain a layer of pyrolytic silicon carbide, which acts as a pressure vessel and provides containment of metallic fission products. The silicon carbide (SiC) is deposited by the thermal decomposition of methyltrichlorosilane (CH 3 SiCl 3 or MTS) in an excess of hydrogen. The purpose of the current study is to determine how the deposition variables affect the structure and properties of the SiC layer
Energy Technology Data Exchange (ETDEWEB)
Roldan, M. A.; Ortega, A.; Palencia, I.; Real, C.
2008-07-01
The transition metal nitride ternary show similar properties to the binary nitride and some times this behaviour are improved. In the present work, the molybdenum-iron nitride has been prepared by reactive grinding form the two metals under nitrogen atmosphere at a pressure of 11 bar. The characterization of the compounds is presented and it is also shown a study of the stability of the nitride under several atmospheres. (Author) 42 refs.
Energy Technology Data Exchange (ETDEWEB)
Yamamoto, Keisuke; Nakashima, Hiroshi, E-mail: nakasima@astec.kyushu-u.ac.jp [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Noguchi, Ryutaro; Wang, Dong [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Mitsuhara, Masatoshi; Nishida, Minoru [Department of Engineering Sciences for Electronics and Materials, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Hara, Toru [National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)
2015-09-21
Electrical and structural properties were investigated for group-4 transition-metal nitride contacts on Ge (TiN/Ge, ZrN/Ge, and HfN/Ge), which were prepared by direct sputter depositions using nitride targets. These contacts could alleviate the intrinsic Fermi-level pinning (FLP) position toward the conduction band edge. It was revealed that this phenomenon is induced by an amorphous interlayer (a-IL) containing nitrogen atoms at the nitride/Ge interfaces. The strength of FLP alleviation positively depended on the thickness of a-IL. TiN/Ge and ZrN/Ge contacts with ∼2 nm-thick a-ILs showed strong FLP alleviations with hole barrier heights (Φ{sub BP}) in the range of 0.52–56 eV, and a HfN/Ge contact with an ∼1 nm-thick a-IL showed a weaker one with a Φ{sub BP} of 0.39 eV. However, TaN/Ge contact without a-IL did not show such FLP alleviation. Based on the results of depth distributions for respective elements, we discussed the formation kinetics of a-ILs at TiN/Ge and ZrN/Ge interfaces. Finally, we proposed an interfacial dipole model to explain the FLP alleviation.
Tungsten carbide and tungsten-molybdenum carbides as automobile exhaust catalysts
International Nuclear Information System (INIS)
Leclercq, L.; Daubrege, F.; Gengembre, L.; Leclercq, G.; Prigent, M.
1987-01-01
Several catalyst samples of tungsten carbide and W, Mo mixed carbides with different Mo/W atom ratios, have been prepared to test their ability to remove carbon monoxide, nitric oxide and propane from a synthetic exhaust gas simulating automobile emissions. Surface characterization of the catalysts has been performed by X-ray photoelectron spectroscopy (XPS) and selective chemisorption of hydrogen and carbon monoxide. Tungsten carbide exhibits good activity for CO and NO conversion, compared to a standard three-way catalyst based on Pt and Rh. However, this W carbide is ineffective in the oxidation of propane. The Mo,W mixed carbides are markedly different having only a very low activity. 9 refs.; 10 figs.; 5 tabs
Present status of uranium-plutonium mixed carbide fuel development for LMFBRs
International Nuclear Information System (INIS)
Handa, Muneo; Suzuki, Yasufumi
1984-01-01
The feature of carbide fuel is that it has the doubling time as short as about 13 years, that is, close to one half as compared with oxide fuel. The development of the carbide fuel in the past 10 years has been started in amazement. Especially in the program of new fuel development in USA started in 1974, He and Na bond fuel attained the burnup of 16 a/o without causing the breaking of cladding tubes. In 1984, the irradiation of the assembly composed of 91 fuel pins in the FFTF is expected. On the other hand in Japan, the fuel research laboratory was constructed in 1974 in the Oarai Laboratory, Japan Atomic Energy Research Institute, to carry out the studies on carbide fuel. In the autumn of 1982, two carbide fuel pins with different chemical composition have been successfully made. Accordingly, the recent status of the development is explained. The uranium-plutonium mixed carbide fuel is suitable to liquid metal-cooled fast breeder reactors because of large heat conductivity and the high density of nuclear fission substances. The thermal and nuclear characteristics of carbide fuel, the features of the reactor core using carbide fuel, the chemical and mechanical interaction of fuel and cladding tubes, the selection of bond materials, the manufacturing techniques for the fuel, the development of the analysis code for fuel behavior, and the research and development of carbide fuel in Japan are described. (Kako, I.)
International Nuclear Information System (INIS)
Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V
2007-01-01
Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology
Institute of Scientific and Technical Information of China (English)
吴朝锋; 马明星; 刘文今; 钟敏霖; 张红军; 张伟明
2009-01-01
Particulate reinforced metal matrix composite(PR-MMC) has excellent properties such as good wear resistance,corrosion resistance and high temperature properties.Laser cladding is usually used to form PR-MMC on metal surface with various volume fractions of ceramic particles.Recent literatures showed that laser melting of powder mixture containing carbon and carbide-forming elements,was favorable for the formation of in-situ synthesized carbide particles.In this paper,rare earth oxide(RE2O3) was added into t...
Novel fabrication of silicon carbide based ceramics for nuclear applications
Singh, Abhishek Kumar
Advances in nuclear reactor technology and the use of gas-cooled fast reactors require the development of new materials that can operate at the higher temperatures expected in these systems. These materials include refractory alloys based on Nb, Zr, Ta, Mo, W, and Re; ceramics and composites such as SiC--SiCf; carbon--carbon composites; and advanced coatings. Besides the ability to handle higher expected temperatures, effective heat transfer between reactor components is necessary for improved efficiency. Improving thermal conductivity of the fuel can lower the center-line temperature and, thereby, enhance power production capabilities and reduce the risk of premature fuel pellet failure. Crystalline silicon carbide has superior characteristics as a structural material from the viewpoint of its thermal and mechanical properties, thermal shock resistance, chemical stability, and low radioactivation. Therefore, there have been many efforts to develop SiC based composites in various forms for use in advanced energy systems. In recent years, with the development of high yield preceramic precursors, the polymer infiltration and pyrolysis (PIP) method has aroused interest for the fabrication of ceramic based materials, for various applications ranging from disc brakes to nuclear reactor fuels. The pyrolysis of preceramic polymers allow new types of ceramic materials to be processed at relatively low temperatures. The raw materials are element-organic polymers whose composition and architecture can be tailored and varied. The primary focus of this study is to use a pyrolysis based process to fabricate a host of novel silicon carbide-metal carbide or oxide composites, and to synthesize new materials based on mixed-metal silicocarbides that cannot be processed using conventional techniques. Allylhydridopolycarbosilane (AHPCS), which is an organometal polymer, was used as the precursor for silicon carbide. Inert gas pyrolysis of AHPCS produces near-stoichiometric amorphous
Microstructural evaluation of the NbC-20Ni cemented carbides during sintering
International Nuclear Information System (INIS)
Rodrigues, D.; Cannizza, E.
2016-01-01
Full text: Fine carbides in a metallic matrix (binder) form the microstructure of the cemented carbides. Grain size and binder content are the main variables to adjust hardness and toughness. These products are produced by Powder Metallurgy, and traditional route involves mixing carbides with binder by high energy milling, pressing and sintering. During sintering, a liquid phase promotes densification, and a final relative density higher than 99% is expected. Sintering is carried out at high temperatures, and dissolution of the carbides changes the chemical composition of the binder. To control grain growth of the main carbide, which reduces hardness, small quantities of secondary carbides are used. These additives limit dissolution and precipitation of the main carbides reducing the final grain size. This paper focused the structural and chemical evolution during sintering using NbC-20Ni cermets. Mixtures of very fine NbC carbides and carbonyl Ni powders were produce by intense milling. These mixtures were pressed using uniaxial pressures from 50 to 200MPa. Shrinkage was evaluated using dilatometric measurements under an atmosphere of dynamic argon. Samples were also sintered under vacuum in high temperature industrial furnace. The sintered samples were characterized in terms of density hardness, toughness and microstructure. DRX was the main tool used to evaluate the structural evolution of the binder. In situ chemical analysis helped to understand the dissolution mechanisms. (author)
Crystallo-chemistry of actinide nitrides (U1-yPuy)N and effect of impurities
International Nuclear Information System (INIS)
Beauvy, M.; Coulon-Picard, E.; Pelletier, M.
2004-01-01
Investigations on actinide nitrides has been done in our Laboratories for Fast Breeder Reactors since the seventies and some properties are reported to show the interest for these fuels. Today, the actinide nitrides are reconsidered as possible fuels for the future fission reactors (GFR and LMFR selected by the international forum Generation IV). The results of new investigations on crystal structure of mixed mono-nitrides (U,Pu)N, and the effects of oxygen and carbon contaminations on this structure are presented. The cubic 'NaCl-fcc' type structure of actinide nitrides AnN with space group O5/h-Fm3m does not respect the 'Vegard law' model for the mixed nitrides (U 1-y Pu y )N. These nitrides are usually considered with strong metallic character associated with partial ionic bonding, but the ionic contribution in the An-N bonding determined in this work is very important and near 41.6% for UN and PuN. From results published on resistivity of mixed nitrides, the data on bonding must be also modified for partial covalence. This is in good agreement with the experimental lattice parameters which are not compatible with dominant metallic bonding. The numbers of bonding electrons in the nitrides (U 1-y Pu y )N are reevaluated and the low values proposed comparatively with those previously published confirm the strong ionic character with high concentration of An 3+ ions. The solubility of oxygen and carbon in actinide nitrides (U 1-y Pu y )N are discussed from measurements on volume concentration of actinide oxide phase, total oxygen and carbon contents, and lattice parameter of nitrides. The oxygen solubility limit in UN is near 1000 ppm, with a lightly higher value of 1200 ppm for the mixed nitride (U 0.8 Pu 0.2 )N. The effects of oxygen or carbon atoms in the lattice of (U 1-y Pu y )N are analysed
Surface impurity removal from DIII-D graphite tiles by boron carbide grit blasting
International Nuclear Information System (INIS)
Lee, R.L.; Hollerbach, M.A.; Holtrop, K.L.; Kellman, A.G.; Taylor, P.L.; West, W.P.
1993-11-01
During the latter half of 1992, the DIII-D tokamak at General Atomics (GA) underwent several modifications of its interior. One of the major tasks involved the removal of accumulated metallic impurities from the surface of the graphite tiles used to line the plasma facing surfaces inside of the tokamak. Approximately 1500 graphite tiles and 100 boron nitride tiles from the tokamak were cleaned to remove the metallic impurities. The cleaning process consisted of several steps: the removed graphite tiles were permanently marked, surface blasted using boron carbide (B 4 C) grit media (approximately 37 μm. diam.), ultrasonically cleaned in ethanol to remove loose dust, and outgassed at 1000 degrees C. Tests were done using, graphite samples and different grit blaster settings to determine the optimum propellant and abrasive media pressures to remove a graphite layer approximately 40-50 μm deep and yet produce a reasonably smooth finish. EDX measurements revealed that the blasting technique reduced the surface Ni, Cr, and Fe impurity levels to those of virgin graphite. In addition to the surface impurity removal, tritium monitoring was performed throughout the cleaning process. A bubbler system was set up to monitor the tritium level in the exhaust gas from the grit blaster unit. Surface wipes were also performed on over 10% of the tiles. Typical surface tritium concentrations of the tiles were reduced from about 500 dpm/100 cm 2 to less than 80 dpm/100 cm 2 following the cleaning. This tile conditioning, and the installation of additional graphite tiles to cover a high fraction of the metallic plasma facing surfaces, has substantially reduced metallic impurities in the plasma discharges which has allowed rapid recovery from a seven-month machine opening and regimes of enhanced plasma energy confinement to be more readily obtained. Safety issues concerning blaster operator exposure to carcinogenic metals and radioactive tritium will also be addressed
Contribution to the study of zirconium self-diffusion in zirconium carbide
International Nuclear Information System (INIS)
An, Chul
1972-01-01
The objective of this research thesis is to determine experimental conditions allowing the measurement of the self-diffusion coefficient of zirconium in zirconium carbide. The author reports the development of a method of preparation of zirconium carbide samples. He reports the use of ion implantation as technique to obtain a radio-tracer coating. The obtained results give evidence of the impossibility to use sintered samples with small grains because of the demonstrated importance of intergranular diffusion. The self-diffusion coefficient is obtained in the case of zirconium carbide with grains having a diameter of few millimetres. The presence of 95 Nb from the disintegration of 95 Zr indicates that these both metallic elements have very close diffusion coefficients at 2.600 C [fr
Kagawa, Hideshi; Fujii, Go; Kajiwara, Kenichi; Kuroda, Daisuke; Suzuki, Takuya; Yamabe-Mitarai, Yoko; Murakami, Hideyuki; Ono, Yoshinori
2012-07-01
Haynes25 (L-605) is a common heat resistant alloy used in mono-propellant structures and screen materials for catalyst beds. The lifetime requirements for thrusters have expanded dramatically after studies conducted in the 1970s on mono-propellant materials used to extend the service life. The material design had long remained unchanged, and the L-605 was still used as thruster material due to its good heritage. However, some important incidents involving degradation were found during the test-unit break-up inspection following the thruster life tests. The Japanese research team focused on the L-605 degradations found on the catalyst bed screen mesh used for mono-propellant thruster and analysed the surface of the wire material and the cross- section of the wire screen mesh used in the life tests. The investigation showed that the degradation was caused by nitriding L-605 component elements. The team suggested that the brittle fracture was attributable to tungsten (W) carbides, which formed primarily in the grain boundaries, and chromium (Cr) nitride, which formed mainly in the parts in contact with the hot firing gas. The team also suggested the installation of a platinum coating on the material surface as a countermeasure L-605 nitric degradation. Inconel 625 is now selected as a mono-propellant structure material due to its marginal raw material characters and cost. The team believes that Inconel 625 does not form W carbides since it contains no tungsten component, but does contain Cr and Fe, which form nitrides easily. Therefore, the team agreed that for the Inconel 625, there was a need to evaluate changes in the microstructure and mechanical properties following exposure to hot nitrogen gases. This paper will describe these changes of Inconel 625.
Electronic properties of Mn-decorated silicene on hexagonal boron nitride
Kaloni, Thaneshwor P.; Gangopadhyay, S.; Jones, Burton; Schwingenschlö gl, Udo; Singh, Nirpendra
2013-01-01
We study silicene on hexagonal boron nitride, using first-principles calculations. Since hexagonal boron nitride is semiconducting, the interaction with silicene is weaker than for metallic substrates. It therefore is possible to open a 50 meV band gap in the silicene. We further address the effect of Mn decoration by determining the onsite Hubbard interaction parameter, which turns out to differ significantly for decoration at the top and hollow sites. The induced magnetism in the system is analyzed in detail.
Electronic properties of Mn-decorated silicene on hexagonal boron nitride
Kaloni, Thaneshwor P.
2013-12-17
We study silicene on hexagonal boron nitride, using first-principles calculations. Since hexagonal boron nitride is semiconducting, the interaction with silicene is weaker than for metallic substrates. It therefore is possible to open a 50 meV band gap in the silicene. We further address the effect of Mn decoration by determining the onsite Hubbard interaction parameter, which turns out to differ significantly for decoration at the top and hollow sites. The induced magnetism in the system is analyzed in detail.
Hafnium carbide formation in oxygen deficient hafnium oxide thin films
Energy Technology Data Exchange (ETDEWEB)
Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)
2016-06-20
On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.
Carbides precipitated from the melt in a Zr-2.5 Nb alloy
International Nuclear Information System (INIS)
Piotrkowski, R.; Garcia, E.A.; Vigna, G.L.; Bermudez, S.E.
1993-01-01
An experimental method is presented which leads to the formation of carbides similar in size (3 to 8 microns) and composition to those observed in some pressure tubes of CANDU type reactors. The method is based on melting the Zr-2.5 Nb alloy in a graphite crucible, where isothermal C diffusion in the Zr-Nb melt took place. It can be inferred that the carbides observed in pressure tubes could be originated in high temperature stages of the manufacture process. Otherwise, they could have been incorporated in the Zr sponge. As a result of the diffusion couple Liquid Zr-2.5 Nb/Solid Graphite, a carbide layer, up to 100μm thick, grew attached to the crucible wall, together with carbide particles whose size was in the some microns range. The smallest particles were arranged in rows determined by the prior β phase grains. The main carbide phase detected was the cubic MC 1-x ; the hexagonal M 2 C was also detected; M for metal. (Author)
III-nitrides, 2D transition metal dichalcogenides, and their heterojunctions
Mishra, Pawan
2017-01-01
Group III-nitride materials have attracted great attention for applications in high efficiency electronic and optoelectronics devices such as high electron mobility transistors, light emitting diodes, and laser diodes. On the other hand, group VI
International Nuclear Information System (INIS)
Nazarova, S.Z.; Gusev, A.I.
2001-01-01
Magnetic susceptibility in disordered and ordered carbides of transition metals (M = Ti, Zr, Hf, Nb, Ta) was studied, the results are generalized. It was ascertained that the change in carbide susceptibility induced by deviation from stoichiometry stems from specific features of electronic spectra of the compounds. The use of magnetic susceptibility for determining structural disorder-order transitions is discussed. It is shown that change in the contribution made by orbital paramagnetism, resulting from short-range order formation, is the reason of decrease in susceptibility of nonstoichiometric carbides during the ordering. Experimentally obtained data on susceptibility permitted evaluating short- and far-range order parameters in NbC y , TaC y , TiC y and HfC y carbides [ru
Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan
2016-01-01
Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.
Directory of Open Access Journals (Sweden)
Paul C. McIntyre
2012-07-01
Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.
Synthesis and phase transformation mechanism of Nb{sub 2}C carbide phases
Energy Technology Data Exchange (ETDEWEB)
Vishwanadh, B., E-mail: visubathula@gmail.com [Materials Science Division, Bhabha Atomic Research Centre, Mumbai 400 094 (India); Murthy, T.S.R.Ch. [Materials Processing Division, Bhabha Atomic Research Centre, Mumbai 400 094 (India); Arya, A.; Tewari, R.; Dey, G.K. [Materials Science Division, Bhabha Atomic Research Centre, Mumbai 400 094 (India)
2016-06-25
In the present work, Niobium carbide samples were prepared through powder metallurgy route using spark plasma sintering technique. Some of these samples were heat treated at 900 °C up to 7 days. In order to investigate the phase transformation in Nb{sub 2}C carbide, the as-prepared and heat treated samples were characterized by X-ray diffraction, scanning electron microscopy and electron back scattered diffraction (EBSD) and transmission electron microscopy techniques. EBSD could index the same area of the sample in terms of any of the three allotropes of Nb{sub 2}C carbide phases (γ-Nb{sub 2}C, β-Nb{sub 2}C and α-Nb{sub 2}C) with good confidence index. From the EBSD patterns orientation relationships (OR) among γ, β and α-Nb{sub 2}C have been determined. Based on this OR when crystals of the three allotropes were superimposed, it has revealed that the basic Nb metal atom lattice (hcp lattice) in all the Nb{sub 2}C phases is same. The only difference exists in the carbides is the ordering of carbon atoms and vacancies in the octahedral positions of the hcp Nb metal atom lattice. Crystallographic analysis showed that for the transformation of γ-Nb{sub 2}C → β-Nb{sub 2}C → α-Nb{sub 2}C, large movement of Nb atoms is not required; but only by ordering of carbon atoms ensues the phase transformation. Literature shows that in the Nb–C system formation of the α-Nb{sub 2}C is not well established. Therefore, first principle calculations were carried out on these carbides. It revealed that the formation energy for α-Nb{sub 2}C is lower than the β and γ-Nb{sub 2}C carbides which indicate that the formation of α-Nb{sub 2}C is thermodynamically feasible. - Highlights: • Nb{sub 2}C carbide was produced by Spark Plasma Sintering in a single process. • Phase transformation mechanism of different Nb{sub 2}C carbide phases is studied. • In all the three Nb{sub 2}C carbides (γ, β, α), the base Nb lattice remains same. • Among γ, β and α-Nb{sub 2}C
International Nuclear Information System (INIS)
Zou, Bin; Ji, Wenbin; Huang, Chuanzhen; Wang, Jun; Li, Shasha; Xu, Kaitao
2014-01-01
Highlights: • The superfine carbides determined the mechanical properties of composites. • Superfine HfC or TaC caused some oxide impurities in composites. • Superfine VC or NbC refined and homogenized the microstructure. • Failure of composites containing HfC or TaC was produced by larger grains. • Composite containing VC exhibited more bridging and transcrystalline failure. -- Abstract: A study to increase the mechanical properties of TiB 2 –TiC+Al 2 O 3 composite ceramic cutting tool material by using superfine refractory carbide additives is presented. Four superfine refractory carbides are considered to investigate their effects on the phase composition, element distribution, grain size, fracture surface, crack propagation of the metal ceramic. The physicochemical properties of superfine carbides, such as chemical activities and atom radius, were found to have the significant effects on the microstructure and mechanical properties of the metal ceramic. Hafnium carbide (HfC) and Tantalum carbide (TaC) reduced the mechanical properties of the metal ceramic because of their poor solubility with the Ni binder phase and the formation of oxides. The mechanical properties of the metal ceramic were increased by the addition of superfine niobium carbide (NbC) and vanadium carbide (VC), and their optimum values were a flexural strength of 1100 ± 62 MPa, fracture toughness of 8.5 ± 0.8 MPa.m1/2 and hardness of 21.53 ± 0.36 GPa, respectively, when 3.2 wt% superfine VC was used
GEN IV: Carbide Fuel Elaboration for the 'Futurix Concepts' experiment
International Nuclear Information System (INIS)
Vaudez, Stephane; Riglet-Martial, Chantal; Paret, Laurent; Abonneau, Eric
2008-01-01
In order to collect information on the behaviour of the future GFR (Gas Fast Reactor) fuel under fast neutron irradiation, an experimental irradiation program, called 'Futurix-concepts' has been launched at the CEA. The considered concept is a composite material made of a fissile fuel embedded in an inert ceramic matrix. Fissile fuel pellets are made of UPuN or UPuC while ceramics are SiC for the carbide fuel and TiN for the nitride fuel. This paper focuses on the description of the carbide composite fabrication. The UPuC pellets are manufactured using a metallurgical powder process. Fabrication and handling of the fuels are carried out in glove boxes under a nitrogen atmosphere. Carbide fuel is synthesized by carbo-thermic reduction under vacuum of a mixture of actinide oxide and graphitic carbon up to 1550 deg. C. After ball milling, the UPuC powder is pressed to create hexagonal or spherical compacts. They are then sintered up to 1750 deg. C in order to obtain a density of 85 % of the theoretical one. The sintered pellets are inserted into an inert and tight capsule of SiC. In order to control the gap between the fuel and the matrix precisely, the pellets are abraded. The inert matrix is then filled with the pellets and the whole system is sealed by a BRASiC R process at high temperature under a helium atmosphere. Fabrication of the sample to be irradiated was done in 2006 and the irradiation began in May 2007 in the Phenix reactor. This presentation will detail and discuss the results obtained during this fabrication phase. (authors)
DEFF Research Database (Denmark)
Mohammadzadeh, Roghayeh; Akbari, Alireza; Grumsen, Flemming Bjerg
2017-01-01
Chromium-rich nitride precipitates in production of nickel-free austenitic stainless steel plates via pressurised solution nitriding of Fe–22.7Cr–2.4Mo ferritic stainless steel at 1473 K (1200 °C) under a nitrogen gas atmosphere was investigated. The microstructure, chemical and phase composition......, morphology and crystallographic orientation between the resulted austenite and precipitates were investigated using optical microscopy, X-ray Diffraction (XRD), Scanning and Transmission Electron Microscopy (TEM) and Electron Back Scatter Diffraction (EBSD). On prolonged nitriding, Chromium-rich nitride...... precipitates were formed firstly close to the surface and later throughout the sample with austenitic structure. Chromium-rich nitride precipitates with a rod or strip-like morphology was developed by a discontinuous cellular precipitation mechanism. STEM-EDS analysis demonstrated partitioning of metallic...
Properties of carbides and hard metals. Pt. 1
International Nuclear Information System (INIS)
Nazare, S.; Ondracek, G.
1977-01-01
Measurements of the electrical resistivity and of the Young modulus are reported for the carbides TiC, HfC, NbC, and TaC. The investigations included the solid solutions TiC-HfC, HfC-NbC, TaC-NbC, and TiC-NbC. For the solid solutions, measurements of the thermal expansion in the range 293-1173 K are also reported. All the samples were prepared by hot pressing of the commercial powders in graphite dies. The chemical composites are also presented. The measurement results are shown in figures. (GSC) [de
Energy Technology Data Exchange (ETDEWEB)
Conte, R [Commissariat a l' Energie Atomique, Fontenay-aux-Roses (France). Centre d' Etudes Nucleaires
1964-07-15
The experimental results that make it possible to define the band structure of transition metal carbides having an NaCI structure are still very few. We have measured the electronic specific heat of some of these carbides of varying electronic concentration (TiC, either stoichiometric or non-stoichiometric, TaC and mixed (Ti, Ta) - C). We give the main characteristics (metallography, resistivity, X-rays) of our samples and we describe the low temperature specific heat apparatus which has been built. In one of these we use helium as the exchange gas. The other is set up with a mechanical contact. The two use a germanium probe for thermometer. The measurement of the temperature using this probe is described, as well as the various measurement devices. The results are presented in the form of a rigid band model and show that the density of the states at the Fermi level has a minimum in the neighbourhood of the group IV carbides. (author) [French] Les donnees experimentales permettant de preciser la structure de bandes des carbures de metaux de transition de structure NaCI sont encore peu.nombreuses. Nous avons mesure la chaleur specifique electronique de certains de ces carbures, de differentes concentrations electroniques (TiC stoechiometrique ou non, TaC et mixtes (Ti, Ta) - C). Nous donnons les principales caracteristiques (metallographie, resistivite, rayon X), de nos echantillons, et nous decrivons l'appareillage de chaleur specifique a basse temperature realise. Dans l'un nous utilisons l'helium comme gaz d'echange. L'autre est monte avec un contact mecanique. Les deux utilisent une sonde au germanium comme thermometre. La mesure de la resistance de cette sonde est decrite, ainsi que les differents montages de mesure. Les resultats, presentes dans un modele de bande rigide, font apparaitre que la densite des etats au niveau de Fermi presente un minimum au voisinage des carbures du groupe IV. (auteur)
Analysis of carbides and inclusions in high speed tool steels
DEFF Research Database (Denmark)
Therkildsen, K.T.; Dahl, K.V.
2002-01-01
The fracture surfaces of fatigued specimens were investigated using scanning electron microscopy (SEM) and energy dispersive x-ray spectroscopy (EDS). The aim was to quantify the distribution of cracked carbides and non-metallic inclusions on the fracturesurfaces as well as on polished cross...
Manhattan Project Technical Series The Chemistry of Uranium (I) Chapters 1-10
International Nuclear Information System (INIS)
Rabinowitch, E. I.; Katz, J. J.
1946-01-01
This constitutes Chapters 1 through 10. inclusive, of The Survey Volume on Uranium Chemistry prepared for the Manhattan Project Technical Series. Chapters are titled: Nuclear Properties of Uranium; Properties of the Uranium Atom; Uranium in Nature; Extraction of Uranium from Ores and Preparation of Uranium Metal; Physical Properties of Uranium Metal; Chemical Properties of Uranium Metal; Intermetallic Compounds and Alloy systems of Uranium; the Uranium-Hydrogen System; Uranium Borides, Carbides, and Silicides; Uranium Nitrides, Phosphides, Arsenides, and Antimonides.
Nitride alloy layer formation of duplex stainless steel using nitriding process
Maleque, M. A.; Lailatul, P. H.; Fathaen, A. A.; Norinsan, K.; Haider, J.
2018-01-01
Duplex stainless steel (DSS) shows a good corrosion resistance as well as the mechanical properties. However, DSS performance decrease as it works under aggressive environment and at high temperature. At the mentioned environment, the DSS become susceptible to wear failure. Surface modification is the favourable technique to widen the application of duplex stainless steel and improve the wear resistance and its hardness properties. Therefore, the main aim of this work is to nitride alloy layer on the surface of duplex stainless steel by the nitriding process temperature of 400°C and 450°C at different time and ammonia composition using a horizontal tube furnace. The scanning electron microscopy and x-ray diffraction analyzer are used to analyse the morphology, composition and the nitrided alloy layer for treated DSS. The micro hardnesss Vickers tester was used to measure hardness on cross-sectional area of nitrided DSS. After nitriding, it was observed that the hardness performance increased until 1100 Hv0.5kgf compared to substrate material of 250 Hv0.5kgf. The thickness layer of nitride alloy also increased from 5μm until 100μm due to diffusion of nitrogen on the surface of DSS. The x-ray diffraction results showed that the nitride layer consists of iron nitride, expanded austenite and chromium nitride. It can be concluded that nitride alloy layer can be produced via nitriding process using tube furnace with significant improvement of microstructural and hardness properties.
Origin of interfacial charging in irradiated silicon nitride capacitors
International Nuclear Information System (INIS)
Hughes, R.C.
1984-01-01
Many experiments show that when metal-silicon nitride-silicon dioxide-silicon (MNOS) devices are irradiated in short circuit, a large interfacial charge builds up near the nitride-SiO 2 -Si interface. This effect cannot be explained by simple models of radiation-induced conductivity of the nitride, but it is reported here that inclusion of carrier diffusion and recombination in the photoconductivity equations can predict the observed behavior. Numerical solutions on a computer are required, however, when these complications are added. The simulations account for the magnitude and radiation dose dependence of the results, as well as the occurrence of a steady state during the irradiation. The location of the excess trapped charge near the interface is also predicted, along with the large number of new traps which must be introduced to influence the steady-state charge distribution
Dépinoy, Sylvain; Toffolon-Masclet, Caroline; Urvoy, Stéphane; Roubaud, Justine; Marini, Bernard; Roch, François; Kozeschnik, Ernst; Gourgues-Lorenzon, Anne-Françoise
2017-05-01
The effect of the tempering heat treatment, including heating prior to the isothermal step, on carbide precipitation has been determined in a 2.25 Cr-1 Mo bainitic steel for thick-walled applications. The carbides were identified using their amount of metallic elements, morphology, nucleation sites, and diffraction patterns. The evolution of carbide phase fraction, morphology, and composition was investigated using transmission electron microscopy, X-ray diffraction, as well as thermodynamic calculations. Upon heating, retained austenite into the as-quenched material decomposes into ferrite and cementite. M7C3 carbides then nucleate at the interface between the cementite and the matrix, triggering the dissolution of cementite. M2C carbides precipitate separately within the bainitic laths during slow heating. M23C6 carbides precipitate at the interfaces (lath boundaries or prior austenite grain boundaries) and grow by attracting nearby chromium atoms, which results in the dissolution of M7C3 and, depending on the temperature, coarsening, or dissolution of M2C carbides, respectively.
Huang, Yuhong; Wei, Oiang; Chu, Chung-tse; Zheng, Haixing
2001-01-01
Metal nitride, carbonitride, and oxycarbonitride powder with high surface area (up to 150 m.sup.2 /g) is prepared by using sol-gel process. The metal organic precursor, alkoxides or amides, is synthesized firstly. The metal organic precursor is modified by using unhydrolyzable organic ligands or templates. A wet gel is formed then by hydrolysis and condensation process. The solvent in the wet gel is then be removed supercritically to form porous amorphous hydroxide. This porous hydroxide materials is sintered to 725.degree. C. under the ammonia flow and porous nitride powder is formed. The other way to obtain high surface area nitride, carbonitride, and oxycarbonitride powder is to pyrolyze polymerized templated metal amides aerogel in an inert atmosphere. The electrochemical capacitors are prepared by using sol-gel prepared nitride, carbonitride, and oxycarbonitride powder. Two methods are used to assemble the capacitors. Electrode is formed either by pressing the mixture of nitride powder and binder to a foil, or by depositing electrode coating onto metal current collector. The binder or coating is converted into a continuous network of electrode material after thermal treatment to provide enhanced energy and power density. Liquid electrolyte is soaked into porous electrode. The electrochemical capacitor assembly further has a porous separator layer between two electrodes/electrolyte and forming a unit cell.
Nanoporous, Metal Carbide, Surface Diffusion Membranes for High Temperature Hydrogen Separations
Energy Technology Data Exchange (ETDEWEB)
Way, J. Douglas [Colorado School of Mines, Golden, CO (United States). Dept. of Chemical and Biological Engineering; Wolden, Colin A. [Colorado School of Mines, Golden, CO (United States)
2013-09-30
Colorado School of Mines (CSM) developed high temperature, hydrogen permeable membranes that contain no platinum group metals with the goal of separating hydrogen from gas mixtures representative of gasification of carbon feedstocks such as coal or biomass in order to meet DOE NETL 2015 hydrogen membrane performance targets. We employed a dual synthesis strategy centered on transition metal carbides. In the first approach, novel, high temperature, surface diffusion membranes based on nanoporous Mo2C were fabricated on ceramic supports. These were produced in a two step process that consisted of molybdenum oxide deposition followed by thermal carburization. Our best Mo2C surface diffusion membrane achieved a pure hydrogen flux of 367 SCFH/ft2 at a feed pressure of only 20 psig. The highest H2/N2 selectivity obtained with this approach was 4.9. A transport model using “dusty gas” theory was derived to describe the hydrogen transport in the Mo2C coated, surface diffusion membranes. The second class of membranes developed were dense metal foils of BCC metals such as vanadium coated with thin (< 60 nm) Mo2C catalyst layers. We have fabricated a Mo2C/V composite membrane that in pure gas testing delivered a H2 flux of 238 SCFH/ft2 at 600 °C and 100 psig, with no detectable He permeance. This exceeds the 2010 DOE Target flux. This flux is 2.8 times that of pure Pd at the same membrane thickness and test conditions and over 79% of the 2015 flux target. In mixed gas testing we achieved a permeate purity of ≥99.99%, satisfying the permeate purity milestone, but the hydrogen permeance was low, ~0.2 SCFH/ft2.psi. However, during testing of a Mo2C coated Pd alloy membrane with DOE 1 feed gas mixture a hydrogen permeance of >2 SCFH/ft2.psi was obtained which was stable during the entire test, meeting the permeance associated with
Metallurgical response of an AISI 4140 steel to different plasma nitriding gas mixtures
Directory of Open Access Journals (Sweden)
Adão Felipe Oliveira Skonieski
2013-01-01
Full Text Available Plasma nitriding is a surface modification process that uses glow discharge to diffuse nitrogen atoms into the metallic matrix of different materials. Among the many possible parameters of the process, the gas mixture composition plays an important role, as it impacts directly the formed layer's microstructure. In this work an AISI 4140 steel was plasma nitrided under five different gas compositions. The plasma nitriding samples were characterized using optical and scanning electron microscopy, microhardness test, X-ray diffraction and GDOES. The results showed that there are significant microstructural and morphological differences on the formed layers depending on the quantity of nitrogen and methane added to the plasma nitriding atmosphere. Thicknesses of 10, 5 and 2.5 µm were obtained when the nitrogen content of the gas mixtures were varied. The possibility to obtain a compound layer formed mainly by γ'-Fe4N nitrides was also shown. For all studied plasma nitriding conditions, the presence of a compound layer was recognized as being the responsible to hinder the decarburization on the steel surface. The highest value of surface hardness - 1277HV - were measured in the sample which were nitrided with 3vol.% of CH4.
Performance of HVOF carbide coatings under erosion/corrosion
International Nuclear Information System (INIS)
Simard, S.; Arsenault, B.; Legoux, J.G.; Hawthorne, H.M.
1999-01-01
Cermet based materials are known to have an excellent performance under several wear conditions. High velocity oxy-fuel (HVOF) technology allows the deposition of such hard materials in the form of protective coatings onto different surfaces. Under slurry erosion, the performance of the coatings is influenced by the occurrence of corrosion reactions on the metallic matrix. Indeed, wet conditions promote the dissolution of metallic binder resulting in a potential synergic effect between the corrosion and wear mechanisms. The composition of the metallic matrix plays a key role on the stability of the coatings and their degradation rate. In this work, four coatings based on tungsten carbide embedded in different metallic binders were evaluated with regard to corrosion and wear. (author)
Layered lithium transition metal nitrides as novel anodes for lithium secondary batteries
International Nuclear Information System (INIS)
Liu Yu; Horikawa, Kumi; Fujiyosi, Minako; Imanishi, Nobuyuki; Hirano, Atsushi; Takeda, Yasuo
2004-01-01
We report the approach to overcome the deterrents of the hexagonal Li 2.6 Co 0.4 N as potential insertion anode for lithium ion batteries: the rapid capacity fading upon long cycles and the fully Li-rich state before cycling. Research reveals that the appropriate amount of Co substituted by Cu can greatly improve the cycling performance of Li 2.6 Co 0.4 N. It is attributed to the enhanced electrochemical stability and interfacial comparability. However, doped Cu leads to a slightly decreased capacity. High energy mechanical milling (HEMM) was found to effectively improve the reversible capacity associated with the electrochemical kinetics by modifying the active hosts' morphology characteristics. Moreover, the composite based on mesocarbon microbead (MCMB) and Li 2.6 Co 0.4 N was developed under HEMM. The composite demonstrates a high first cycle efficiency at 100% and a large reversible capacity of ca. 450 mAh g -1 , as well as a stable cycling performance. This work may contribute to a development of the lithium transition metal nitrides as novel anodes for lithium ion batteries
Fantozzi, D.; Matikainen, V.; Uusitalo, M.; Koivuluoto, H.; Vuoristo, P.
2018-01-01
Highly corrosion- and wear-resistant thermally sprayed chromium carbide (Cr3C2)-based cermet coatings are nowadays a potential highly durable solution to allow traditional fluidized bed combustors (FBC) to be operated with ecological waste and biomass fuels. However, the heat input of thermal spray causes carbide dissolution in the metal binder. This results in the formation of carbon saturated metastable phases, which can affect the behavior of the materials during exposure. This study analyses the effect of carbide dissolution in the metal matrix of Cr3C2-50NiCrMoNb coatings and its effect on chlorine-induced high-temperature corrosion. Four coatings were thermally sprayed with HVAF and HVOF techniques in order to obtain microstructures with increasing amount of carbide dissolution in the metal matrix. The coatings were heat-treated in an inert argon atmosphere to induce secondary carbide precipitation. As-sprayed and heat-treated self-standing coatings were covered with KCl, and their corrosion resistance was investigated with thermogravimetric analysis (TGA) and ordinary high-temperature corrosion test at 550 °C for 4 and 72 h, respectively. High carbon dissolution in the metal matrix appeared to be detrimental against chlorine-induced high-temperature corrosion. The microstructural changes induced by the heat treatment hindered the corrosion onset in the coatings.
Explosion of lithium-thionyl-chloride battery due to presence of lithium nitride
DEFF Research Database (Denmark)
Hennesø, E.; Hedlund, Frank Huess
2015-01-01
An explosion of a lithium–thionyl-chloride (Li–SOCl2) battery during production (assembly) leads to serious worker injury. The accident cell batch had been in a dry-air intermediate storage room for months before being readied with thionyl chloride electrolyte. Metallic lithium can react...... with atmospheric nitrogen to produce lithium nitride. Nodules of lithium nitride were found to be present on the lithium foil in other cells of the accident batch. The investigation attributed the explosion to the formation of porous lithium nitride during intermediate storage and a violent exothermal...... decomposition with the SOCl2–LiAlCl4 electrolyte triggered by welding. The literature is silent on hazards of explosion of Li–SOCl2 cells associated with the presence of lithium nitride. The silence is intriguing. Possible causes may be that such explosions are very rare, that explosions go unpublished...
Nanopillar arrays of amorphous carbon nitride
Sai Krishna, Katla; Pavan Kumar, B. V. V. S.; Eswaramoorthy, Muthusamy
2011-07-01
Nanopillar arrays of amorphous carbon nitride have been prepared using anodic aluminum oxide (AAO) membrane as a template. The amine groups present on the surface of these nanopillars were exploited for functionalization with oleic acid in order to stabilize the nanostructure at the aqueous-organic interface and also for the immobilization of metal nanoparticles and protein. These immobilised nanoparticles were found to have good catalytic activity.
Limmer, Krista; Medvedeva, Julia
2013-03-01
Carbide formation and stabilization in steels is of great interest owing to its effect on the microstructure and properties of the Fe-based alloys. The appearance of carbides with different metal/C ratios strongly depends on the carbon concentration, alloy composition as well as the heat treatment. Strong carbide-forming elements such as Ti, V, and Nb have been used in microalloyed steels; with VC showing an increased solubility in the iron matrix as compared with TiC and NbC. This allows for dissolution of the VC into the steel during heating and fine precipitation during cooling. In addition to VC, the primary vanadium carbide with cubic structure, a wide range of non-stoichiometric compositions VCy with y varying from 0.72 to 0.88, has been observed. This range includes two ordered compounds, V8C7 and V6C5. In this study, first-principles density functional theory (DFT) is employed to examine the stability of the binary carbides by calculating their formation energies. We compare the local structures (atomic coordination, bond distances and angles) and the density of states in optimized geometries of the carbides. Further, the effect of alloying additions, such as niobium and titanium, on the carbide stabilization is investigated. We determine the energetically preferable substitutional atom location in each carbide and study the impurity distribution as well as its role in the carbide formation energy and electronic structure.
DEFF Research Database (Denmark)
Meyer, Simon; Nikiforov, Aleksey V.; Petrushina, Irina M.
2015-01-01
One limitation for large scale water electrolysis is the high price of the Pt cathode catalyst. Transition metal carbides, which are considered as some of the most promising non-Pt catalysts, are less active than Pt at room temperature. The present work demonstrates that the situation is different......C > TaC. Copyright (C) 2014, Hydrogen Energy Publications, LLC. Published by Elsevier Ltd. All rights reserved....
Silicon carbide: A unique platform for metal-oxide-semiconductor physics
Energy Technology Data Exchange (ETDEWEB)
Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)
2015-06-15
A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.
Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers
Energy Technology Data Exchange (ETDEWEB)
Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin
1998-09-22
Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.
Chemical trends of Schottky barrier behavior on monolayer hexagonal B, Al, and Ga nitrides
Lu, Haichang; Guo, Yuzheng; Robertson, John
2016-08-01
The Schottky Barrier Heights (SBH) of metal layers on top of monolayer hexagonal X-nitrides (X = B, Al, Ga, and h-XN) are calculated using supercells and density functional theory so as to understand the chemical trends of contact formation on graphene and the 2D layered semiconductors such as the transition metal dichalcogenides. The Fermi level pinning factor S of SBHs on h-BN is calculated to be nearly 1, indicating no pinning. For h-AlN and h-GaN, the calculated pinning factor is about 0.63, less than for h-BN. We attribute this to the formation of stronger, chemisorptive bonds between the nitrides and the contact metal layer. Generally, the h-BN layer remains in a planar sp2 geometry and has weak physisorptive bonds to the metals, whereas h-AlN and h-GaN buckle out of their planar geometry which enables them to form the chemisorptive bonds to the metals.
Explosion of lithium-thionyl-chloride battery due to presence of lithium nitride
Hennesø, E.; Hedlund, Frank Huess
2015-01-01
An explosion of a lithium–thionyl-chloride (Li–SOCl2) battery during production (assembly) leads to serious worker injury. The accident cell batch had been in a dry-air intermediate storage room for months before being readied with thionyl chloride electrolyte. Metallic lithium can react with atmospheric nitrogen to produce lithium nitride. Nodules of lithium nitride were found to be present on the lithium foil in other cells of the accident batch. The investigation attributed the explosion t...
International Nuclear Information System (INIS)
Escobar Galindo, R.; Gago, R.; Fornies, E.; Munoz-Martin, A.; Climent Font, A.; Albella, J.M.
2006-01-01
In this work, we address the capability of glow discharge optical emission spectroscopy (GDOES) for fast and accurate depth profiling of multilayer nitride coatings down to the nanometer range. This is shown by resolving the particular case of CrN/AlN structures with individual thickness ranging from hundreds to few nanometers. In order to discriminate and identify artefacts in the GDOES depth profile due to the sputtering process, the layered structures were verified by Rutherford backscattering spectrometry (RBS) and scanning electron microscopy (SEM). The interfaces in the GDOES profiles for CrN/AlN structures are sharper than the ones measured for similar metal multilayers due to the lower sputtering rate of the nitrides. However, as a consequence of the crater shape, there is a linear degradation of the depth resolution with depth (approximately 40 nm/μm), saturating at a value of approximately half the thickness of the thinner layer. This limit is imposed by the simultaneous sputtering of consecutive layers. The ultimate GDOES depth resolution at the near surface region was estimated to be of 4-6 nm
Neutron detection using boron gallium nitride semiconductor material
Directory of Open Access Journals (Sweden)
Katsuhiro Atsumi
2014-03-01
Full Text Available In this study, we developed a new neutron-detection device using a boron gallium nitride (BGaN semiconductor in which the B atom acts as a neutron converter. BGaN and gallium nitride (GaN samples were grown by metal organic vapor phase epitaxy, and their radiation detection properties were evaluated. GaN exhibited good sensitivity to α-rays but poor sensitivity to γ-rays. Moreover, we confirmed that electrons were generated in the depletion layer under neutron irradiation. This resulted in a neutron-detection signal after α-rays were generated by the capture of neutrons by the B atoms. These results prove that BGaN is useful as a neutron-detecting semiconductor material.
Hydrogen diffusion, dissolution and permeation of nonmetallic solids
International Nuclear Information System (INIS)
Elleman, T.S.; Rao, D.; Verghese, K.; Zumwalt, L.
1979-01-01
A review of hydrogen diffusion, dissolution and permeation in metal oxides, carbides, nitrides, halides and hydrides is presented. Results are organized by compound and an effort has been made to resolve differences between measured results where wide disparities exist. The document has been prepared to provide needed data for the development of fusion reactor blankets but the results should be generally useful in technologies that involve interactions between hydrogen and non-metals
Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro
2018-04-01
Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.
A study of nitride formation during the oxidation of titanium-tantalum alloys
International Nuclear Information System (INIS)
Hanrahan, R.J. Jr.; Lu, Y.C.; Kung, H.; Butt, D.P.
1996-01-01
The oxidation rates of Ti rich titanium-tantalum alloys are significantly lower in air than in oxygen. This nitrogen effect has been shown to be associated with the formation of a nitride layer at or near the scale-metal interface. In the present work the authors used transmission electron microscopy and microdiffraction to identify the nitrides formed on Ti5Ta and Ti40Ta (5 and 40 weight percent Ta alloys) during identical exposures. In both alloys the nitride develops in contact with the oxygen stabilized α-phase in the substrate. In Ti5Ta a continuous layer of TiN forms, while in Ti40Ta a discontinuous layer of Ti 2 N interspersed with Ta 2 O 5 (formed from the Ta rich β-phase) is formed. The nitride layer acts as an oxygen diffusion barrier, reducing the dissolution of oxygen in the substrate
Active Control of Nitride Plasmonic Dispersion in the Far Infrared.
Energy Technology Data Exchange (ETDEWEB)
Shaner, Eric A.; Dyer, Gregory Conrad; Seng, William Francis; Bethke, Donald Thomas; Grine, Albert Dario,; Baca, Albert G.; Allerman, Andrew A.
2014-11-01
We investigate plasmonic structures in nitride-based materials for far-infrared (IR) applications. The two dimensional electron gas (2DEG) in the GaN/AlGaN material system, much like metal- dielectric structures, is a patternable plasmonic medium. However, it also permits for direct tunability via an applied voltage. While there have been proof-of-principle demonstrations of plasma excitations in nitride 2DEGs, exploration of the potential of this material system has thus far been limited. We recently demonstrated coherent phenomena such as the formation of plasmonic crystals, strong coupling of tunable crystal defects to a plasmonic crystal, and electromagnetically induced transparency in GaAs/AlGaAs 2DEGs at sub-THz frequencies. In this project, we explore whether these effects can be realized in nitride 2DEG materials above 1 THz and at temperatures exceeding 77 K.
Aghajani, Hossein
2017-01-01
This book focuses on the effect of plasma nitriding on the properties of steels. Parameters of different grades of steels are considered, such as structural and constructional steels, stainless steels and tools steels. The reader will find within the text an introduction to nitriding treatment, the basis of plasma and its roll in nitriding. The authors also address the advantages and disadvantages of plasma nitriding in comparison with other nitriding methods. .
Kinetics of the nitridation of dysprosium during mechanochemical processing
Energy Technology Data Exchange (ETDEWEB)
Alanko, Gordon A.; Osterberg, Daniel D.; Jaques, Brian J. [Department of Materials Science and Engineering, College of Engineering, Boise State University, 1910 University Drive, Boise, ID 83725 (United States); Hurley, Michael F. [Department of Materials Science and Engineering, College of Engineering, Boise State University, 1910 University Drive, Boise, ID 83725 (United States); Center for Advanced Energy Studies, 995 University Boulevard, Idaho Falls, ID 83401 (United States); Butt, Darryl P., E-mail: darrylbutt@boisestate.edu [Department of Materials Science and Engineering, College of Engineering, Boise State University, 1910 University Drive, Boise, ID 83725 (United States); Center for Advanced Energy Studies, 995 University Boulevard, Idaho Falls, ID 83401 (United States)
2015-01-25
Highlights: • DyN was mechanochemically synthesized by milling pure metal under nitrogen. • Temperature and pressure were monitored to investigate reaction progress. • The effects of metal adhered to media on the impact energetics was measured. • The reactive milling kinetics are described in terms of reactive surface formation. - Abstract: Dysprosium nitride was synthesized by the reactive milling of the rare earth metal under 400 kPa nitrogen gas in a planetary ball mill. The nitrogen consumption rate was calculated from in situ temperature and pressure measurements to find the reaction extent as a function of milling time at milling speeds from 350 to 650 rpm. The results are analyzed in terms of a fundamental milling dynamics model in which the input milling energy is the primary driving force for reaction and the rate limiting step of the nitridation kinetics is the formation of chemically active surfaces. The model differs from traditional gas–solid reactions which are often limited by diffusion of a species through a surface layer or by dissociation of the gas molecule. These results give fresh insight into reactive gas–solid milling kinetics.
International Nuclear Information System (INIS)
Anon.
1978-01-01
The principal properties of point defects are studied: thermodynamics, electronic structure, interactions with etended defects, production by irradiation. Some measuring methods are presented: atomic diffusion, spectroscopic methods, diffuse scattering of neutron and X rays, positron annihilation, molecular dynamics. Then points defects in various materials are investigated: ionic crystals, oxides, semiconductor materials, metals, intermetallic compounds, carbides, nitrides [fr
Energy Technology Data Exchange (ETDEWEB)
Greczynski, G., E-mail: grzgr@ifm.liu.se [Thin Film Physics Division, Department of Physics (IFM), Linköping University, SE-581 83 Linköping (Sweden); Primetzhofer, D. [Department of Physics and Astronomy, The Ångström Laboratory, Uppsala University, P.O. Box 516, SE-751 20 Uppsala (Sweden); Lu, J.; Hultman, L. [Thin Film Physics Division, Department of Physics (IFM), Linköping University, SE-581 83 Linköping (Sweden)
2017-02-28
Highlights: • First non-destructive measurements of XPS core level binding energies for group IVb-VIb transition metal nitrides are presented. • All films are grown under the same conditions and analyzed in the same instrument, providing a useful reference for future XPS studies. • Extracted core level BE values are more reliable than those obtained from sputter-cleaned N-deficient surfaces. • Comparison to Ar+-etched surfaces reveals that even mild etching conditions result in the formation of a nitrogen-deficient surface layer. • The N/metal concentration ratios from capped samples are found to be 25-90% higher than those from the corresponding ion-etched surfaces. - Abstract: We present the first measurements of x-ray photoelectron spectroscopy (XPS) core level binding energies (BE:s) for the widely-applicable group IVb-VIb polycrystalline transition metal nitrides (TMN’s) TiN, VN, CrN, ZrN, NbN, MoN, HfN, TaN, and WN as well as AlN and SiN, which are common components in the TMN-based alloy systems. Nitride thin film samples were grown at 400 °C by reactive dc magnetron sputtering from elemental targets in Ar/N{sub 2} atmosphere. For XPS measurements, layers are either (i) Ar{sup +} ion-etched to remove surface oxides resulting from the air exposure during sample transfer from the growth chamber into the XPS system, or (ii) in situ capped with a few nm thick Cr or W overlayers in the deposition system prior to air-exposure and loading into the XPS instrument. Film elemental composition and phase content is thoroughly characterized with time-of-flight elastic recoil detection analysis (ToF-E ERDA), Rutherford backscattering spectrometry (RBS), and x-ray diffraction. High energy resolution core level XPS spectra acquired with monochromatic Al Kα radiation on the ISO-calibrated instrument reveal that even mild etching conditions result in the formation of a nitrogen-deficient surface layer that substantially affects the extracted binding energy
International Nuclear Information System (INIS)
Greczynski, G.; Primetzhofer, D.; Lu, J.; Hultman, L.
2017-01-01
Highlights: • First non-destructive measurements of XPS core level binding energies for group IVb-VIb transition metal nitrides are presented. • All films are grown under the same conditions and analyzed in the same instrument, providing a useful reference for future XPS studies. • Extracted core level BE values are more reliable than those obtained from sputter-cleaned N-deficient surfaces. • Comparison to Ar+-etched surfaces reveals that even mild etching conditions result in the formation of a nitrogen-deficient surface layer. • The N/metal concentration ratios from capped samples are found to be 25-90% higher than those from the corresponding ion-etched surfaces. - Abstract: We present the first measurements of x-ray photoelectron spectroscopy (XPS) core level binding energies (BE:s) for the widely-applicable group IVb-VIb polycrystalline transition metal nitrides (TMN’s) TiN, VN, CrN, ZrN, NbN, MoN, HfN, TaN, and WN as well as AlN and SiN, which are common components in the TMN-based alloy systems. Nitride thin film samples were grown at 400 °C by reactive dc magnetron sputtering from elemental targets in Ar/N 2 atmosphere. For XPS measurements, layers are either (i) Ar + ion-etched to remove surface oxides resulting from the air exposure during sample transfer from the growth chamber into the XPS system, or (ii) in situ capped with a few nm thick Cr or W overlayers in the deposition system prior to air-exposure and loading into the XPS instrument. Film elemental composition and phase content is thoroughly characterized with time-of-flight elastic recoil detection analysis (ToF-E ERDA), Rutherford backscattering spectrometry (RBS), and x-ray diffraction. High energy resolution core level XPS spectra acquired with monochromatic Al Kα radiation on the ISO-calibrated instrument reveal that even mild etching conditions result in the formation of a nitrogen-deficient surface layer that substantially affects the extracted binding energy values. These
Sullivan, Roy M.
2016-01-01
The stress rupture strength of silicon carbide fiber-reinforced silicon carbide composites with a boron nitride fiber coating decreases with time within the intermediate temperature range of 700 to 950 degree Celsius. Various theories have been proposed to explain the cause of the time-dependent stress rupture strength. The objective of this paper is to investigate the relative significance of the various theories for the time-dependent strength of silicon carbide fiber-reinforced silicon carbide composites. This is achieved through the development of a numerically based progressive failure analysis routine and through the application of the routine to simulate the composite stress rupture tests. The progressive failure routine is a time-marching routine with an iterative loop between a probability of fiber survival equation and a force equilibrium equation within each time step. Failure of the composite is assumed to initiate near a matrix crack and the progression of fiber failures occurs by global load sharing. The probability of survival equation is derived from consideration of the strength of ceramic fibers with randomly occurring and slow growing flaws as well as the mechanical interaction between the fibers and matrix near a matrix crack. The force equilibrium equation follows from the global load sharing presumption. The results of progressive failure analyses of the composite tests suggest that the relationship between time and stress-rupture strength is attributed almost entirely to the slow flaw growth within the fibers. Although other mechanisms may be present, they appear to have only a minor influence on the observed time-dependent behavior.
Localized surface phonon polariton resonances in polar gallium nitride
Energy Technology Data Exchange (ETDEWEB)
Feng, Kaijun, E-mail: kfeng@nd.edu; Islam, S. M.; Verma, Jai; Hoffman, Anthony J. [Department of Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Streyer, William; Wasserman, Daniel [Department of Electrical and Computer Engineering, University of Illinois Urbana-Champaign, Urbana, Illinois 61801 (United States); Jena, Debdeep [Department of Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); School of Electrical and Computer Engineering, Cornell University, Ithaca, New York 14850 (United States)
2015-08-24
We demonstrate the excitation of localized surface phonon polaritons in an array of sub-diffraction pucks fabricated in an epitaxial layer of gallium nitride (GaN) on a silicon carbide (SiC) substrate. The array is characterized via polarization- and angle-dependent reflection spectroscopy in the mid-infrared, and coupling to several localized modes is observed in the GaN Reststrahlen band (13.4–18.0 μm). The same structure is simulated using finite element methods and the charge density of the modes are studied; transverse dipole modes are identified for the transverse electric and magnetic polarizations and a quadrupole mode is identified for the transverse magnetic polarization. The measured mid-infrared spectrum agrees well with numerically simulated spectra. This work could enable optoelectronic structures and devices that support surface modes at mid- and far-infrared wavelengths.
Single-layer graphene on silicon nitride micromembrane resonators
DEFF Research Database (Denmark)
Schmid, Silvan; Bagci, Tolga; Zeuthen, Emil
2014-01-01
Due to their low mass, high quality factor, and good optical properties, silicon nitride (SiN) micromembrane resonators are widely used in force and mass sensing applications, particularly in optomechanics. The metallization of such membranes would enable an electronic integration with the prospect...... for exciting new devices, such as optoelectromechanical transducers. Here, we add a single-layer graphene on SiN micromembranes and compare electromechanical coupling and mechanical properties to bare dielectric membranes and to membranes metallized with an aluminium layer. The electrostatic coupling...
Fabrication of uranium carbide/beryllium carbide/graphite experimental-fuel-element specimens
International Nuclear Information System (INIS)
Muenzer, W.A.
1978-01-01
A method has been developed for fabricating uranium carbide/beryllium carbide/graphite fuel-element specimens for reactor-core-meltdown studies. The method involves milling and blending the raw materials and densifying the resulting blend by conventional graphite-die hot-pressing techniques. It can be used to fabricate specimens with good physical integrity and material dispersion, with densities of greater than 90% of the theoretical density, and with a uranium carbide particle size of less than 10 μm
Preparation of refractory cermet structures for lithium compatibility testing
Heestand, R. L.; Jones, R. A.; Wright, T. R.; Kizer, D. E.
1973-01-01
High-purity nitride and carbide cermets were synthesized for compatability testing in liquid lithium. A process was developed for the preparation of high-purity hafnium nitride powder, which was subsequently blended with tungsten powder or tantalum nitride and tungsten powders and fabricated into 3 in diameter billets by uniaxial hot pressing. Specimens were then cut from the billets for compatability testing. Similar processing techniques were applied to produce hafnium carbide and zirconium carbide cermets for use in the testing program. All billets produced were characterized with respect to chemistry, structure, density, and strength properties.
PRODUCTION OF URANIUM METAL BY CARBON REDUCTION
Holden, R.B.; Powers, R.M.; Blaber, O.J.
1959-09-22
The preparation of uranium metal by the carbon reduction of an oxide of uranium is described. In a preferred embodiment of the invention a charge composed of carbon and uranium oxide is heated to a solid mass after which it is further heated under vacuum to a temperature of about 2000 deg C to produce a fused uranium metal. Slowly ccoling the fused mass produces a dendritic structure of uranium carbide in uranium metal. Reacting the solidified charge with deionized water hydrolyzes the uranium carbide to finely divide uranium dioxide which can be separated from the coarser uranium metal by ordinary filtration methods.
Observations on infiltration of silicon carbide compacts with an aluminium alloy
Asthana, R.; Rohatgi, P. K.
1992-01-01
The melt infiltration of ceramic particulates permits an opportunity to observe such fundamental materials phenomena as nucleation, dynamic wetting and growth in constrained environments. Experimental observations are presented on the infiltration behavior and matrix microstructures that form when porous compacts of platelet-shaped single crystals of alpha- (hexagonal) silicon carbide are infiltrated with a liquid 2014 Al alloy. The infiltration process involved counter gravity infiltration of suitably tamped and preheated compacts of silicon carbide platelets under an external pressure in a special pressure chamber for a set period, then by solidification of the infiltrant metal in the interstices of the bed at atmospheric pressure.
GEN IV: Carbide Fuel Elaboration for the 'Futurix Concepts' experiment
Energy Technology Data Exchange (ETDEWEB)
Vaudez, Stephane; Riglet-Martial, Chantal; Paret, Laurent; Abonneau, Eric [Commissariat a l' Energie Atomique (C.E.A.), Direction de l' Energie Nucleaire, Centre d' Etudes de Cadarache, 13108 Saint Paul lez Durance Cedex (France)
2008-07-01
In order to collect information on the behaviour of the future GFR (Gas Fast Reactor) fuel under fast neutron irradiation, an experimental irradiation program, called 'Futurix-concepts' has been launched at the CEA. The considered concept is a composite material made of a fissile fuel embedded in an inert ceramic matrix. Fissile fuel pellets are made of UPuN or UPuC while ceramics are SiC for the carbide fuel and TiN for the nitride fuel. This paper focuses on the description of the carbide composite fabrication. The UPuC pellets are manufactured using a metallurgical powder process. Fabrication and handling of the fuels are carried out in glove boxes under a nitrogen atmosphere. Carbide fuel is synthesized by carbo-thermic reduction under vacuum of a mixture of actinide oxide and graphitic carbon up to 1550 deg. C. After ball milling, the UPuC powder is pressed to create hexagonal or spherical compacts. They are then sintered up to 1750 deg. C in order to obtain a density of 85 % of the theoretical one. The sintered pellets are inserted into an inert and tight capsule of SiC. In order to control the gap between the fuel and the matrix precisely, the pellets are abraded. The inert matrix is then filled with the pellets and the whole system is sealed by a BRASiC{sup R} process at high temperature under a helium atmosphere. Fabrication of the sample to be irradiated was done in 2006 and the irradiation began in May 2007 in the Phenix reactor. This presentation will detail and discuss the results obtained during this fabrication phase. (authors)
Zhao, Fei; Cheng, Huhu; Hu, Yue; Song, Long; Zhang, Zhipan; Jiang, Lan; Qu, Liangti
2014-07-01
Graphitic carbon nitride nanosheet (g-C3N4-NS) has layered structure similar with graphene nanosheet and presents unusual physicochemical properties due to the s-triazine fragments. But their electronic and electrochemical applications are limited by the relatively poor conductivity. The current work provides the first example that atomically thick g-C3N4-NSs are the ideal candidate as the active insulator layer with tunable conductivity for achieving the high performance memory devices with electrical bistability. Unlike in conventional memory diodes, the g-C3N4-NSs based devices combined with graphene layer electrodes are flexible, metal-free and low cost. The functionalized g-C3N4-NSs exhibit desirable dispersibility and dielectricity which support the all-solution fabrication and high performance of the memory diodes. Moreover, the flexible memory diodes are conveniently fabricated through the fast laser writing process on graphene oxide/g-C3N4-NSs/graphene oxide thin film. The obtained devices not only have the nonvolatile electrical bistability with great retention and endurance, but also show the rewritable memory effect with a reliable ON/OFF ratio of up to 105, which is the highest among all the metal-free flexible memory diodes reported so far, and even higher than those of metal-containing devices.
Niobium Nitride Nb4N5 as a New High-Performance Electrode Material for Supercapacitors.
Cui, Houlei; Zhu, Guilian; Liu, Xiangye; Liu, Fengxin; Xie, Yian; Yang, Chongyin; Lin, Tianquan; Gu, Hui; Huang, Fuqiang
2015-12-01
Supercapacitors suffer either from low capacitance for carbon or derivate electrodes or from poor electrical conductivity and electrochemical stability for metal oxide or conducting polymer electrodes. Transition metal nitrides possess fair electrical conductivity but superior chemical stability, which may be desirable candidates for supercapacitors. Herein, niobium nitride, Nb 4 N 5 , is explored to be an excellent capacitive material for the first time. An areal capacitance of 225.8 mF cm -2 , with a reasonable rate capability (60.8% retention from 0.5 to 10 mA cm -2 ) and cycling stability (70.9% retention after 2000 cycles), is achieved in Nb 4 N 5 nanochannels electrode with prominent electrical conductivity and electrochemical activity. Faradaic pseudocapacitance is confirmed by the mechanistic studies, deriving from the proton incorporation/chemisorption reaction owing to the copious +5 valence Nb ions in Nb 4 N 5 . Moreover, this Nb 4 N 5 nanochannels electrode with an ultrathin carbon coating exhibits nearly 100% capacitance retention after 2000 CV cycles, which is an excellent cycling stability for metal nitride materials. Thus, the Nb 4 N 5 nanochannels are qualified for a candidate for supercapacitors and other energy storage applications.
Niobium Nitride Nb4N5 as a New High‐Performance Electrode Material for Supercapacitors
Cui, Houlei; Zhu, Guilian; Liu, Xiangye; Liu, Fengxin; Xie, Yian; Yang, Chongyin; Lin, Tianquan; Gu, Hui
2015-01-01
Supercapacitors suffer either from low capacitance for carbon or derivate electrodes or from poor electrical conductivity and electrochemical stability for metal oxide or conducting polymer electrodes. Transition metal nitrides possess fair electrical conductivity but superior chemical stability, which may be desirable candidates for supercapacitors. Herein, niobium nitride, Nb4N5, is explored to be an excellent capacitive material for the first time. An areal capacitance of 225.8 mF cm−2, with a reasonable rate capability (60.8% retention from 0.5 to 10 mA cm−2) and cycling stability (70.9% retention after 2000 cycles), is achieved in Nb4N5 nanochannels electrode with prominent electrical conductivity and electrochemical activity. Faradaic pseudocapacitance is confirmed by the mechanistic studies, deriving from the proton incorporation/chemisorption reaction owing to the copious +5 valence Nb ions in Nb4N5. Moreover, this Nb4N5 nanochannels electrode with an ultrathin carbon coating exhibits nearly 100% capacitance retention after 2000 CV cycles, which is an excellent cycling stability for metal nitride materials. Thus, the Nb4N5 nanochannels are qualified for a candidate for supercapacitors and other energy storage applications. PMID:27980920
Superconducting nitride halides MNX (M = Ti, Zr, Hf; X = Cl, Br, I)
Energy Technology Data Exchange (ETDEWEB)
Schurz, Christian M.; Shlyk, Larysa; Schleid, Thomas; Niewa, Rainer [Stuttgart Univ. (Germany). Inst. fuer Anorganische Chemie
2011-07-01
Two different polymorphs of the metal nitride halides MNX (M = Ti, Zr, Hf; X = Cl, Br, I) are known to crystallize in layered structures. The two crystal structures differ in the way {sub {infinity}}{sup 2}{l_brace}X[M{sub 2}N{sub 2}]X{r_brace} slabs are stacked along the c-axes. Metal atoms and/or organic molecules can be intercalated into the van-der-Waals gap between these layers. After such an electron-doping via intercalation the prototypic band insulators change into superconductors with moderate high critical temperatures T{sub c} up to 25.5 K. This review gathers information on synthesis routes, structural characteristics and properties of the prototypic nitride halides and the derivatives after electron-doping with a focus on superconductivity. (orig.)
International Nuclear Information System (INIS)
Oberkofler, Martin
2011-01-01
In the framework of this thesis laboratory experiments on atomically clean beryllium surfaces were performed. They aim at a basic understanding of the mechanisms occurring upon interaction of a fusion plasma with a beryllium first wall. The retention and the temperature dependent release of implanted deuterium ions are investigated. An atomistic description is developed through simulations and through the comparison with calculations based on density functional theory. The results of these investigations are compared to the behaviour of hydrogen upon implantation into thermally grown beryllium oxide layers. Furthermore, beryllium nitride is produced by implantation of nitrogen into metallic beryllium and its properties are investigated. The results are interpreted with regard to the use of beryllium in a fusion reactor. (orig.)
Einalipour Eshkalak, Kasra; Sadeghzadeh, Sadegh; Jalaly, Maisam
2018-02-01
From electronic point of view, graphene resembles a metal or semi-metal and boron nitride is a dielectric material (band gap = 5.9 eV). Hybridization of these two materials opens band gap of the graphene which has expansive applications in field-effect graphene transistors. In this paper, the effect of the interface structure on the mechanical properties of a hybrid graphene/boron nitride was studied. Young's modulus, fracture strain and tensile strength of the models were simulated. Three likely types (hexagonal, octagonal and decagonal) were found for the interface of hybrid sheet after relaxation. Although Csbnd B bonds at the interface were indicated to result in more promising electrical properties, nitrogen atoms are better choice for bonding to carbon for mechanical applications.
Xiao, Jian; Zhang, Yan; Zhang, Zheye; Lv, Qiying; Jing, Feng; Chi, Kai; Wang, Shuai
2017-07-12
Devising and facilely synthesizing an efficient noble metal-free electrocatalyst for the acceleration of the sluggish kinetics in the hydrogen-evolution reaction (HER) is still a big challenge for electrolytic water splitting. Herein, we present a simple one-step approach for constructing self-supported biocarbon-fiber cloth decorated with molybdenum carbide nanoparticles (BCF/Mo 2 C) electrodes by a direct annealing treatment of the Mo oxyanions loaded cotton T-shirt. The Mo 2 C nanoparticles not only serve as the catalytic active sites toward the HER but also enhance the hydrophilicity and conductivity of resultant electrodes. As an integrated three-dimensional HER cathode catalyst, the BCF/Mo 2 C exhibits outstanding electrocatalytic performance with extremely low overpotentials of 88 and 115 mV to drive a current density of 20 mA cm -2 in alkaline and acidic media, respectively. In addition, it can continuously work for 50 h with little decrease in the cathodic current density in both alkaline and acidic solutions. Even better, self-supported tungsten carbide and vanadium carbide based electrodes also can be prepared by a similar synthesis process. This work will illuminate an entirely new avenue for the preparation of various self-supported three-dimensional electrodes made of transition-metal carbides for various applications.
International Nuclear Information System (INIS)
Gupta, M.J.; Freeman, A.B.
1976-01-01
The generalized susceptibility, chi(q), of both NbC and TaC determined from APW energy band calculations show large maxima to occur at precisely those q/sub max/ values at which soft phonon modes were observed by Smith. Maxima in chi(q) are predicted for other directions. The locus of these q/sub max/ values can be represented by a warped cube of dimension approximately 1.2(2π/a) in momentum space--in striking agreement with the soft mode surface proposed phenomenologically by Weber. In sharp contrast, the chi(q) calculated for both ZrC and HfC--for which no phonon anomalies have been observed--fall off in all symmetry directions away from the zone center. The phonon anomalies in the transition metal carbides are thus interpreted as due to an ''overscreening'' effect resulting from an anomalous increase of the response function of the conduction electrons
International Nuclear Information System (INIS)
Fitz, T.
2002-09-01
The present study is devoted to the investigation of the mechanism of aluminium nitriding by a technique that employs implantation of low-energy nitrogen ions and diffusional transport of atoms. The nitriding of aluminium is investigated, because this is a method for surface modification of aluminium and has a potential for application in a broad spectrum of fields such as automobile, marine, aviation, space technologies, etc. However, at present nitriding of aluminium does not find any large scale industrial application, due to problems in the formation of stoichiometric aluminium nitride layers with a sufficient thickness and good quality. For the purposes of this study, ion nitriding is chosen, as an ion beam method with the advantage of good and independent control over the process parameters, which thus can be related uniquely to the physical properties of the resulting layers. Moreover, ion nitriding has a close similarity to plasma nitriding and plasma immersion ion implantation, which are methods with a potential for industrial application. (orig.)
Progress in efficient doping of high aluminum-containing group III-nitrides
Liang, Y.-H.; Towe, E.
2018-03-01
The group III-nitride (InN, GaN, and AlN) class of semiconductors has become one of two that are critical to a number of technologies in modern life—the other being silicon. Light-emitting diodes made from (In,Ga)N, for example, dominate recent innovations in general illumination and signaling. Even though the (In,Ga)N materials system is fairly well established and widely used in advanced devices, challenges continue to impede development of devices that include aluminum-containing nitride films such as (Al,Ga)N. The main difficulty is efficient doping of films with aluminum-rich compositions; the problem is particularly severe for p-type doping, which is essential for Ohmic contacts to bipolar device structures. This review briefly summarizes the fundamental issues related to p-type doping, and then discusses a number of approaches that are being pursued to resolve the doping problem or for circumventing the need for p-type doping. Finally, we discuss an approach to doping under liquid-metal-enabled growth by molecular beam epitaxy. Recent results from a number of groups appear to indicate that p-type doping of nitride films under liquid-metal-enabled growth conditions might offer a solution to the doping problem—at least for materials grown by molecular beam epitaxy.
International Nuclear Information System (INIS)
Christensen, A.N.; Fregerslev, S.
1977-01-01
Single crystals of the cubic phases TiN, ZrN, delta-NbN and of compounds from the pseudobinary systems NbN-NbC, NbN-TiC, and NbN-TiN were obtained by zone melting, zone annealing and annealing of the metal carbides in nitrogen gas of 2 MPa. Single crystals of the tetragonal phase gamma-NbN were obtained in a similar way by annealing of niobium. The nitrides are non-stochiometric. TiN was obtained in the composition range TiNsub(0.99) to TiNsub(0.50), ZrN in the range ZrNsub(1.00) to ZrNsub(0.63), and in niobium nitrides were obtained in the composition range NbNsub(0.90) to NbNsub(0.69). The compounds from the pseudobinary systems have up to 35% vacant sites in the nitrogen-carbon sublattice. TiN and ZrN have only vacant sites in the nitrogen sublattice. A correlation is found between the unit cell parameters for titanium nitride and zirconium nitride and the nitrogen-metal ratios. (orig.) [de
Porous silicon carbide (SIC) semiconductor device
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1996-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
Infrared spectroscopy of gas-phase clusters using a free-electron laser
International Nuclear Information System (INIS)
Heijnsbergen, D. van; Helden, G. von; Meijer, G.
2002-01-01
Most clusters produced in the gas phase, especially those containing metals, remain largely uncharaterized, among these are transition metal - carbide, -oxide and -nitride clusters. A method for recording IR spectra of strongly bound gas-phase clusters is presented. It is based on a free-electron laser called Felix, characterized by wide wavelength tuning range, covering almost the full 'molecular finger print' region, high power and fluence which make it suited to excite gas-phase species i.e. gas -phase clusters. Neutral clusters were generated by laser vaporization technique, ions that were created after the interaction with the free-electron laser were analyzed in a flight mass spectrometer. Experiments were run with titanium carbide clusters and their IR spectra given. It was shown that this method is suited to strongly bound clusters with low ionization energies, a condition met for many pure metal clusters and metal compound clusters. (nevyjel)
Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides
Directory of Open Access Journals (Sweden)
R. Polini
2012-01-01
Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.
CARBON-CONTAINING COMPOSITES BASED ON METALS
Directory of Open Access Journals (Sweden)
VAGANOV V. E.
2015-10-01
Full Text Available Problem statement Among the developed technologies metal-composites production,a special place takes powder metallurgy, having fundamental differences from conventionally used foundry technologies. The main advantages of this technology are: the possibility of sensitive control, the structure and phase composition of the starting components, and ultimately the possibility of obtaining of bulk material in nanostructured state with a minimum of processing steps. The potential reinforcers metals include micro and nano-sized oxides, carbides, nitrides, whiskers. The special position is occupied with carbon nanostructures (CNS: С60 fullerenes, single-layer and multi-layer nanotubes, onions (spherical "bulbs", nano-diamonds and graphite,their properties are being intensively studied in recent years. These objects have a high thermal and electrical conductivity values, superelasticity, and have a strength approximate to the theoretical value, which can provide an obtaining composite nanomaterial with a unique set of physical and mechanical properties. In creation of a metal matrix composite nanomaterials (CM, reinforced by various CNS, a special attention should be given to mechanical activation processes (MA already at the stage of preparation of the starting components affecting the structure, phase composition and properties of aluminum-matrix composites. Purpose. To investigate the influence of mechanical activation on the structure and phase composition of aluminum-matrix composites. Conclusion. The results of the study of the structure and phase composition of the initial and mechanically activated powders and bulk-modified metal-composites are shown, depending on the type and concentration of modifying varieties CNS, regimes of MA and parameters of compaction. The study is conducted of tribological properties of Al-CNS OF nanostructured materials.
Surface modification of austenitic steel by various glow-discharge nitriding methods
Directory of Open Access Journals (Sweden)
Tomasz Borowski
2015-09-01
Full Text Available Recent years have seen intensive research on modifying glow-discharge nitriding processes. One of the most commonly used glow-discharge methods includes cathodic potential nitriding (conventional method, and active screen plasma nitriding. Each of these methods has a number of advantages. One very important, common feature of these techniques is full control of the microstructure, chemical and phase composition, thickness and the surface topography of the layers formed. Another advantage includes the possibility of nitriding such materials as: austenitic steels or nickel alloys, i.e. metallic materials which do not diffuse nitrogen as effectively as ferritic or martensitic steels. However, these methods have some disadvantages as well. In the case of conventional plasma nitriding, engineers have to deal with the edge effect, which makes it difficult to use this method for complexly shaped components. In turn, in the case of active screen plasma nitriding, the problem disappears. A uniform, smooth layer forms, but is thinner, softer and is not as resistant to friction compared to layers formed using the conventional method. Research is also underway to combine these methods, i.e. use an active screen in conventional plasma nitriding at cathodic potential. However, there is a lack of comprehensive data presenting a comparison between these three nitriding processes and the impact of pulsating current on the formation of the microstructure and functional properties of austenitic steel surfaces. The article presents a characterisation of nitrided layers produced on austenitic X2CrNiMo17-12-2 (AISI 316L stainless steel in the course of glow-discharge nitriding at cathodic potential, at plasma potential and at cathodic potential incorporating an active screen. All processes were carried out at 440 °C under DC glow-discharge conditions and in 100 kHz frequency pulsating current. The layers were examined in terms of their microstructure, phase and
The initial growth stage in PVT growth of aluminum nitride
Energy Technology Data Exchange (ETDEWEB)
Heimann, P.; Epelbaum, B.M.; Bickermann, M.; Winnacker, A. [Department of Materials Science 6, University of Erlangen-Nuernberg, Martensstr. 7, 91058 Erlangen (Germany); Nagata, S. [Functional Materials Development Center, Research Laboratories, JFE Mineral Company, Ltd., 1, Niihama-cho, Chuou-ku, Chiba-shi, Chiba 260-0826 (Japan)
2006-06-15
The main issue in homoepitaxial growth of aluminum nitride (AlN) on native seed substrates is the formation of an aluminum oxynitride (AlON) layer at temperatures between 1850-1950 C leading to polycrystalline growth. On the contrary, heteroepitaxial growth of AlN on silicon carbide (SiC) is relatively easy to achieve due to natural formation of a thin molten layer of (Al{sub 2}OC{sub x}) on the seed surface and consequent growth of AlN via the molten buffer layer. Optimization of the seeding process can be achieved by use of ultra-pure starting material. Another critical issue of AlN growth on SiC is cracking of the grown layer upon cooling as a result of different thermal expansion coefficients. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
International Nuclear Information System (INIS)
Choi, J; Ebbinghaus, B; Meiers, T; Ahn, J
2006-01-01
The US National Energy Policy of 2001 advocated the development of advanced fuel and fuel cycle technologies that are cleaner, more efficient, less waste-intensive, and more proliferation resistant. The need for advanced fuel development is emphasized in on-going DOE-supported programs, e.g., Global Nuclear Energy Initiative (GNEI), Advanced Fuel Cycle Initiative (AFCI), and GEN-IV Technology Development. The Directorates of Energy and Environment (E and E) and Chemistry and Material Sciences (C and MS) at Lawrence Livermore National Laboratory (LLNL) are interested in advanced fuel research and manufacturing using its multi-disciplinary capability and facilities to support a design concept of a small, secure, transportable, and autonomous reactor (SSTAR). The E and E and C and MS Directorates co-sponsored this Laboratory Directed Research and Development (LDRD) Project on Mono-Uranium Nitride Fuel Development for SSTAR and Space Applications. In fact, three out of the six GEN-IV reactor concepts consider using the nitride-based fuel, as shown in Table 1. SSTAR is a liquid-metal cooled, fast reactor. It uses nitride fuel in a sealed reactor vessel that could be shipped to the user and returned to the supplier having never been opened in its long operating lifetime. This sealed reactor concept envisions no fuel refueling nor on-site storage of spent fuel, and as a result, can greatly enhance proliferation resistance. However, the requirement for a sealed, long-life core imposes great challenges to research and development of the nitride fuel and its cladding. Cladding is an important interface between the fuel and coolant and a barrier to prevent fission gas release during normal and accidental conditions. In fabricating the nitride fuel rods and assemblies, the cladding material should be selected based on its the coolant-side corrosion properties, the chemical/physical interaction with the nitride fuel, as well as their thermal and neutronic properties. The US
Kang, Jin Ho (Inventor); Sauti, Godfrey (Inventor); Smith, Michael W. (Inventor); Jordan, Kevin C. (Inventor); Park, Cheol (Inventor); Bryant, Robert George (Inventor); Lowther, Sharon E. (Inventor)
2015-01-01
Boron nitride nanotubes (BNNTs), boron nitride nanoparticles (BNNPs), carbon nanotubes (CNTs), graphites, or combinations, are incorporated into matrices of polymer, ceramic or metals. Fibers, yarns, and woven or nonwoven mats of BNNTs are used as toughening layers in penetration resistant materials to maximize energy absorption and/or high hardness layers to rebound or deform penetrators. They can be also used as reinforcing inclusions combining with other polymer matrices to create composite layers like typical reinforcing fibers such as Kevlar.RTM., Spectra.RTM., ceramics and metals. Enhanced wear resistance and usage time are achieved by adding boron nitride nanomaterials, increasing hardness and toughness. Such materials can be used in high temperature environments since the oxidation temperature of BNNTs exceeds 800.degree. C. in air. Boron nitride based composites are useful as strong structural materials for anti-micrometeorite layers for spacecraft and space suits, ultra strong tethers, protective gear, vehicles, helmets, shields and safety suits/helmets for industry.
A review of the breeding potentials of carbide, nitride and oxide fueled LMFBRs and GCFRs
International Nuclear Information System (INIS)
Handa, Muneo
1977-11-01
The effects of design parameters in large variation on compound system doubling time of large advanced-fueled LMFBR are described on the base of recent U.S. results. The fuel element design by Combustion Engineering Inc. in step-by-step substitution of the initial oxide fuel subassemblies with carbide ones is explained. Breeding characteristics of the oxide-fueled LMFBR and its potential design modifications are expounded. The gas cooled fast breeder program in West Germany and in the United States are briefed. Definitions of the breeding ratio and doubling time in overall fuel cycle are given. (auth.)
Plasma spraying of zirconium carbide – hafnium carbide – tungsten cermets
Czech Academy of Sciences Publication Activity Database
Brožek, Vlastimil; Ctibor, Pavel; Cheong, D.-I.; Yang, S.-H.
2009-01-01
Roč. 9, č. 1 (2009), s. 49-64 ISSN 1335-8987 Institutional research plan: CEZ:AV0Z20430508 Keywords : Plasma spraying * cermet coatings * microhardness * zirconium carbide * hafnium carbide * tungsten * water stabilized plasma Subject RIV: JH - Ceramics, Fire-Resistant Materials and Glass
Melting of Grey Cast Iron Based on Steel Scrap Using Silicon Carbide
Directory of Open Access Journals (Sweden)
Stojczew A.
2014-08-01
Full Text Available The paper presents the issue of synthetic cast iron production in the electric induction furnace exclusively on the steel scrap base. Silicon carbide and synthetic graphite were used as carburizers. The carburizers were introduced with solid charge or added on the liquid metal surface. The chemical analysis of the produced cast iron, the carburization efficiency and microstructure features were presented in the paper. It was stated that ferrosilicon can be replaced by silicon carbide during the synthetic cast iron melting process. However, due to its chemical composition (30% C and 70% Si which causes significant silicon content in iron increase, the carbon deficit can be partly compensated by the carburizer introduction. Moreover it was shown that the best carbon and silicon assimilation rate is obtained where the silicon carbide is being introduced together with solid charge. When it is thrown onto liquid alloy surface the efficiency of the process is almost two times less and the melting process lasts dozen minutes long. The microstructure of the cast iron produced with the silicon carbide shows more bulky graphite flakes than inside the microstructure of cast iron produced on the pig iron base.
Microstructure and phase evolution in laser clad chromium carbide-NiCrMoNb
International Nuclear Information System (INIS)
Venkatesh, L.; Samajdar, I.; Tak, Manish; Doherty, Roger D.; Gundakaram, Ravi C.; Prasad, K. Satya; Joshi, S.V.
2015-01-01
Highlights: • Microstructural development during laser cladding has been studied. • In this multi component system Cr 7 C 3 is found to be the stable carbide phase. • Phases were identified by EBSD since XRD results were not conclusive. • Increase in laser power and/or scanning speed reduced the carbide content. • Hardness seems to depend on phase content as well as microstructure. - Abstract: Microstructural development in laser clad layers of Chromium carbide (Cr x C y )-NiCrMoNb on SA 516 steel has been investigated. Although the starting powder contained both Cr 3 C 2 and Cr 7 C 3 , the clad layers showed only the presence of Cr 7 C 3 . Microtexture measurements by electron back scattered diffraction (EBSD) revealed primary dendritic Cr 7 C 3 with Ni rich FCC metallic phase being present in the interdendritic spaces. Further annealing of the laser clad layers and furnace melting of the starting powder confirmed that Cr 7 C 3 is the primary as well as stable carbide phase in this multi component system. Increase in laser power and scanning speed progressively reduced carbide content in the laser clad layers. Increased scanning speed, which enhances the cooling rate, also led to reduction in the secondary arm spacing (λ 2 ) of the Cr 7 C 3 dendrites. The clad layer hardness increased with carbide content and with decreased dendrite arm spacing.
Directory of Open Access Journals (Sweden)
Chunli Guo
2011-01-01
Full Text Available Carbides (TiC, WC, and NbC nanoparticles fully encapsulated in the caves of carbon nanotubes (CNTs were synthesized via an in situ reduction-carbonization route at 600∘C in an autoclave. The structural features and morphologies of as-obtained products were investigated using by X-ray diffraction, scanning electron microscopy, and high-resolution transmission electron microscopy (HRTEM. HRTEM studies showed that the average diameter of CNTs encapsulated with carbide nanoparticles are in the range of 15–40 nm. The reaction temperature, the reaction time, and the metal catalyst are found to play crucial roles to the product morphology. The growth mechanism of carbide nanoparticles encapsulated in CNTs was discussed in detail.
International Nuclear Information System (INIS)
Gupta, M.; Freeman, A.J.
1976-01-01
The generalized susceptibility, chi(q vector), of both NbC and TaC determined from APW energy band calculations show large maxima to occur at precisely those q vector/sub max/ values at which soft phonon modes were observed by Smith. Maxima in chi (q vector) are predicted for other directions. The locus of these q vector/sub max/ values can be represented by a warped cube of dimension approximately 1.2 (2π/a) in momentum space, in striking agreement with the soft mode surface proposed phenomenologically by Weber. In sharp contrast, the chi(q vector) calculated for both ZrC and HfC (for which no phonon anomalies have been observed) fall off in all symmetry directions away from the zone center. The phonon anomalies in the transition metal carbides are interpreted as due to an ''overscreening'' effect resulting from an anomalous increase of the response function of the conduction electrons. 8 figures, 41 references
Botchwey, Christian
This thesis summarizes the methods and major findings of Ni-W(P)/gamma-Al 2O3 nitride catalyst synthesis, characterization, hydrotreating activity, kinetic analysis and correlation of the catalysts' activities to their synthesis parameters and properties. The range of parameters for catalyst synthesis were W (15-40 wt%), Ni (0-8 wt%), P (0-5 wt%) and nitriding temperature (TN) (500-900 °C). Characterization techniques used included: N2 sorption studies, chemisorption, elemental analysis, temperature programmed studies, x-ray diffraction, scanning electron microscopy, energy dispersive x-ray, infrared spectroscopy, transmission electron microscopy and x-ray absorption near edge structure. Hydrodesulfurization (HDS), hydrodenitrogenation (HDN) and hydrodearomatization (HDA) were performed at: temperature (340-380 °C), pressure (6.2-9.0 MPa), liquid hourly space velocity (1-3 h-1) and hydrogen to oil ratio (600 ml/ml, STP). The predominant species on the catalyst surface were Ni3N, W2N and bimetallic Ni2W3N. The bimetallic Ni-W nitride species was more active than the individual activities of the Ni3N and W2N. P increased weak acid sites while nitriding temperature decreased amount of strong acid sites. Low nitriding temperature enhanced dispersion of metal particles. P interacted with Al 2O3 which increased the dispersion of metal nitrides on the catalyst surface. HDN activity increased with Ni and P loading but decreased with increase in nitriding temperature (optimum conversion; 60 wt%). HDS and HDA activities went through a maximum with increase in the synthesis parameters (optimum conversions; 88. wt% for HDS and 47 wt% for HDA). Increase in W loading led to increase in catalyst activity. The catalysts were stable to deactivation and had the nitride structure conserved during hydrotreating in the presence of hydrogen sulfide. The results showed good correlation between hydrotreating activities (HDS and HDN) and the catalyst nitrogen content, number of exposed
Mohammadzadeh, Roghayeh; Akbari, Alireza; Grumsen, Flemming B.; Somers, Marcel A. J.
2017-10-01
Chromium-rich nitride precipitates in production of nickel-free austenitic stainless steel plates via pressurised solution nitriding of Fe-22.7Cr-2.4Mo ferritic stainless steel at 1473 K (1200 °C) under a nitrogen gas atmosphere was investigated. The microstructure, chemical and phase composition, morphology and crystallographic orientation between the resulted austenite and precipitates were investigated using optical microscopy, X-ray Diffraction (XRD), Scanning and Transmission Electron Microscopy (TEM) and Electron Back Scatter Diffraction (EBSD). On prolonged nitriding, Chromium-rich nitride precipitates were formed firstly close to the surface and later throughout the sample with austenitic structure. Chromium-rich nitride precipitates with a rod or strip-like morphology was developed by a discontinuous cellular precipitation mechanism. STEM-EDS analysis demonstrated partitioning of metallic elements between austenite and nitrides, with chromium contents of about 80 wt.% in the precipitates. XRD analysis indicated that the Chromium-rich nitride precipitates are hexagonal (Cr, Mo)2N. Based on the TEM studies, (Cr, Mo)2N precipitates presented a (1 1 1)γ//(0 0 2)(Cr, Mo)2N, ?γ//?(Cr, Mo)2N orientation relationship with respect to the austenite matrix. EBSD studies revealed that the austenite in the regions that have transformed into austenite and (Cr, Mo)2N have no orientation relation to the untransformed austenite.
Directory of Open Access Journals (Sweden)
Franklin Che
Full Text Available We have experimentally measured the surface second-harmonic generation (SHG of sputtered gold, silver, aluminum, zinc, tungsten, copper, titanium, cobalt, nickel, chromium, germanium, antimony, titanium nitride, silicon and indium tin oxide thin films. The second-harmonic response was measured in reflection using a 150 fs p-polarized laser pulse at 1561 nm. We present a clear comparison of the SHG intensity of these films relative to each other. Our measured relative intensities compare favorably with the relative intensities of metals with published data. We also report for the first time to our knowledge the surface SHG intensity of tungsten and antimony relative to that of well known metallic thin films such as gold and silver. Keywords: Surface second-harmonic generation, Nonlinear optics, Metal thin films
Fabrication of vanadium nitride by carbothermal nitridation reaction
International Nuclear Information System (INIS)
Wang Xitang; Wang Zhuofu; Zhang Baoguo; Deng Chengji
2005-01-01
Vanadium nitride is produced from V 2 O 5 by carbon-thermal reduction and nitridation. When the sintered temperature is above 1273 K, VN can be formed, and the nitrogen content of the products increased with the firing temperature raised, and then is the largest when the sintered temperature is 1573 K. The C/V 2 O 5 mass ratio of the green samples is the other key factor affecting on the nitrogen contents of the products. The nitrogen content of the products reaches the most when the C/V 2 O 5 mass ratio is 0.33, which is the theoretical ratio of the carbothermal nitridation of V 2 O 5 . (orig.)
Zhao, Fei; Cheng, Huhu; Hu, Yue; Song, Long; Zhang, Zhipan; Jiang, Lan; Qu, Liangti
2014-01-01
Graphitic carbon nitride nanosheet (g-C3N4-NS) has layered structure similar with graphene nanosheet and presents unusual physicochemical properties due to the s-triazine fragments. But their electronic and electrochemical applications are limited by the relatively poor conductivity. The current work provides the first example that atomically thick g-C3N4-NSs are the ideal candidate as the active insulator layer with tunable conductivity for achieving the high performance memory devices with electrical bistability. Unlike in conventional memory diodes, the g-C3N4-NSs based devices combined with graphene layer electrodes are flexible, metal-free and low cost. The functionalized g-C3N4-NSs exhibit desirable dispersibility and dielectricity which support the all-solution fabrication and high performance of the memory diodes. Moreover, the flexible memory diodes are conveniently fabricated through the fast laser writing process on graphene oxide/g-C3N4-NSs/graphene oxide thin film. The obtained devices not only have the nonvolatile electrical bistability with great retention and endurance, but also show the rewritable memory effect with a reliable ON/OFF ratio of up to 105, which is the highest among all the metal-free flexible memory diodes reported so far, and even higher than those of metal-containing devices. PMID:25073687
International Nuclear Information System (INIS)
Dai Hong; Chen Yanling; Lin Yanyu; Xu Guifang; Yang Caiping; Tong Yuejin; Guo Longhua; Chen Guonan
2012-01-01
In this paper, we propose a facile approach for palladium nanoparticles load using silicon carbide nanoparticles as the new supported matrix and a familiar NaBH 4 as reducer. Detailed X-ray photoelectron spectrum (XPS) and transmission electron microscopy (TEM) analysis of the resultant products indicated that palladium nanoparticles are successfully immobilized onto the surface of the silicon carbide nanoparticles with uniform size distribution between 5 and 7 nm. The relative electrochemical characterization clearly demonstrated excellent electrocatalytic activity of this material toward alcohol in alkaline electrolytes. Investigation on the characteristics of the electrocatalytic activity of this material further indicated that the palladium nanoparticles supporting on SiC are very promising for direct alcohol fuel cells (DMFCs), biosensor and electronic devices. Moreover, it was proved that silicon carbide nanoparticles with outstanding properties as support for catalysis are of strong practical interest. And the silicon carbide could perform attractive role in adsorbents, electrodes, biomedical applications, etc.
International Nuclear Information System (INIS)
Shams, Q.A.
1988-01-01
Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing
Method for producing polycrystalline boron nitride
International Nuclear Information System (INIS)
Alexeevskii, V.P.; Bochko, A.V.; Dzhamarov, S.S.; Karpinos, D.M.; Karyuk, G.G.; Kolomiets, I.P.; Kurdyumov, A.V.; Pivovarov, M.S.; Frantsevich, I.N.; Yarosh, V.V.
1975-01-01
A mixture containing less than 50 percent of graphite-like boron nitride treated by a shock wave and highly defective wurtzite-like boron nitride obtained by a shock-wave method is compressed and heated at pressure and temperature values corresponding to the region of the phase diagram for boron nitride defined by the graphite-like compact modifications of boron nitride equilibrium line and the cubic wurtzite-like boron nitride equilibrium line. The resulting crystals of boron nitride exhibit a structure of wurtzite-like boron nitride or of both wurtzite-like and cubic boron nitride. The resulting material exhibits higher plasticity as compared with polycrystalline cubic boron nitride. Tools made of this compact polycrystalline material have a longer service life under impact loads in machining hardened steel and chilled iron. (U.S.)
Chemical compatibility between cladding alloys and advanced fuels
International Nuclear Information System (INIS)
Fee, D.C.; Johnson, C.E.
1975-05-01
The National Advanced Fuels Program requires chemical, mechanical, and thermophysical properties data for cladding alloys. The compatibility behavior of cladding alloys with advanced fuels is critically reviewed. in carbide fuel pins, the principal compatibility problem is cladding carburization, diffusion of carbon into the cladding matrix accompanied by carbide precipitation. Carburization changes the mechanical properties of the cladding alloy. The extent of carburization increases in sodium (versus gas) bonded fuels. The depth of carburization increases with increasing sesquicarbide (M 2 C 3 ) content of the fuel. In nitride fuel pins, the principal compatibility problem is cladding nitriding, diffusion of nitrogen into the cladding matrix accompanied by nitride precipitation. Nitriding changes the mechanical properties of the cladding alloy. In both carbide and nitride fuel pins, fission products do not migrate appreciably to the cladding and do not appear to contribute to cladding attack. 77 references. (U.S.)
Groenland, A.W.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.; Schmitz, Jurriaan
2011-01-01
In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS) capacitors are studied using titanium nitride (TiN) as the electrode material. The effect of structural defects on the electrical properties on MIS and MIM capacitors is studied for various electrode configurations. In the
Formation of zirconium nitride via mechanochemical decomposition of zircon
International Nuclear Information System (INIS)
Puclin, T.; Kaczmarek, W.A.
1996-01-01
In this paper we report some results of the mechanochemical reduction of zircon, and for the first time subsequent reaction with nitrogen to form zirconium nitride (ZrN). This process can be described by the equation: 3ZrSiO 4 + 8Al + 1.5N 2 = 4Al 2 O 3 + 3ZrN + 3Si. Milling was carried out in three steps: 1) low speed grinding of Al+ZrSiO 4 in vacuum, 2) high speed milling to effect the reduction, and 3) continued milling after the addition of nitrogen. Powders produced were examined by X-ray diffraction. The first step showed no reaction occurred during low speed grinding. The second step proved to be a slow reaction without the 'ignition' often seen in other mechanochemical reduction works. The final step was also gradual, and did not always go to full nitridation over the duration of the experiment, giving a product of composition ZrN 0.6 to ZrN l.0 . This is quite acceptable as transition metal nitrides are often non-stoichiometric. These results show that the formation of a useful hard material such as ZrN can be formed from a raw mineral by two stage mechanochemical processing. Further investigations are currently being undertaken to eliminate Fe contamination and produce pure ceramic oxide-nitride composites
Hattori, Yoshiaki; Taniguchi, Takashi; Watanabe, Kenji; Nagashio, Kosuke
2018-04-11
Hexagonal boron nitride (h-BN) is an important insulating substrate for two-dimensional (2D) heterostructure devices and possesses high dielectric strength comparable to SiO 2 . Here, we report two clear differences in their physical properties. The first one is the occurrence of Fermi level pinning at the metal/h-BN interface, unlike that at the metal/SiO 2 interface. The second one is that the carrier of Fowler-Nordheim (F-N) tunneling through h-BN is a hole, which is opposite to an electron in the case of SiO 2 . These unique characteristics are verified by I- V measurements in the graphene/h-BN/metal heterostructure device with the aid of a numerical simulation, where the barrier height of graphene can be modulated by a back gate voltage owing to its low density of states. Furthermore, from a systematic investigation using a variety of metals, it is confirmed that the hole F-N tunneling current is a general characteristic because the Fermi levels of metals are pinned in the small energy range around ∼3.5 eV from the top of the conduction band of h-BN, with a pinning factor of 0.30. The accurate energy band alignment at the h-BN/metal interface provides practical knowledge for 2D heterostructure devices.
International Nuclear Information System (INIS)
Baba, K.; Hatada, R.
1994-01-01
Titanium films 70nm thick were deposited on austenitic type 316L stainless steel substrates, and these specimens were irradiated with titanium ions of energy 70kV at a fluence of 1x10 17 ioncm -2 , using a metal vapor vacuum arc (MEVVA) IV metallic ion source at room temperature. After irradiation, titanium nitride (TiN) films were deposited by titanium evaporation and simultaneous irradiation by a nitrogen ion beam, with transport ratios of Ti to N atoms from 0.5 to 10.0 and an ion acceleration voltage of 2kV. The preferred orientation of the TiN films varied from left angle 200 right angle to left angle 111 right angle normal to the surface when the transport ratio was increased. With the help of Auger electron spectroscopy, interfacial mixing was verified. Nitrogen atoms were present in the state of titanium nitride for all transport ratios from 0.5 up to 10.0. However, the chemical bonding state of titanium changed from titanium nitride to the metallic state with increasing transport ratio Ti/N. The corrosion behavior was evaluated in an aqueous solution of sulfuric acid saturated with oxygen, using multisweep cyclic voltammetry measurements. Thin film deposition of pure titanium and titanium implantation prior to TiN deposition have beneficial effects on the suppression of transpassive chromium dissolution. ((orig.))
Experimental evaluation of chromium-carbide-based solid lubricant coatings for use to 760 C
Dellacorte, Christopher
1987-01-01
A research program is described which further developed and investigated chromium carbide based self-lubricating coatings for use to 760 C. A bonded chromium carbide was used as the base stock because of the known excellent wear resistance and the chemical stability of chromium carbide. Additives were silver and barium fluoride/calcium fluoride eutectic. The three coating components were blended in powder form, applied to stainless steel substrates by plasma spraying and then diamond ground to the desired coating thickness. A variety of coating compositions was tested to determine the coating composition which gave optimum tribological results. Coatings were tested in air, helium, and hydrogen at temperatures from 25 to 760 C. Several counterface materials were evaluated with the objective of discovering a satisfactory metal/coating sliding combination for potential applications, such as piston ring/cylinder liner couples for Stirling engines. In general, silver and fluoride additions to chromium carbide reduced the friction coefficient and increased the wear resistance relative to the unmodified coating. The lubricant additives acted synergistically in reducing friction and wear.
Work Function Tuning in Sub-20nm Titanium Nitride (TiN) Metal Gate: Mechanism and Engineering
Hasan, Mehdi
2011-07-01
Scaling of transistors (the building blocks of modern information age) provides faster computation at the expense of excessive power dissipation. Thus to address these challenges, high-k/metal gate stack has been introduced in commercially available microprocessors from 2007. Since then titanium nitride (TiN) metal gate’s work function (Wf) tunability with its thickness (thickness increases, work function increases) is a well known phenomenon. Many hypotheses have been made over the years which include but not limited to: trap charge and metal gate nucleation, nitrogen concentration, microstructure agglomeration and global stress, metal oxide formation, and interfacial oxide thickness. However, clear contradictions exist in these assumptions. Also, nearly all these reports skipped a comprehensive approach to explain this complex paradigm. Therefore, in this work we first show a comprehensive physical investigation using transmission electron microcopy/electron energy loss spectroscopy (TEM/EELS), x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS) to show replacement of oxygen by nitrogen in the metal/dielectric interface, formation of TiONx, reduction of Ti/N concentration and grain size increment happen with TiN thickness increment and thus may increase the work function. Then, using these finding, we experimentally show 100meV of work function modulation in 10nm TiN Metal-oxide-semiconductor capacitor by using low temperature oxygen annealing. A low thermal budget flow (replicating gate-last) shows similar work function boost up. Also, a work function modulation of 250meV has been possible using oxygen annealing and applying no thermal budget. On the other hand, etch-back of TiN layer can decrease the work function. Thus this study quantifies role of various factors in TiN work function tuning; it also reproduces the thickness varied TiN work function modulation in single thickness TiN thus reducing the
Growth and characterization of thick cBN coatings on silicon and tool substrates
International Nuclear Information System (INIS)
Bewilogua, K.; Keunecke, M.; Weigel, K.; Wiemann, E.
2004-01-01
Recently some research groups have achieved progress in the deposition of cubic boron nitride (cBN) coatings with a thickness of 2 μm and more, which is necessary for cutting tool applications. In our laboratory, thick cBN coatings were sputter deposited on silicon substrates using a boron carbide target. Following a boron carbide interlayer (few 100 nm thick), a gradient layer with continuously increasing nitrogen content was prepared. After the cBN nucleation, the process parameters were modified for the cBN film growth to a thickness of more than 2 μm. However, the transfer of this technology to technically relevant substrates, like cemented carbide cutting inserts, required some further process modifications. At first, a titanium interlayer had to be deposited followed by a more than 1-μm-thick boron carbide layer. The next steps were identical to those on silicon substrates. The total coating thickness was in the range of 3 μm with a 0.5- to nearly 1-μm-thick cBN top layer. In spite of the enormous intrinsic stress, both the coatings on silicon and on cemented carbide exhibited a good adhesion and a prolonged stability in humid air. Oxidation experiments revealed a stability of the coating system on cemented carbide up to 700 deg. C and higher. Coated cutting inserts were tested in turning operations with different metallic workpiece materials. The test results will be compared to those of well-established cutting materials, like polycrystalline cubic boron nitride (PCBN) and oxide ceramics, considering the wear of coated tools
Powder metallurgy of refractory metals
International Nuclear Information System (INIS)
Eck, R.
1979-01-01
This paper reports on the powder metallurgical methods for the production of high-melting materials, such as pure metals and their alloys, compound materials with a tungsten base and hard metals from liquid phase sintered carbides. (author)
XPS study of the ultrathin a-C:H films deposited onto ion beam nitrided AISI 316 steel
International Nuclear Information System (INIS)
Meskinis, S.; Andrulevicius, M.; Kopustinskas, V.; Tamulevicius, S.
2005-01-01
Effects of the steel surface treatment by nitrogen ion beam and subsequent deposition of the diamond-like carbon (hydrogenated amorphous carbon (a-C:H) and nitrogen doped hydrogenated amorphous carbon (a-CN x :H)) films were investigated by means of the X-ray photoelectron spectroscopy (XPS). Experimental results show that nitrogen ion beam treatment of the AISI 316 steel surface even at room temperature results in the formation of the Cr and Fe nitrides. Replacement of the respective metal oxides by the nitrides takes place. Formation of the C-N bonds was observed for both ultrathin a-C:H and ultrathin a-CN x :H layers deposited onto the nitrided steel. Some Fe and/or Cr nitrides still were presented at the interface after the film deposition, too. Increased adhesion between the steel substrate and hydrogenated amorphous carbon layer after the ion beam nitridation was explained by three main factors. The first two is steel surface deoxidisation/passivation by nitrogen as a result of the ion beam treatment. The third one is carbon nitride formation at the nitrided steel-hydrogenated amorphous carbon (or a-CN x :H) film interface
Various reactivity effects value for assuring fast reactor core inherent safety
International Nuclear Information System (INIS)
Belov, S.B.; Vasilyev, B.A.
1991-01-01
The paper presents the results of temperature and power reactivity feedback components calculations for fast reactors with different core volume when using oxide, carbide, nitride and metal fuel. Reactor parameters change in loss of flow without scram and transient over power without scram accidents was evaluated. The importance of various reactivity feedback components in restricting the consequences of these accidents has been analyzed. (author)
Comparative sodium void effects for different advanced liquid metal reactor fuel and core designs
International Nuclear Information System (INIS)
Dobbin, K.D.; Kessler, S.F.; Nelson, J.V.; Gedeon, S.R.; Omberg, R.P.
1991-01-01
An analysis of metal-, oxide-, and nitride-fueled advanced liquid metal reactor cores was performed to investigate the calculated differences in sodium void reactivity, and to determine the relationship between sodium void reactivity and burnup reactivity swing using the three fuel types. The results of this analysis indicate that nitride fuel has the least positive sodium void reactivity for any given burnup reactivity swing. Thus, it appears that a good design compromise between transient overpower and loss of flow response is obtained using nitride fuel. Additional studies were made to understand these and other nitride advantages. (author)
Microstructural Study of Titanium Carbide Coating on Cemented Carbide
DEFF Research Database (Denmark)
Vuorinen, S.; Horsewell, Andy
1982-01-01
Titanium carbide coating layers on cemented carbide substrates have been investigated by transmission electron microscopy. Microstructural variations within the typically 5µm thick chemical vapour deposited TiC coatings were found to vary with deposit thickness such that a layer structure could...... be delineated. Close to the interface further microstructural inhomogeneities were obsered, there being a clear dependence of TiC deposition mechanism on the chemical and crystallographic nature of the upper layers of the multiphase substrate....
Screen-printed electrode for alkali-metal thermoelectric converter
Energy Technology Data Exchange (ETDEWEB)
Hashimoto, T.; Shibata, K.; Tsuchida, K.; Kato, A. (Kyushu Univ., Fukuoka (Japan). Faculty of Engineering)
1992-06-01
An alkali-metal thermoelectric converter (AMTEC) is a device for the direct conversion of thermal to electric energy. An AMTEC contains sodium as working fluid and is divided into a high-temperature region (900-1300 K) and a low-temperature region (400-800 K) by [beta]''-alumina solid electrolyte. A high-performance electrode for an AMTEC must have good electrical conductivity, make a strong physical bond with low contact resistance to [beta]''-alumina, be highly permeable to sodium vapour, resist corrosion by sodium and have a low rate of evaporation at the operating temperature of the AMTEC. We have previously investigated the interaction of nitrides and carbides of some transition-metals (groups IV, V and VI) with [beta],[beta]''-alumina or liquid sodium (about 700degC) with the objective of finding a better electrode material for an AMTEC. The results showed that TiN, TiC, NbN and NbC were good candidates for AMTEC electrodes. We also showed that porous TiN film with low resistance can be prepared by the screen-printing method. In the present work the porous NbN film was prepared by the screen-printing method and the performance as the electrode of an AMTEC was examined. For comparison, the performance of TiN and Mo electrodes prepared by the screen-printing method was also examined. (author).
Mayyas, Mohannad; Pahlevani, Farshid; Maroufi, Samane; Liu, Zhao; Sahajwalla, Veena
2017-03-01
Environmental concern about automotive shredder residue (ASR) has increased in recent years due to its harmful content of heavy metals. Although several approaches of ASR management have been suggested, these approaches remain commercially unproven. This study presents an alternative approach for ASR management where advanced materials can be generated as a by-product. In this approach, titanium nitride (TiN) has been thermally synthesized by nitriding pressed mixture of automotive shredder residue (ASR) and titanium oxide (TiO 2 ). Interactions between TiO 2 and ASR at non-isothermal conditions were primarily investigated using thermogravimetric analysis (TGA) and differential scanning calorimetry. Results indicated that TiO 2 influences and catalyses degradation reactions of ASR, and the temperature, at which reduction starts, was determined around 980 °C. The interaction between TiO 2 and ASR at isothermal conditions in the temperature range between 1200 and 1550 °C was also studied. The pressed mixture of both materials resulted in titanium nitride (TiN) ceramic at all given temperatures. Formation kinetics were extracted using several models for product layer diffusion-controlled solid-solid and solid-fluid reactions. The effect of reactants ratio and temperature on the degree of conversion and morphology was investigated. The effect of reactants ratio was found to have considerable effect on the morphology of the resulting material, while temperature had a lesser impact. Several unique structures of TiN (porous nanostructured, polycrystalline, micro-spherical and nano-sized structures) were obtained by simply tuning the ratio of TiO 2 to ASR, and a product with appreciable TiN content of around 85% was achieved after only one hour nitridation at 1550 °C. Copyright © 2016 Elsevier Ltd. All rights reserved.
Suharno, B.; Supriadi, S.; Ayuningtyas, S. T.; Widjaya, T.; Baek, E. R.
2018-01-01
Brackets orthodontic create teeth movement by applying force from wire to bracket then transferred to teeth. However, emergence of friction between brackets and wires reduces load for teeth movement towards desired area. In order to overcome these problem, surface treatment like nitriding chosen as a process which could escalate efficiency of transferred force by improving material hardness since hard materials have low friction levels. This work investigated nitriding treatment to form nitride layer which affecting hardness of sintered SS 17-4PH. The nitride layers produced after nitriding process at various temperature i.e. 470°C, 500°C, 530°C with 8hr holding time under 50% NH3 atmosphere. Optical metallography was conducted to compare microstructure of base and surface metal while the increasing of surface hardness then observed using vickers microhardness tester. Hardened surface layer was obtained after gaseous nitriding process because of nitride layer that contains Fe4N, CrN and Fe-αN formed. Hardness layers can achieved value 1051 HV associated with varies thickness from 53 to 119 μm. The presence of a precipitation process occurring in conjunction with nitriding process can lead to a decrease in hardness due to nitrogen content diminishing in solid solution phase. This problem causes weakening of nitrogen expansion in martensite lattice.
International Nuclear Information System (INIS)
Li, C.C.; Lai, G.Y.
1978-09-01
Chromium carbide-nichrome coatings being considered for wear protection of some critical components in high-temperature gas-cooled reactors (HTGR's) were investigated. The coatings were deposited either by the detonation gun or the plasma-arc process. Sliding wear tests were conducted on specimens in a button-on-plate arrangement with sliding velocities of 7.1 x 10 -3 and 7.9 mm/s at 816 0 C in a helium environment simulates HTGR primary coolant chemistry. The coatings containing 75 or 80 wt % chromium carbide exhibited excellent wear resistance. As the chromium carbide content decreased from either 80 or 75 to 55 wt %, with a concurrent decrease in coating hardness, wear-resistance deteriorated. The friction and wear behavior of the soft coating was similar to that of the bare metal--showing severe galling and significant amounts of wear debris. The friction characteristics of the hard coating exhibited a strong velocity dependence with high friction coefficients in low sliding velocity tests ad vice versa. Both the soft coating and bare metal showed no dependence on sliding velocity. The wear behavior observed in this study is of adhesive type, and the wear damage is believed to be controlled primarily by the delamination process
Ab initio calculations of non-stoichiometric copper nitride, pure and with palladium
International Nuclear Information System (INIS)
Moreno-Armenta, Maria G.; Soto, Gerardo; Takeuchi, Noboru
2011-01-01
Research highlights: → The most stable arrangement corresponds to the Cu 3 N-anti ReO 3 structure. → Formation energy of Cu 32 Vac 0 N 8 and Cu 24 Pd 8 Vac 0 N 8 are very similar. → The biggest volume in the compound is Cu 31 Pd 1 Vac 0 N 8/ . → Small amount introduction of extra metal atoms in copper nitride is possible. - Abstract: We present first principles calculations of copper nitride by using periodic density functional theory within a plane-wave ultrasoft pseudopotential scheme. The insertions of extra Cu and/or Pd atoms in the empty sites, vacancy reorganization, and substitution of Cu by Pd atoms were studied. We have used an equivalent reduced-symmetry 2 x 2 x 2 Cu 3 N-like cubic super-cell. Small Cu and/or Pd concentrations and vacancy rearrangements in the copper sub-lattice were conveniently calculated in these low-symmetry cells. We cover probable situations like: the occupation of the initially empty copper sites by (1) copper atoms, and by (2) palladium; (3) the relocation of vacancies in the copper sub-lattice; and (4) the substitution of small quantities of copper by palladium atoms in the copper sub-lattice. The equilibrium volumes and energies after relaxing the atomic positions are compared to those of intrinsic copper nitride. We found that the most stable arrangement corresponds to the ideal stoichiometric Cu 3 N. We also found that any deviation from this ideal configuration shift the semiconductor state to a metallic or semi-metallic one.
Chen, Rui; Zhang, Jinfeng; Wang, Yu; Chen, Xianfeng; Zapien, J. Antonio; Lee, Chun-Sing
2015-10-01
Recently, nanoscale metal-organic frameworks (NMOFs) have started to be developed as a promising platform for bioimaging and drug delivery. On the other hand, combination therapies using multiple approaches are demonstrated to achieve much enhanced efficacy. Herein, we report, for the first time, core-shell nanoparticles consisting of a photodynamic therapeutic (PDT) agent and a MOF shell while simultaneously carrying a chemotherapeutic drug for effective combination therapy. In this work, core-shell nanoparticles of zeolitic-imadazolate framework-8 (ZIF-8) as shell embedded with graphitic carbon nitride (g-C3N4) nanosheets as core are fabricated by growing ZIF-8 in the presence of g-C3N4 nanosheets. Doxorubicin hydrochloride (DOX) is then loaded into the ZIF-8 shell of the core-shell nanoparticles. The combination of the chemotherapeutic effects of DOX and the PDT effect of g-C3N4 nanosheets can lead to considerably enhanced efficacy. Furthermore, the red fluorescence of DOX and the blue fluorescence of g-C3N4 nanosheets provide the additional function of dual-color imaging for monitoring the drug release process.Recently, nanoscale metal-organic frameworks (NMOFs) have started to be developed as a promising platform for bioimaging and drug delivery. On the other hand, combination therapies using multiple approaches are demonstrated to achieve much enhanced efficacy. Herein, we report, for the first time, core-shell nanoparticles consisting of a photodynamic therapeutic (PDT) agent and a MOF shell while simultaneously carrying a chemotherapeutic drug for effective combination therapy. In this work, core-shell nanoparticles of zeolitic-imadazolate framework-8 (ZIF-8) as shell embedded with graphitic carbon nitride (g-C3N4) nanosheets as core are fabricated by growing ZIF-8 in the presence of g-C3N4 nanosheets. Doxorubicin hydrochloride (DOX) is then loaded into the ZIF-8 shell of the core-shell nanoparticles. The combination of the chemotherapeutic effects of DOX
Design and Performance of Property Gradient Ternary Nitride Coating Based on Process Control.
Yan, Pei; Chen, Kaijie; Wang, Yubin; Zhou, Han; Peng, Zeyu; Jiao, Li; Wang, Xibin
2018-05-09
Surface coating is an effective approach to improve cutting tool performance, and multiple or gradient coating structures have become a common development strategy. However, composition mutations at the interfaces decrease the performance of multi-layered coatings. The key mitigation technique has been to reduce the interface effect at the boundaries. This study proposes a structure design method for property-component gradient coatings based on process control. The method produces coatings with high internal cohesion and high external hardness, which could reduce the composition and performance mutations at the interface. A ZrTiN property gradient ternary nitride coating was deposited on cemented carbide by multi-arc ion plating with separated Ti and Zr targets. The mechanical properties, friction behaviors, and cutting performances were systematically investigated, compared with a single-layer coating. The results indicated that the gradient coating had better friction and wear performance with lower wear rate and higher resistance to peeling off during sliding friction. The gradient coating had better wear and damage resistance in cutting processes, with lower machined surface roughness Ra. Gradient-structured coatings could effectively inhibit micro crack initiation and growth under alternating force and temperature load. This method could be extended to similar ternary nitride coatings.
Microstructure and phase evolution in laser clad chromium carbide-NiCrMoNb
Energy Technology Data Exchange (ETDEWEB)
Venkatesh, L., E-mail: venkatesh@arci.res.in [International Advanced Research Centre for Powder Metallurgy and New Materials (ARCI), Balapur, Hyderabad 500005 (India); Department of Metallurgical Engineering & Materials Science, IIT Bombay, Powai, Mumbai 400076 (India); Samajdar, I. [Department of Metallurgical Engineering & Materials Science, IIT Bombay, Powai, Mumbai 400076 (India); Tak, Manish [International Advanced Research Centre for Powder Metallurgy and New Materials (ARCI), Balapur, Hyderabad 500005 (India); Doherty, Roger D. [Department of Materials Engineering, Drexel University, Philadelphia, PA 19104 (United States); Gundakaram, Ravi C.; Prasad, K. Satya; Joshi, S.V. [International Advanced Research Centre for Powder Metallurgy and New Materials (ARCI), Balapur, Hyderabad 500005 (India)
2015-12-01
Highlights: • Microstructural development during laser cladding has been studied. • In this multi component system Cr{sub 7}C{sub 3} is found to be the stable carbide phase. • Phases were identified by EBSD since XRD results were not conclusive. • Increase in laser power and/or scanning speed reduced the carbide content. • Hardness seems to depend on phase content as well as microstructure. - Abstract: Microstructural development in laser clad layers of Chromium carbide (Cr{sub x}C{sub y})-NiCrMoNb on SA 516 steel has been investigated. Although the starting powder contained both Cr{sub 3}C{sub 2} and Cr{sub 7}C{sub 3}, the clad layers showed only the presence of Cr{sub 7}C{sub 3}. Microtexture measurements by electron back scattered diffraction (EBSD) revealed primary dendritic Cr{sub 7}C{sub 3} with Ni rich FCC metallic phase being present in the interdendritic spaces. Further annealing of the laser clad layers and furnace melting of the starting powder confirmed that Cr{sub 7}C{sub 3} is the primary as well as stable carbide phase in this multi component system. Increase in laser power and scanning speed progressively reduced carbide content in the laser clad layers. Increased scanning speed, which enhances the cooling rate, also led to reduction in the secondary arm spacing (λ{sub 2}) of the Cr{sub 7}C{sub 3} dendrites. The clad layer hardness increased with carbide content and with decreased dendrite arm spacing.
Tantalum Nitride Electron-Selective Contact for Crystalline Silicon Solar Cells
Yang, Xinbo
2018-04-19
Minimizing carrier recombination at contact regions by using carrier‐selective contact materials, instead of heavily doping the silicon, has attracted considerable attention for high‐efficiency, low‐cost crystalline silicon (c‐Si) solar cells. A novel electron‐selective, passivating contact for c‐Si solar cells is presented. Tantalum nitride (TaN x ) thin films deposited by atomic layer deposition are demonstrated to provide excellent electron‐transporting and hole‐blocking properties to the silicon surface, due to their small conduction band offset and large valence band offset. Thin TaNx interlayers provide moderate passivation of the silicon surfaces while simultaneously allowing a low contact resistivity to n‐type silicon. A power conversion efficiency (PCE) of over 20% is demonstrated with c‐Si solar cells featuring a simple full‐area electron‐selective TaNx contact, which significantly improves the fill factor and the open circuit voltage (Voc) and hence provides the higher PCE. The work opens up the possibility of using metal nitrides, instead of metal oxides, as carrier‐selective contacts or electron transport layers for photovoltaic devices.
Optical characterisation of III-V nitride-based multiphase and diluted magnetic semiconductors
International Nuclear Information System (INIS)
Wegscheider, M.
2009-01-01
The present work is devoted to the investigation of the optical properties of transition metal doped Gallium nitride. The Gallium nitride layers are epitaxially grown in a full metalorganic chemical vapour deposition process whereas the transition metals iron or manganese as well as the n and p-type dopants silicon and magnesium are incorporated simultaneously. Background and driving force of the realization of such material systems is basically the evocation of ferromagnetic spin alignment where free carriers ensure the correspondence between the localized spin state provided by the metal ions. The production of completely new devices for semiconductor industries based on the possibility to switch on or off the ferrimagnetic alignment by changing the free carrier concentration can be expected in the near future. In this context photoluminescence studies in the ultraviolet and mid infrared spectral range at temperatures between the liquid helium point and room temperature at atmospheric pressure were made. These measurements basically provide information on optical transitions between the conduction and valence band and deep defects as well as on crystal field forced transitions within the d-orbitals of the metal ion involved. In this context valuable knowledge could have been gained on doping concentrations, growth fashions and parameters, formation of secondary phases as well as on the doping efficiency and incorporation sites of the metal atoms. (author) [de
Carbon in palladium catalysts: A metastable carbide
International Nuclear Information System (INIS)
Seriani, Nicola; Mittendorfer, Florian; Kresse, Georg
2010-01-01
The catalytic activity of palladium towards selective hydrogenation of hydrocarbons depends on the partial pressure of hydrogen. It has been suggested that the reaction proceeds selectively towards partial hydrogenation only when a carbon-rich film is present at the metal surface. On the basis of first-principles simulations, we show that carbon can dissolve into the metal because graphite formation is delayed by the large critical nucleus necessary for graphite nucleation. A bulk carbide Pd 6 C with a hexagonal 6-layer fcc-like supercell forms. The structure is characterized by core level shifts of 0.66-0.70 eV in the core states of Pd, in agreement with experimental x-ray photoemission spectra. Moreover, this phase traps bulk-dissolved hydrogen, suppressing the total hydrogenation reaction channel and fostering partial hydrogenation. (author)
Alhajri, Nawal Saad
2014-01-01
Molybdenum carbide nanocrystals (Mo2C) with sizes ranging from 3 to 20 nm were synthesized within a carbon matrix starting from a mesoporous graphitic carbon nitride (mpg-C3N4) template with confined pores. A molybdenum carbide phase (Mo2C) with a hexagonal structure was formed using a novel synthetic method involving the reaction of a molybdenum precursor with the carbon residue originating from C3N4 under nitrogen at various temperatures. The synthesized nanocomposites were characterized using powder X-ray diffraction (XRD), temperature-programmed reaction with mass spectroscopy (MS), CHN elemental analyses, thermogravimetric analyses (TGA), nitrogen sorption, X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM). The results indicated that the synthesized samples have different surface structures and compositions, which are accordingly expected to exhibit different electrocatalytic activities toward the hydrogen evolution reaction (HER). Electrochemical measurements demonstrated that the sample synthesized at 1323 K exhibited the highest and most stable HER current in acidic media, with an onset potential of -100 mV vs. RHE, among the samples prepared in this study. This result is attributed to the sufficiently small particle size (∼8 nm on average) and accordingly high surface area (308 m2 g-1), with less oxidized surface entrapped within the graphitized carbon matrix. © 2014 the Partner Organisations.
Phase formation, thermal stability and magnetic moment of cobalt nitride thin films
Directory of Open Access Journals (Sweden)
Rachana Gupta
2015-09-01
Full Text Available Cobalt nitride (Co-N thin films prepared using a reactive magnetron sputtering process are studied in this work. During the thin film deposition process, the relative nitrogen gas flow (RN2 was varied. As RN2 increases, Co(N, Co4N, Co3N and CoN phases are formed. An incremental increase in RN2, after emergence of Co4N phase at RN2 = 10%, results in a linear increase of the lattice constant (a of Co4N. For RN2 = 30%, a maximizes and becomes comparable to its theoretical value. An expansion in a of Co4N, results in an enhancement of the magnetic moment, to the extent that it becomes even larger than pure Co. Such larger than pure metal magnetic moment for tetra-metal nitrides (M4N have been theoretically predicted. Incorporation of N atoms in M4N configuration results in an expansion of a (relative to pure metal and enhances the itinerary of conduction band electrons leading to larger than pure metal magnetic moment for M4N compounds. Though a higher (than pure Fe magnetic moment for Fe4N thin films has been evidenced experimentally, higher (than pure Co magnetic moment is evidenced in this work.
Plasmonic Titanium Nitride Nanostructures via Nitridation of Nanopatterned Titanium Dioxide
DEFF Research Database (Denmark)
Guler, Urcan; Zemlyanov, Dmitry; Kim, Jongbum
2017-01-01
Plasmonic titanium nitride nanostructures are obtained via nitridation of titanium dioxide. Nanoparticles acquired a cubic shape with sharper edges following the rock-salt crystalline structure of TiN. Lattice constant of the resulting TiN nanoparticles matched well with the tabulated data. Energy...
2014-01-01
Comprehensive Hard Materials deals with the production, uses and properties of the carbides, nitrides and borides of these metals and those of titanium, as well as tools of ceramics, the superhard boron nitrides and diamond and related compounds. Articles include the technologies of powder production (including their precursor materials), milling, granulation, cold and hot compaction, sintering, hot isostatic pressing, hot-pressing, injection moulding, as well as on the coating technologies for refractory metals, hard metals and hard materials. The characterization, testing, quality assurance and applications are also covered. Comprehensive Hard Materials provides meaningful insights on materials at the leading edge of technology. It aids continued research and development of these materials and as such it is a critical information resource to academics and industry professionals facing the technological challenges of the future. Hard materials operate at the leading edge of technology, and continued res...
Comparison of Tungsten and Molybdenum Carbide Catalysts for the Hydrodeoxygenation of Oleic Acid
Hollak, S.A.W.; Gosselink, R.J.A.; Es, van D.S.; Bitter, J.H.
2013-01-01
Group 6 (W, Mo) metal carbide catalysts are promising alternatives to hydrodesulfurization (NiMo, CoMo) catalysts and group 10 (Pd) type catalysts in the deoxygenation of vegetable fats/oils. Herein, we report a comparison of carbon nanofiber-supported W2C and Mo2C catalysts on activity,
Hot pressing of uranium nitride and mixed uranium plutonium nitride
International Nuclear Information System (INIS)
Chang, J.Y.
1975-01-01
The hot pressing characteristics of uranium nitride and mixed uranium plutonium nitride were studied. The utilization of computer programs together with the experimental technique developed in the present study may serve as a useful purpose of prediction and fabrication of advanced reactor fuel and other high temperature ceramic materials for the future. The densification of nitrides follow closely with a plastic flow theory expressed as: d rho/ dt = A/T(t) (1-rho) [1/1-(1-rho)/sup 2/3/ + B1n (1-rho)] The coefficients, A and B, were obtained from experiment and computer curve fitting. (8 figures) (U.S.)
International Nuclear Information System (INIS)
Mazzillo, M.; Renna, L.; Costa, N.; Badalà, P.; Sciuto, A.; Mannino, G.
2016-01-01
Exposure to ultraviolet (UV) radiation is a major risk factor for most skin cancers. The sun is our primary natural source of UV radiation. The strength of the sun's ultraviolet radiation is expressed as Solar UV Index (UVI). UV-A (320–400 nm) and UV-B (290–320 nm) rays mostly contribute to UVI. UV-B is typically the most destructive form of UV radiation because it has enough energy to cause photochemical damage to cellular DNA. Also overexposure to UV-A rays, although these are less energetic than UV-B photons, has been associated with toughening of the skin, suppression of the immune system, and cataract formation. The use of preventive measures to decrease sunlight UV radiation absorption is fundamental to reduce acute and irreversible health diseases to skin, eyes and immune system. In this perspective UV sensors able to monitor in a monolithic and compact chip the UV Index and relative UV-A and UV-B components of solar spectrum can play a relevant role for prevention, especially in view of the integration of these detectors in close at hand portable devices. Here we present the preliminary results obtained on our UV-A sensor technology based on the use of hydrogenated Silicon Nitride (SiN:H) thin passivating layers deposited on the surface of thin continuous metal film Ni 2 Si/4H-SiC Schottky detectors, already used for UV-Index monitoring. The first UV-A detector prototypes exhibit a very low leakage current density of about 0.2 pA/mm 2 and a peak responsivity value of 0.027 A/W at 330 nm, both measured at 0V bias.
Energy Technology Data Exchange (ETDEWEB)
Chen, Minghua; Zhang, Jiawei [Key Laboratory of Engineering Dielectric and Applications (Ministry of Education), and School of Applied Science, Harbin University of Science and Technology, Harbin 150080 (China); Chen, Qingguo, E-mail: qgchen@263.net [Key Laboratory of Engineering Dielectric and Applications (Ministry of Education), and School of Applied Science, Harbin University of Science and Technology, Harbin 150080 (China); Qi, Meili [Key Laboratory of Engineering Dielectric and Applications (Ministry of Education), and School of Applied Science, Harbin University of Science and Technology, Harbin 150080 (China); Xia, Xinhui, E-mail: helloxxh@zju.edu.cn [State Key Laboratory of Silicon Materials, Key Laboratory of Advanced Materials and Applications for Batteries of Zhejiang Province, and School of Materials Science and Engineering, Zhejiang University, Hangzhou 310027 (China)
2016-01-15
Highlights: • Reduced graphene oxide supported molybdenum carbides are prepared by two-step strategy. • A unique sheet-on-sheet integrated nanostructure is favorable for fast ion/electron transfer. • The integrated electrode shows excellent Li ion storage performance. - Abstract: Metal carbides are emerging as promising anodes for advanced lithium ion batteries (LIBs). Herein we report reduced graphene oxide (RGO) supported molybdenum carbides (Mo{sub 2}C) integrated electrode by the combination of solution and carbothermal methods. In the designed integrated electrode, Mo{sub 2}C nanoparticles are uniformly dispersed among graphene nanosheets, forming a unique sheet-on-sheet integrated nanostructure. As anode of LIBs, the as-prepared Mo{sub 2}C-RGO integrated electrode exhibits noticeable electrochemical performances with a high reversible capacity of 850 mAh g{sup −1} at 100 mA g{sup −1}, and 456 mAh g{sup −1} at 1000 mA g{sup −1}, respectively. Moreover, the Mo{sub 2}C-RGO integrated electrode shows excellent cycling life with a capacity of ∼98.6 % at 1000 mA g{sup −1} after 400 cycles. Our research may pave the way for construction of high-performance metal carbides anodes of LIBs.
Method for Forming Fiber Reinforced Composite Bodies with Graded Composition and Stress Zones
Singh, Mrityunjay (Inventor); Levine, Stanley R. (Inventor); Smialek, James A. (Inventor)
1999-01-01
A near-net, complex shaped ceramic fiber reinforced silicon carbide based composite bodies with graded compositions and stress zones is disclosed. To provide the composite a fiber preform is first fabricated and an interphase is applied by chemical vapor infiltration, sol-gel or polymer processes. This first body is further infiltrated with a polymer mixture containing carbon, and/or silicon carbide, and additional oxide, carbide, or nitride phases forming a second body. One side of the second body is spray coated or infiltrated with slurries containing high thermal expansion and oxidation resistant. crack sealant phases and the other side of this second body is coated with low expansion phase materials to form a third body. This third body consisting of porous carbonaceous matrix surrounding the previously applied interphase materials, is then infiltrated with molten silicon or molten silicon-refractory metal alloys to form a fourth body. The resulting fourth body comprises dense composites consisting of fibers with the desired interphase which are surrounded by silicon carbide and other second phases materials at the outer and inner surfaces comprising material of silicon, germanium, refractory metal suicides, borides, carbides, oxides, and combinations thereof The resulting composite fourth body has different compositional patterns from one side to the other.
Zheng, Yun; Yu, Zihao; Lin, Feng; Guo, Fangsong; Alamry, Khalid A; Taib, Layla A; Asiri, Abdullah M; Wang, Xinchen
2017-04-01
As a promising conjugated polymer, binary carbon nitride has attracted extensive attention as a metal-free and visible-light-responsive photocatalyst in the area of photon-involving purification of water and air. Herein, we report sulfur-doped polymeric carbon nitride microrods that are synthesized through thermal polymerization based on trithiocyanuric acid and melamine (TM) supramolecular aggregates. By tuning the polymerization temperature, a series of sulfur-doped carbon nitride microrods are prepared. The degradation of Rhodamine B (RhB) and the reduction of hexavalent chromium Cr(VI) are selected as probe reactions to evaluate the photocatalytic activities. Results show that increasing pyrolysis temperature leads to a large specific surface area, strong visible-light absorption, and accelerated electron-hole separation. Compared to bulk carbon nitride, the highly porous sulfur-doped carbon nitride microrods fabricated at 650 °C exhibit remarkably higher photocatalytic activity for degradation of RhB and reduction of Cr(VI). This work highlights the importance of self-assembly approach and temperature-control strategy in the synthesis of photoactive materials for environmental remediation.
Harries, Dennis; Langenhorst, Falko
2018-02-01
We found that the particle RA-QD02-0115 returned by the Hayabusa spacecraft from near-Earth asteroid 25143 Itokawa contains the iron carbide haxonite (Fe21.9-22.7Co0.2-0.3Ni0.2-0.8)C6 and several Fe,Ni alloys, including multi-domain tetrataenite and spinodally decomposed taenite. Ellipsoidal to nearly spherical voids occur throughout the particle and suggest the presence of a fluid phase during textural and chemical equilibration of the host rock within the parent asteroid of 25143 Itokawa. The calculated solubility of carbon in Fe,Ni metal indicates that the carbide formed at temperatures larger than 600 °C during thermal metamorphism of the LL-chondritic mineral assemblage. Haxonite formed metastably with respect to graphite and cohenite, probably due to its high degree of lattice match with neighboring taenite, a low cooling rate at peak metamorphic temperatures, and the hindered nucleation of graphite. Thermodynamic equilibrium calculations indicate that the fluid present was dry (H2O-poor) and dominated by methane. The reactive fluid most plausibly had an atomic H/C ratio of 4-5 and was derived from the reduction of macromolecular, insoluble organic matter (IOM) that initially co-accreted with water ice. The initial presence of water is a necessary assumption to provide sufficient hydrogen for the formation of methane from hydrolyzed IOM. Metallic iron was in turn partially oxidized and incorporated into the ferromagnesian silicates during the high-temperature stage of metamorphism. An exemplary bulk reaction from unequilibrated material on the left to an equilibrated assemblage on the right may be written as: 330 CH0.8O0.2(IOM) + 500 H2O(ice/g) + 681 Fe(in alloy) + 566 FeSiO3(in Opx) → 300 CH4(g) + 32 H2(g) + 5 Fe23C6(in Hx) + 566 Fe2SiO4(in Ol) (Opx = orthopyroxene, Hx = haxonite, Ol = olivine, g = fluid species). The best estimate of the fluid/rock ratio in the region of the LL parent body where RA-QD02-0115 formed is about 3 × 10-3 and corresponds to
An electrochemical process for the recycling of tungsten carbide scrap
International Nuclear Information System (INIS)
Johns, M.W.
1984-01-01
An account is given of the development of a number of designs for electrochemical cells, and the subsequent construction and operation of a vibrating-plate cell capable of oxidizing 15 kilograms of tungsten carbide a day to a crude tungstic acid precipitate, with similtaneous recovery of cobalt metal on the cathode. The effects on the process of the reagent concentration, temperature, current density, and cathode material are discussed
Plasma assisted nitriding for micro-texturing onto martensitic stainless steels*
Directory of Open Access Journals (Sweden)
Katoh Takahisa
2015-01-01
Full Text Available Micro-texturing method has grown up to be one of the most promising procedures to form micro-lines, micro-dots and micro-grooves onto the mold-die materials and to duplicate these micro-patterns onto metallic or polymer sheets via stamping or injection molding. This related application requires for large-area, fine micro-texturing onto the martensitic stainless steel mold-die materials. A new method other than laser-machining, micro-milling or micro-EDM is awaited for further advancement of this micro-texturing. In the present paper, a new micro-texturing method is developed on the basis of the plasma assisted nitriding to transform the two-dimensionally designed micro-patterns to the three dimensional micro-textures in the martensitic stainless steels. First, original patterns are printed onto the surface of stainless steel molds by using the dispenser or the ink-jet printer. Then, the masked mold is subjected to high density plasma nitriding; the un-masked surfaces are nitrided to have higher hardness, 1400 Hv than the matrix hardness, 200 Hv of stainless steels. This nitrided mold is further treated by sand-blasting to selectively remove the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel mold is fabricated as a tool to duplicate these micro-patterns onto the plastic materials by the injection molding.
Mechanisms of metal dusting corrosion
DEFF Research Database (Denmark)
Hummelshøj, Thomas Strabo
In this thesis the early stages of metal dusting corrosion is addressed; the development of carbon expanded austenite, C, and the decomposition hereof into carbides. Later stages of metal dusting corrosion are explored by a systematic study of stainless steel foils exposed to metal dusting...... deformed stainless steel flakes is transformed to expanded martensite/austenite during low-temperature carburization. Various experimental procedures to experimentally determine the concentration dependent diffusion coefficient of carbon in expanded austenite are evaluated. The most promising procedure...... powders and flakes. The nature of the decomposition products, carbides of the form M23C6 and M7C3, were evaluated by X-ray diffraction, light optical microscopy, scanning electron microscopy and thermodynamic modelling. The decomposition was found to be dependent on several parameters such as thermal...
Helium diffusion in irradiated boron carbide
International Nuclear Information System (INIS)
Hollenberg, G.W.
1981-03-01
Boron carbide has been internationally adopted as the neutron absorber material in the control and safety rods of large fast breeder reactors. Its relatively large neutron capture cross section at high neutron energies provides sufficient reactivity worth with a minimum of core space. In addition, the commercial availability of boron carbide makes it attractive from a fabrication standpoint. Instrumented irradiation experiments in EBR-II have provided continuous helium release data on boron carbide at a variety of operating temperatures. Although some microstructural and compositional variations were examined in these experiments most of the boron carbide was prototypic of that used in the Fast Flux Test Facility. The density of the boron carbide pellets was approximately 92% of theoretical. The boron carbide pellets were approximately 1.0 cm in diameter and possessed average grain sizes that varied from 8 to 30 μm. Pellet centerline temperatures were continually measured during the irradiation experiments
Characteristics of Au/PZT/TiO2/Nitride/Si structure capacitors with ICP nitride treatments
International Nuclear Information System (INIS)
Min, Hyung Seob; Kim, Tae Ho; Jeon, Chang Bae; Lee, Jae Gab; Kim, Ji Young
2002-01-01
In this study, the characteristics of PZT/TiO 2 ferroelectric gate stack capacitors with Inductively Coupled Plasma (ICP) nitridation were investigated for field effect transistor (FET)-type Ferroelectric Random Access Memory (FeRAM) applications. If a high accumulation capacitance is to be had, the ICP nitridation time needs to be optimized. While a short ICP treatment time results in thermal oxide growth due to lack of nitrogen, a long nitridation time causes a nitride layer which is too thick. Au/PZT(200 nm)/TiO 2 (40 nm)/Nitride/Si (MeFINS) structure capacitors show a memory window (ΔV) of 1.6 V under ±3-V operation while Au/PZT(200 nm)/TiO 2 (40 nm)/Si (MeFIS) capacitors without nitride treatment exhibit a small memory window of 0.6 V. At the same time, the capacitance of the MeFINS device is almost twice that of the MeFIS capacitor. This result implies that the ICP nitride treatment suppresses the formation of a low dielectric constant interfacial SiO x layer and alleviates the series capacitance problem
Properties of minor actinide nitrides
International Nuclear Information System (INIS)
Takano, Masahide; Itoh, Akinori; Akabori, Mitsuo; Arai, Yasuo; Minato, Kazuo
2004-01-01
The present status of the research on properties of minor actinide nitrides for the development of an advanced nuclear fuel cycle based on nitride fuel and pyrochemical reprocessing is described. Some thermal stabilities of Am-based nitrides such as AmN and (Am, Zr)N were mainly investigated. Stabilization effect of ZrN was cleary confirmed for the vaporization and hydrolytic behaviors. New experimental equipments for measuring thermal properties of minor actinide nitrides were also introduced. (author)
Determination of nitrogen in boron carbide with the Leco UO-14 Nitrogen Determinator
International Nuclear Information System (INIS)
Gardner, R.D.; Ashley, W.H.; Henicksman, A.L.
1977-11-01
Use of various metals as fluxes for releasing nitrogen from boron carbide in the Leco Nitrogen Determinator was investigated. Metals such as iron, chromium, and molybdenum that wet the graphite crucible all promoted nitrogen release. Tin, copper, aluminum, and platinum did not wet the graphite and were of no value as fluxes. A procedure for sample handling and the resulting performance of the method are described. The precision at 0.06 to 0.6 percent nitrogen averaged 4 percent relative standard deviation
International Nuclear Information System (INIS)
Rovere, Florian; Mayrhofer, Paul H; Music, Denis; Ershov, Sergey; Baben, Moritz to; Schneider, Jochen M; Fuss, Hans-Gerd
2010-01-01
The phase stability of Al-containing cubic transition metal (TM) nitrides, where Al substitutes for TM (i.e. TM 1-x Al x N), is studied as a function of the TM valence electron concentration (VEC). X-ray diffraction and thermal analyses data of magnetron sputtered Ti 1-x Al x N, V 1-x Al x N and Cr 1-x Al x N films indicate increasing phase stability of cubic TM 1-x Al x N at larger Al contents and higher temperatures with increasing TM VEC. These experimental findings can be understood based on first principle investigations of ternary cubic TM 1-x Al x N with TM = Sc, Ti, V, Cr, Y, Zr and Nb where the TM VEC and the lattice strain are systematically varied. However, our experimental data indicate that, in addition to the decomposition energetics (cubic TM 1-x Al x N → cubic TMN + hexagonal AlN), future stability models have to include nitrogen release as one of the mechanisms that critically determine the overall phase stability of TM 1-x Al x N.
Densification of silicon and zirconium carbides by a new process: spark plasma sintering
International Nuclear Information System (INIS)
Guillard, F.
2006-12-01
Materials research for suitable utilization in 4. generation nuclear plants needs new ways to densify testing components. Two carbides, silicon and zirconium carbide seems to be the most suitable choice due to their mechanical, thermal and neutron-transparency properties against next nuclear plant specifications. Nevertheless one main difficulty remains, which is densifying them even at high temperature. Spark Plasma Sintering a new metal-, ceramic- and composite-sintering process has been used to densify both SiC and ZrC. Understanding bases of mass transport mechanisms in SPS have been studied. Composites and interfaces have been processed and analyzed. This manuscript reports original results on SiC and ZrC ceramics sintered with commercial powder started, without additives. (author)
Temperature Induced Voltage Offset Drifts in Silicon Carbide Pressure Sensors
Okojie, Robert S.; Lukco, Dorothy; Nguyen, Vu; Savrun, Ender
2012-01-01
We report the reduction of transient drifts in the zero pressure offset voltage in silicon carbide (SiC) pressure sensors when operating at 600 C. The previously observed maximum drift of +/- 10 mV of the reference offset voltage at 600 C was reduced to within +/- 5 mV. The offset voltage drifts and bridge resistance changes over time at test temperature are explained in terms of the microstructure and phase changes occurring within the contact metallization, as analyzed by Auger electron spectroscopy and field emission scanning electron microscopy. The results have helped to identify the upper temperature reliable operational limit of this particular metallization scheme to be 605 C.
Shock Response of Boron Carbide
National Research Council Canada - National Science Library
Dandekar, D. P. (Dattatraya Purushottam)
2001-01-01
.... The present work was undertaken to determine tensile/spall strength of boron carbide under plane shock wave loading and to analyze all available shock compression data on boron carbide materials...
Li, Yang; He, Yongyong; Wang, Wei; Mao, Junyuan; Zhang, Lei; Zhu, Yijie; Ye, Qianwen
2018-03-01
In direct current plasma nitriding (DCPN), the treated components are subjected to a high cathodic potential, which brings several inherent shortcomings, e.g., damage by arcing and the edging effect. In active screen plasma nitriding (ASPN) processes, the cathodic potential is applied to a metal screen that surrounds the workload, and the component to be treated is placed in a floating potential. Such an electrical configuration allows plasma to be formed on the metal screen surface rather than on the component surface; thus, the shortcomings of the DCPN are eliminated. In this work, the nitrided experiments were performed using a plasma nitriding unit. Two groups of samples were placed on the table in the cathodic and the floating potential, corresponding to the DCPN and ASPN, respectively. The floating samples and table were surrounded by a steel screen. The DCPN and ASPN of the AISI 304 stainless steels are investigated as a function of the electric potential. The samples were characterized using scanning electron microscopy with energy-dispersive x-ray spectroscopy, x-ray diffraction, atomic force microscopy and transmission electron microscope. Dry sliding ball-on-disk wear tests were conducted on the untreated substrate, DCPN and ASPN samples. The results reveal that all nitrided samples successfully produced similar nitrogen-supersaturated S phase layers on their surfaces. This finding also shows the strong impact of the electric potential of the nitriding process on the morphology, chemical characteristics, hardness and tribological behavior of the DCPN and ASPN samples.
Joining elements of silicon carbide
International Nuclear Information System (INIS)
Olson, B.A.
1979-01-01
A method of joining together at least two silicon carbide elements (e.g.in forming a heat exchanger) is described, comprising subjecting to sufficiently non-oxidizing atmosphere and sufficiently high temperature, material placed in space between the elements. The material consists of silicon carbide particles, carbon and/or a precursor of carbon, and silicon, such that it forms a joint joining together at least two silicon carbide elements. At least one of the elements may contain silicon. (author)
Composition and microstructure of beryllium carbide films prepared by thermal MOCVD
Energy Technology Data Exchange (ETDEWEB)
He, Yu-dan; Luo, Jiang-shan; Li, Jia; Meng, Ling-biao; Luo, Bing-chi; Zhang, Ji-qiang; Zeng, Yong; Wu, Wei-dong, E-mail: wuweidongding@163.com
2016-02-15
Highlights: • Non-columnar-crystal Be{sub 2}C films were firstly prepared by thermal MOCVD. • Beryllium carbide was always the dominant phase in the films. • α-Be and carbon existed in films deposited below and beyond 400 °C, respectively. • Morphology evolved with temperatures and no columnar grains were characterized. • The preferred substrate temperature for depositing high quality Be{sub 2}C films was 400 °C. - Abstract: Beryllium carbide films without columnar-crystal microstructures were prepared on the Si (1 0 0) substrate by thermal metal organic chemical vapor deposition using diethylberyllium as precursor. The influence of the substrate temperature on composition and microstructure of beryllium carbide films was systematically studied. Crystalline beryllium carbide is always the dominant phase according to XRD analysis. Meanwhile, a small amount of α-Be phase exists in films when the substrate temperature is below 400 °C, and hydrocarbon or amorphous carbon exists when the temperature is beyond 400 °C. Surfaces morphology shows transition from domes to cylinders, to humps, and to tetraquetrous crystalline needles with the increase of substrate temperature. No columnar grains are characterized throughout the thickness as revealed from the cross-section views. The average densities of these films are determined to be 2.04–2.17 g/cm{sup 3}. The findings indicate the substrate temperature has great influences on the composition and microstructure of the Be{sub 2}C films grown by thermal MOCVD.
Energy Technology Data Exchange (ETDEWEB)
Kohli, P. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States) and Microelectronics Research Center, University of Texas, Austin, TX 78758 (United States)]. E-mail: puneet.kohli@sematech.org; Chakravarthi, S. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Jain, Amitabh [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Bu, H. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Mehrotra, M. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Dunham, S.T. [Department of Electrical Engineering, University of Washington, Seattle, WA 98195 (United States); Banerjee, S.K. [Microelectronics Research Center, University of Texas, Austin, TX 78758 (United States)
2004-12-15
A nitride spacer with an underlying deposited tetraethoxysilane (TEOS) oxide that behaves as a convenient etch stop layer is a popular choice for sidewall spacer in modern complementary metal oxide semiconductor (CMOS) process flows. In this work, we have investigated the effect of the silicon nitride spacer process chemistry on the boron profile in silicon and the related dose loss of B from Si into silicon dioxide. This is reflected as a dramatic change in the junction depth, junction abruptness and junction peak concentration for the different nitride chemistries. We conclude that the silicon nitride influences the concentration of hydrogen in the silicon dioxide and different nitride chemistries result in different concentrations of hydrogen in the silicon dioxide during the final source/drain anneal. The presence of H enhances the diffusivity of B in the silicon dioxide and thereby results in a significant dose loss from the Si into the silicon dioxide. In this work, we show that this dose loss can be minimized and the junction profile engineered by choosing a desirable nitride chemistry.
Institute of Scientific and Technical Information of China (English)
HAN Yue-Ping; HAN Yan
2009-01-01
Physical and chemical properties of titanium oxynitride (TiOxNy) formed by low-temperature oxidation of titanium nitride (TIN) layer are investigated for advanced metal-oxide--semiconductor (MOS) gate dielectric application.TiOx Ny exhibits polycrystalline properties after the standard thermal process for MOS device fabrication,showing the preferred orientation at [200].Superior electrical properties of TiOxNy can be maintained before and after the annealing,probably due to the nitrogen incorporation in the oxide bulk and at the interface.Naturally formed transition layer between TiOxNy and SiO2 is also confirmed.
Evaluation of catalytic properties of tungsten carbide for the anode of microbial fuel cells
Energy Technology Data Exchange (ETDEWEB)
Rosenbaum, Miriam; Zhao, Feng; Quaas, Marion; Wulff, Harm; Schroeder, Uwe; Scholz, Fritz [Universitaet Greifswald, Institut fuer Biochemie, Felix-Hausdorff-Strasse 4, 17487 Greifswald (Germany)
2007-07-31
In this communication we discuss the properties of tungsten carbide, WC, as anodic electrocatalyst for microbial fuel cell application. The electrocatalytic activity of tungsten carbide is evaluated in the light of its preparation procedure, its structural properties as well as the pH and the composition of the anolyte solution and the catalyst load. The activity of the noble-metal-free electrocatalyst towards the oxidation of several common microbial fermentation products (hydrogen, formate, lactate, ethanol) is studied for microbial fuel cell conditions (e.g., pH 5, room temperature and ambient pressure). Current densities of up to 8.8 mA cm{sup -2} are achieved for hydrogen (hydrogen saturated electrolyte solution), and up to 2 mA cm{sup -2} for formate and lactate, respectively. No activity was observed for ethanol electrooxidation. The electrocatalytic activity and chemical stability of tungsten carbide is excellent in acidic to pH neutral potassium chloride electrolyte solutions, whereas higher phosphate concentrations at neutral pH support an oxidative degradation. (author)
International Nuclear Information System (INIS)
Deepa, J.P.; Resmi, V.G.; Rajan, T.P.D.; Pavithran, C.; Pai, B.C.
2011-01-01
Boron carbide is one of the hard ceramic particles which find application as structural materials and neutron shielding material due to its high neutron capture cross section. Copper coating on boron carbide particle is essential for the synthesis of metal-ceramic composites with enhanced sinterability and dispersibility. Surface characteristics of the substrate and the coating parameters play a foremost role in the formation of effective electroless coating. The effect of surface pre-treatment conditions and pH on electroless copper coating of boron carbide particles has been studied. Surface pre-treatement of B 4 C when compared to acid treated and alkali treated particles were carried out. Uniform copper coating was observed at pH 12 in alkali treated particles when compared to others due to the effective removal of inevitable impurities during the production and processing of commercially available B 4 C. A threshold pH 11 was required for initiation of copper coating on boron carbide particles. The growth pattern of the copper coating also varies depending on the surface conditions from acicular to spherical morphology.
CALCIUM CARBIDE: AN EFFICIENT ALTERNATIVE TO THE USE OF ALUMINUM
Directory of Open Access Journals (Sweden)
Amilton Carlos Pinheiro Cardoso Filho
2013-03-01
Full Text Available The steel demand for fine applications have increased considerably in the last years, and the criteria for its production are even stricter, mainly in relation to the residual elements content and cleanness required. In relation to the steel cleanness, the main problem faced is the control of the amount and morphology of alumina inclusions, generated in the steel deoxidation with aluminum. Besides harming the products quality, the presence of non metallic inclusions can originate nozzle clogging, and consequently interruptions in the process flux. Aiming to improve the steel cleanness and to minimize nozzle clogging, this study is developed to evaluate the partial substitution of aluminum by calcium carbide in the steel deoxidation. Along the operational procedures, the calcium carbide was applied to 397 heats, through what the improvement in steel cleanness is confirmed, with consequent reduction in the nozzle clogging occurrence.
Stress testing on silicon carbide electronic devices for prognostics and health management.
Energy Technology Data Exchange (ETDEWEB)
Kaplar, Robert James; Brock, Reinhard C.; Marinella, Matthew; King, Michael Patrick; Smith, Mark A.; Atcitty, Stanley
2011-01-01
Power conversion systems for energy storage and other distributed energy resource applications are among the drivers of the important role that power electronics plays in providing reliable electricity. Wide band gap semiconductors such as silicon carbide (SiC) and gallium nitride (GaN) will help increase the performance and efficiency of power electronic equipment while condition monitoring (CM) and prognostics and health management (PHM) will increase the operational availability of the equipment and thereby make it more cost effective. Voltage and/or temperature stress testing were performed on a number of SiC devices in order to accelerate failure modes and to identify measureable shifts in electrical characteristics which may provide early indication of those failures. Those shifts can be interpreted and modeled to provide prognostic signatures for use in CM and/or PHM. Such experiments will also lead to a deeper understanding of basic device physics and the degradation mechanisms behind failure.
Method of fabricating porous silicon carbide (SiC)
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1995-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
Synthesis of nanocrystalline magnesium nitride (Mg3N2) powder using thermal plasma
International Nuclear Information System (INIS)
Kim, Dong-Wook; Kim, Tae-Hee; Park, Hyun-Woo; Park, Dong-Wha
2011-01-01
Nanocrystalline magnesium nitride (Mg 3 N 2 ) powder was synthesized from bulk magnesium by thermal plasma at atmospheric pressure. Magnesium vapor was generated through heating the bulk magnesium by DC plasma jet and reacted with ammonia gas. Injecting position and flow rates of ammonia gas were controlled to investigate an ideal condition for Mg 3 N 2 synthesis. The synthesized Mg 3 N 2 was cooled and collected on the chamber wall. Characteristics of the synthesized powders for each experimental condition were analyzed by X-ray diffractometer (XRD), scanning electron microscopy (SEM) and thermogravity analysis (TGA). In absence of NH 3 , magnesium metal powder was formed. The synthesis with NH 3 injection in low temperature region resulted in a formation of crystalline magnesium nitride with trigonal morphology, whereas the mixture of magnesium metal and amorphous Mg 3 N 2 was formed when NH 3 was injected in high temperature region. Also, vaporization process of magnesium was discussed.
Status of LMR fuel development in the United States of America
International Nuclear Information System (INIS)
Leggett, R.D.; Walters, L.C.
1993-01-01
Three fuel systems oxide, metal, and carbide are shown to be reliable to high burnup and a fourth system, nitride, is shown to have promise for LMR applications. The excellent steady state performance of the oxide and metal driver fuels for FFTF and EBR-II, respectively, supported by the experience base on tens of thousands of test pins is provided. Achieving 300 MWd/kg in the oxide fuel system through the use of low swelling cladding and duct materials and the Integral Fast Reactor (IFR) concept that utilizes metallic fuel are described. Arguments for economic viability are presented. Responses to operational transients and severe over-power events are shown to have large safety margins and run-beyond-cladding-breach (RBCB), is shown to be non-threatening to LMR reactor system. Results from a joint U.S.-Swiss carbide test that operated successfully at high power and burnup in FFTF are also presented. (orig.)
Status of LMR fuel development in the United States of America
International Nuclear Information System (INIS)
Leggett, R.D.; Walters, L.C.
1992-01-01
Three fuel systems - oxide, metal and carbide - are shown to be reliable to high burnup and a fourth system, nitride, is shown to have promise for LMR applications. The excellent steady state performance of the oxide and metal driver fuels for FFTF and EBR-II, respectively, as well as that of tens of thousands of test pins is provided. Achieving 300 MWd/kg in the oxide fuel system through the use of low swelling cladding and duct materials is described and arguments for economic viability are presented. Responses to operational transients and severe overpower events are shown to have large safety margins and run beyond cladding breach, RBCB, likewise, is shown to be nonthreatening to LMR reactor systems. The Integral Fast Reactor (IFR) concept that utilizes metallic fuel and the commercial viability of this concept are discussed. Results from a joint US-Swiss carbide test that operated successfully at high power and burnup in FFTF are also presented
All-MXene (2D titanium carbide) solid-state microsupercapacitors for on-chip energy storage
Peng, You-Yu
2016-08-01
On-chip energy storage is a rapidly evolving research topic, opening doors for integration of batteries and supercapacitors at microscales on rigid and flexible platforms. Recently, a new class of two-dimensional (2D) transition metal carbides and nitrides (so-called MXenes) has shown great promise in electrochemical energy storage applications. Here, we report the fabrication of all-MXene (Ti3C2Tx) solid-state interdigital microsupercapacitors by employing a solution spray-coating, followed by a photoresist-free direct laser cutting method. Our prototype devices consisted of two layers of Ti3C2Tx with two different flake sizes. The bottom layer was stacked large-size MXene flakes (typical lateral dimensions of 3-6 μm) serving mainly as current collectors. The top layer was made of small-size MXene flakes (~1 μm) with a large number of defects and edges as the electroactive layer responsible for energy storage. Compared to Ti3C2Tx micro-supercapacitors with platinum current collectors, the all-MXene devices exhibited much lower contact resistance, higher capacitances and better rate-capabilities. The areal and volumetric capacitances of ~27 mF cm-2 and ~337 F cm-3, respectively, at a scan rate of 20 mV s-1 were achieved. The devices also demonstrated their excellent cyclic stability, with 100% capacitance retention after 10,000 cycles at a scan rate of 50 mV s-1. This study opens up a plethora of possible designs for high-performance on-chip devices employing different chemistries, flake sizes and morphologies of MXenes and their heterostructures.
All-MXene (2D titanium carbide) solid-state microsupercapacitors for on-chip energy storage
Peng, You-Yu; Akuzum, Bilen; Kurra, Narendra; Zhao, Meng-Qiang; Alhabeb, Mohamed; Anasori, Babak; Kumbur, Emin Caglan; Alshareef, Husam N.; Ger, Ming-Der; Gogotsi, Yury
2016-01-01
On-chip energy storage is a rapidly evolving research topic, opening doors for integration of batteries and supercapacitors at microscales on rigid and flexible platforms. Recently, a new class of two-dimensional (2D) transition metal carbides and nitrides (so-called MXenes) has shown great promise in electrochemical energy storage applications. Here, we report the fabrication of all-MXene (Ti3C2Tx) solid-state interdigital microsupercapacitors by employing a solution spray-coating, followed by a photoresist-free direct laser cutting method. Our prototype devices consisted of two layers of Ti3C2Tx with two different flake sizes. The bottom layer was stacked large-size MXene flakes (typical lateral dimensions of 3-6 μm) serving mainly as current collectors. The top layer was made of small-size MXene flakes (~1 μm) with a large number of defects and edges as the electroactive layer responsible for energy storage. Compared to Ti3C2Tx micro-supercapacitors with platinum current collectors, the all-MXene devices exhibited much lower contact resistance, higher capacitances and better rate-capabilities. The areal and volumetric capacitances of ~27 mF cm-2 and ~337 F cm-3, respectively, at a scan rate of 20 mV s-1 were achieved. The devices also demonstrated their excellent cyclic stability, with 100% capacitance retention after 10,000 cycles at a scan rate of 50 mV s-1. This study opens up a plethora of possible designs for high-performance on-chip devices employing different chemistries, flake sizes and morphologies of MXenes and their heterostructures.
Surface chemistry and fundamental limitations on the plasma cleaning of metals
Energy Technology Data Exchange (ETDEWEB)
Dong, Bin, E-mail: bindong@my.unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Driver, M. Sky, E-mail: Marcus.Driver@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Emesh, Ismail, E-mail: Ismail_Emesh@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Shaviv, Roey, E-mail: Roey_Shaviv@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Kelber, Jeffry A., E-mail: Jeffry.Kelber@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States)
2016-10-30
Highlights: • O{sub 2}-free plasma treatment of air-exposed Co or Cu surfaces yields remnant C layers inert to further plasma cleaning. • The formation of the remnant C layer is graphitic (Cu) or carbidic (Co). • The formation of a remnant C layer is linked to plasma cleaning of a metal surface. - Abstract: In-situ X-ray photoelectron spectroscopy (XPS) studies reveal that plasma cleaning of air-exposed Co or Cu transition metal surfaces results in the formation of a remnant C film 1–3 monolayers thick, which is not reduced upon extensive further plasma exposure. This effect is observed for H{sub 2} or NH{sub 3} plasma cleaning of Co, and He or NH{sub 3} plasma cleaning of Cu, and is observed with both inductively coupled (ICP) and capacitively-coupled plasma (CCP). Changes in C 1 s XPS spectra indicate that this remnant film formation is accompanied by the formation of carbidic C on Co and of graphitic C on Cu. This is in contrast to published work showing no such remnant carbidic/carbon layer after similar treatments of Si oxynitride surfaces. The observation of the remnant carbidic C film on Co and graphitic film on Cu, but not on silicon oxynitride (SiO{sub x}N{sub y}), regardless of plasma chemistry or type, indicates that this effect is due to plasma induced secondary electron emission from the metal surface, resulting in transformation of sp{sup 3} adventitious C to either a metal carbide or graphite. These results suggest fundamental limitations to plasma-based surface cleaning procedures on metal surfaces.
Corrosion of immersed ceramic heat exchanger tubes in aluminium foundry baths
Energy Technology Data Exchange (ETDEWEB)
Bracho-Troconis, C.B.; Frot, G.; Bienvenu, Y. [Ecole des Mines de Paris, Evry (France). Centre des Materiaux; Frety, N. [Ecole des Mines d`Albi-Carmaux (France); Alliat, I. [CERSTA-Gaz de France, Saint-Denis (France)
1997-12-31
The corrosion of three non-oxide ceramics by Al-9Si-3Cu baths and by fluxes (mixtures of chlorides and fluorides of sodium and potassium) at about 750 C was studied in a foundry environment. Comparison of results of the metallurgical examination of A, a silicon-nitride-bonded silicon carbide and of B, a reaction-bonded silicon nitride, surface treated to fill all the external porosity provides some insight into the role of the bonding phase and the porosity. Grade C is a graphite bonded silicon carbide with an external protection by a ceramic glazing. The SiC phase in the tubes is inert to the corrosive liquids (attributed to the silicon content in the metal). A and C ceramics react only in the presence of a flux. Sodium and chlorine were identified in the corrosion products as well as AlN (A) and Al{sub 4}C{sub 3} (C), resulting from reaction of the silicon nitride or of the graphite bonding phase with aluminium. This suggests that the fluxes are responsible for the corrosive process, by causing the formation of gaseous aluminium halides which penetrate the porous bonding phase and react with it to form AlN or Al{sub 4}C{sub 3}. (orig.) 13 refs.
Method for the generation of variable density metal vapors which bypasses the liquidus phase
Kunnmann, Walter; Larese, John Z.
2001-01-01
The present invention provides a method for producing a metal vapor that includes the steps of combining a metal and graphite in a vessel to form a mixture; heating the mixture to a first temperature in an argon gas atmosphere to form a metal carbide; maintaining the first temperature for a period of time; heating the metal carbide to a second temperature to form a metal vapor; withdrawing the metal vapor and the argon gas from the vessel; and separating the metal vapor from the argon gas. Metal vapors made using this method can be used to produce uniform powders of the metal oxide that have narrow size distribution and high purity.
NMR studies of actinide carbide and nitride electronic properties
International Nuclear Information System (INIS)
Boutard, Jean-Louis
1976-12-01
N.M.R. studies applied to 13 C and 15 N in the solid solutions ThCsub(1-x)Nsub(x), UCsub(1-x)Nsub(x) and in the compounds ThCsub(1-x) and U 2 C 3 , were undertaken to study carbon and nitrogen contribution to chemical bonds and magnetism. For THORIUM MONOCARBIDE AND CARBONITRIDE: ThCsub(1-x) and ThCsub(1-x)Nsub(x), the very strong orbital contribution to the frequency shift reveals an important covalent character of the valence band 6d metal and 2p metalloid states. The ThCsub(1-x) band structure stoichiometry variation is due to 6dγ metal states appearing at the Fermi level and is in-opposition to a rigid band model. A non-saturated bond mechanism is suggested. For URANIUM CARBONITRIDE: UCsub(1-x)Nsub(x), in the concentration range in which no magnetic order appears at low temperature (x<0.90), the results are in opposition to a localized 5f 2 configuration model, and show that the uranium fundamental state is non-magnetic. Nevertheless two qualitatively different behaviors exist: nitrogen concentration lower than 40%: and nitrogen concentration higher than 40%. A model is proposed to account for those domains: it relies on the 5f-2p hybridization parameter which is maximum on 2p band edge (UC) and almost nul for UN. For URANIUM SESQUICARBIDE: U 2 C 3 : the N.M.R. line observation at 4.2 K indicates a non-magnetic fundamental state although the magnetic susceptibility presents a maximum at 60 K. Spin fluctuations in 5f bands are proposed to describe the electronic properties of this compound. [fr
Controlled formation of iron carbides and their performance in Fischer-Tropsch synthesis
Wezendonk, Tim A.
2018-04-19
Iron carbides are unmistakably associated with the active phase for Fischer-Tropsch synthesis (FTS). The formation of these carbides is highly dependent on the catalyst formulation, the activation method and the operational conditions. Because of this highly dynamic behavior, studies on active phase performance often lack the direct correlation between catalyst performance and iron carbide phase. For the above reasons, an extensive in situ Mössbauer spectroscopy study on highly dispersed Fe on carbon catalysts (Fe@C) produced through pyrolysis of a Metal Organic Framework was coupled to their FTS performance testing. The preparation of Fe@C catalysts via this MOF mediated synthesis allows control over the active phase formation and therefore provides an ideal model system to study the performance of different iron carbides. Reduction of fresh Fe@C followed by low-temperature Fischer-Tropsch (LTFT) conditions resulted in the formation of the ε′-Fe2.2C, whereas carburization of the fresh catalysts under high-temperature Fischer-Tropsch (HTFT) resulted in the formation of χ-Fe5C2. Furthermore, the different activation methods did not alter other important catalyst properties, as pre- and post-reaction transmission electron microscopy (TEM) characterization confirmed that the iron nanoparticle dispersion was preserved. The weight normalized activities (FTY) of χ-Fe5C2 and ε′-Fe2.2C are virtually identical, whilst it is found that ε′-Fe2.2C is a better hydrogenation catalyst than χ-Fe5C2. The absence of differences under subsequent HTFT experiments, where χ-Fe5C2 is the dominating phase, is a strong indication that the iron carbide phase is responsible for the differences in selectivity.
Thermal stability of atomic layer deposited WCxNy electrodes for metal oxide semiconductor devices
Zonensain, Oren; Fadida, Sivan; Fisher, Ilanit; Gao, Juwen; Danek, Michal; Eizenberg, Moshe
2018-01-01
This study is a thorough investigation of the chemical, structural, and electrical stability of W based organo-metallic films, grown by atomic layer deposition, for future use as gate electrodes in advanced metal oxide semiconductor structures. In an earlier work, we have shown that high effective work-function (4.7 eV) was produced by nitrogen enriched films (WCxNy) dominated by W-N chemical bonding, and low effective work-function (4.2 eV) was produced by hydrogen plasma resulting in WCx films dominated by W-C chemical bonding. In the current work, we observe, using x-ray diffraction analysis, phase transformation of the tungsten carbide and tungsten nitride phases after 900 °C annealing to the cubic tungsten phase. Nitrogen diffusion is also observed and is analyzed with time-of-flight secondary ion mass spectroscopy. After this 900 °C anneal, WCxNy effective work function tunability is lost and effective work-function values of 4.7-4.8 eV are measured, similar to stable effective work function values measured for PVD TiN up to 900 °C anneal. All the observed changes after annealing are discussed and correlated to the observed change in the effective work function.
DECODING THE MESSAGE FROM METEORITIC STARDUST SILICON CARBIDE GRAINS
International Nuclear Information System (INIS)
Lewis, Karen M.; Lugaro, Maria; Gibson, Brad K.; Pilkington, Kate
2013-01-01
Micron-sized stardust grains that originated in ancient stars are recovered from meteorites and analyzed using high-resolution mass spectrometry. The most widely studied type of stardust is silicon carbide (SiC). Thousands of these grains have been analyzed with high precision for their Si isotopic composition. Here we show that the distribution of the Si isotopic composition of the vast majority of stardust SiC grains carries the imprints of a spread in the age-metallicity distribution of their parent stars and of a power-law increase of the relative formation efficiency of SiC dust with the metallicity. This result offers a solution for the long-standing problem of silicon in stardust SiC grains, confirms the necessity of coupling chemistry and dynamics in simulations of the chemical evolution of our Galaxy, and constrains the modeling of dust condensation in stellar winds as a function of the metallicity.
Influence of carbides and microstructure of CoCrMo alloys on their metallic dissolution resistance.
Valero-Vidal, C; Casabán-Julián, L; Herraiz-Cardona, I; Igual-Muñoz, A
2013-12-01
CoCrMo alloys are passive and biocompatible materials widely used as joint replacements due to their good mechanical properties and corrosion resistance. Electrochemical behaviour of thermal treated CoCrMo alloys with different carbon content in their bulk alloy composition has been analysed. Both the amount of carbides in the CoCrMo alloys and the chemical composition of the simulated body fluid affect the electrochemical properties of these biomedical alloys, thus passive dissolution rate was influenced by the mentioned parameters. Lower percentage of carbon in the chemical composition of the bulk alloy and thermal treatments favour the homogenization of the surface (less amount of carbides), thus increasing the availability of Cr to form the oxide film and improving the corrosion resistance of the alloy. © 2013.