WorldWideScience

Sample records for memory multiprocessors progress

  1. A general model for memory interference in a multiprocessor system with memory hierarchy

    Science.gov (United States)

    Taha, Badie A.; Standley, Hilda M.

    1989-01-01

    The problem of memory interference in a multiprocessor system with a hierarchy of shared buses and memories is addressed. The behavior of the processors is represented by a sequence of memory requests with each followed by a determined amount of processing time. A statistical queuing network model for determining the extent of memory interference in multiprocessor systems with clusters of memory hierarchies is presented. The performance of the system is measured by the expected number of busy memory clusters. The results of the analytic model are compared with simulation results, and the correlation between them is found to be very high.

  2. Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors

    Directory of Open Access Journals (Sweden)

    Yahya Jan

    2012-01-01

    Full Text Available This paper is devoted to the design of communication and memory architectures of massively parallel hardware multiprocessors necessary for the implementation of highly demanding applications. We demonstrated that for the massively parallel hardware multiprocessors the traditionally used flat communication architectures and multi-port memories do not scale well, and the memory and communication network influence on both the throughput and circuit area dominates the processors influence. To resolve the problems and ensure scalability, we proposed to design highly optimized application-specific hierarchical and/or partitioned communication and memory architectures through exploring and exploiting the regularity and hierarchy of the actual data flows of a given application. Furthermore, we proposed some data distribution and related data mapping schemes in the shared (global partitioned memories with the aim to eliminate the memory access conflicts, as well as, to ensure that our communication design strategies will be applicable. We incorporated these architecture synthesis strategies into our quality-driven model-based multi-processor design method and related automated architecture exploration framework. Using this framework, we performed a large series of experiments that demonstrate many various important features of the synthesized memory and communication architectures. They also demonstrate that our method and related framework are able to efficiently synthesize well scalable memory and communication architectures even for the high-end multiprocessors. The gains as high as 12-times in performance and 25-times in area can be obtained when using the hierarchical communication networks instead of the flat networks. However, for the high parallelism levels only the partitioned approach ensures the scalability in performance.

  3. Assessing Programming Costs of Explicit Memory Localization on a Large Scale Shared Memory Multiprocessor

    Directory of Open Access Journals (Sweden)

    Silvio Picano

    1992-01-01

    Full Text Available We present detailed experimental work involving a commercially available large scale shared memory multiple instruction stream-multiple data stream (MIMD parallel computer having a software controlled cache coherence mechanism. To make effective use of such an architecture, the programmer is responsible for designing the program's structure to match the underlying multiprocessors capabilities. We describe the techniques used to exploit our multiprocessor (the BBN TC2000 on a network simulation program, showing the resulting performance gains and the associated programming costs. We show that an efficient implementation relies heavily on the user's ability to explicitly manage the memory system.

  4. Multiprocessor shared-memory information exchange

    International Nuclear Information System (INIS)

    Santoline, L.L.; Bowers, M.D.; Crew, A.W.; Roslund, C.J.; Ghrist, W.D. III

    1989-01-01

    In distributed microprocessor-based instrumentation and control systems, the inter-and intra-subsystem communication requirements ultimately form the basis for the overall system architecture. This paper describes a software protocol which addresses the intra-subsystem communications problem. Specifically the protocol allows for multiple processors to exchange information via a shared-memory interface. The authors primary goal is to provide a reliable means for information to be exchanged between central application processor boards (masters) and dedicated function processor boards (slaves) in a single computer chassis. The resultant Multiprocessor Shared-Memory Information Exchange (MSMIE) protocol, a standard master-slave shared-memory interface suitable for use in nuclear safety systems, is designed to pass unidirectional buffers of information between the processors while providing a minimum, deterministic cycle time for this data exchange

  5. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    Energy Technology Data Exchange (ETDEWEB)

    Ohmacht, Martin

    2017-08-15

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  6. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    Science.gov (United States)

    Ohmacht, Martin

    2014-09-09

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  7. Elastic pointer directory organization for scalable shared memory multiprocessors

    Institute of Scientific and Technical Information of China (English)

    Yuhang Liu; Mingfa Zhu; Limin Xiao

    2014-01-01

    In the field of supercomputing, one key issue for scal-able shared-memory multiprocessors is the design of the directory which denotes the sharing state for a cache block. A good direc-tory design intends to achieve three key attributes: reasonable memory overhead, sharer position precision and implementation complexity. However, researchers often face the problem that gain-ing one attribute may result in losing another. The paper proposes an elastic pointer directory (EPD) structure based on the analysis of shared-memory applications, taking the fact that the number of sharers for each directory entry is typical y smal . Analysis re-sults show that for 4 096 nodes, the ratio of memory overhead to the ful-map directory is 2.7%. Theoretical analysis and cycle-accurate execution-driven simulations on a 16 and 64-node cache coherence non uniform memory access (CC-NUMA) multiproces-sor show that the corresponding pointer overflow probability is reduced significantly. The performance is observed to be better than that of a limited pointers directory and almost identical to the ful-map directory, except for the slight implementation complex-ity. Using the directory cache to explore directory access locality is also studied. The experimental result shows that this is a promis-ing approach to be used in the state-of-the-art high performance computing domain.

  8. Optical RAM-enabled cache memory and optical routing for chip multiprocessors: technologies and architectures

    Science.gov (United States)

    Pleros, Nikos; Maniotis, Pavlos; Alexoudi, Theonitsa; Fitsios, Dimitris; Vagionas, Christos; Papaioannou, Sotiris; Vyrsokinos, K.; Kanellos, George T.

    2014-03-01

    The processor-memory performance gap, commonly referred to as "Memory Wall" problem, owes to the speed mismatch between processor and electronic RAM clock frequencies, forcing current Chip Multiprocessor (CMP) configurations to consume more than 50% of the chip real-estate for caching purposes. In this article, we present our recent work spanning from Si-based integrated optical RAM cell architectures up to complete optical cache memory architectures for Chip Multiprocessor configurations. Moreover, we discuss on e/o router subsystems with up to Tb/s routing capacity for cache interconnection purposes within CMP configurations, currently pursued within the FP7 PhoxTrot project.

  9. GOTHIC memory management : a multiprocessor shared single level store

    OpenAIRE

    Michel , Béatrice

    1990-01-01

    Gothic purpose is to build an object-oriented fault-tolerant distributed operating system for a local area network of multiprocessor workstations. This paper describes Gothic memory manager. It realizes the sharing of the secondary memory space between any process running on the Gothic system. Processes on different processors can communicate by sharing permanent information. The manager implements a shared single level storage with an invalidation protocol working on disk-pages to maintain s...

  10. Shared random access memory resource for multiprocessor real-time systems

    International Nuclear Information System (INIS)

    Dimmler, D.G.; Hardy, W.H. II

    1977-01-01

    A shared random-access memory resource is described which is used within real-time data acquisition and control systems with multiprocessor and multibus organizations. Hardware and software aspects are discussed in a specific example where interconnections are done via a UNIBUS. The general applicability of the approach is also discussed

  11. A multiprocessor computer simulation model employing a feedback scheduler/allocator for memory space and bandwidth matching and TMR processing

    Science.gov (United States)

    Bradley, D. B.; Irwin, J. D.

    1974-01-01

    A computer simulation model for a multiprocessor computer is developed that is useful for studying the problem of matching multiprocessor's memory space, memory bandwidth and numbers and speeds of processors with aggregate job set characteristics. The model assumes an input work load of a set of recurrent jobs. The model includes a feedback scheduler/allocator which attempts to improve system performance through higher memory bandwidth utilization by matching individual job requirements for space and bandwidth with space availability and estimates of bandwidth availability at the times of memory allocation. The simulation model includes provisions for specifying precedence relations among the jobs in a job set, and provisions for specifying precedence execution of TMR (Triple Modular Redundant and SIMPLEX (non redundant) jobs.

  12. Multiprocessors for high energy physics

    International Nuclear Information System (INIS)

    Pohl, M.

    1987-01-01

    I review the role, status and progress of multiprocessor projects relevant to high energy physics. A short overview of the large variety of multiprocessors architectures is given, with special emphasis on machines suitable for experimental data reconstruction. A lot of progress has been made in the attempt to make the use of multiprocessors less painful by creating a ''Parallel Programming Environment'' supporting the non-expert user. A high degree of usability has been reached for coarse grain (event level) parallelism. The program development tools available on various systems (subroutine packages, preprocessors and parallelizing compilers) are discussed in some detail. Tools for execution control and debugging are also developing, thus opening the path from dedicated systems for large scale, stable production towards support of a more general job mix. At medium term, multiprocessors will thus cover a growing fraction of the typical high energy physics computing task. (orig.)

  13. Multiprocessor systems and their concurrency

    Energy Technology Data Exchange (ETDEWEB)

    Starke, P H

    1984-01-01

    A multiprocessor system can be considered as a collection of finite automata which communicate over channels or shared memory units. The behaviour of such a system can be described by a semilanguage. This approach allows to define a numerical measure for the concurrency of multiprocessor systems and of distributed systems. This measure is characterized algebraically and the reconfiguration problem asking for an algorithm to construct an l-processor system which is equivalent to a given n-processor system is solved in the paper. 6 references.

  14. Multigrid solution of diffusion equations on distributed memory multiprocessor systems

    International Nuclear Information System (INIS)

    Finnemann, H.

    1988-01-01

    The subject is the solution of partial differential equations for simulation of the reactor core on high-performance computers. The parallelization and implementation of nodal multigrid diffusion algorithms on array and ring configurations of the DIRMU multiprocessor system is outlined. The particular iteration scheme employed in the nodal expansion method appears similarly efficient in serial and parallel environments. The combination of modern multi-level techniques with innovative hardware (vector-multiprocessor systems) provides powerful tools needed for real time simulation of physical systems. The parallel efficiencies range from 70 to 90%. The same performance is estimated for large problems on large multiprocessor systems being designed at present. (orig.) [de

  15. Parallel-vector algorithms for particle simulations on shared-memory multiprocessors

    International Nuclear Information System (INIS)

    Nishiura, Daisuke; Sakaguchi, Hide

    2011-01-01

    Over the last few decades, the computational demands of massive particle-based simulations for both scientific and industrial purposes have been continuously increasing. Hence, considerable efforts are being made to develop parallel computing techniques on various platforms. In such simulations, particles freely move within a given space, and so on a distributed-memory system, load balancing, i.e., assigning an equal number of particles to each processor, is not guaranteed. However, shared-memory systems achieve better load balancing for particle models, but suffer from the intrinsic drawback of memory access competition, particularly during (1) paring of contact candidates from among neighboring particles and (2) force summation for each particle. Here, novel algorithms are proposed to overcome these two problems. For the first problem, the key is a pre-conditioning process during which particle labels are sorted by a cell label in the domain to which the particles belong. Then, a list of contact candidates is constructed by pairing the sorted particle labels. For the latter problem, a table comprising the list indexes of the contact candidate pairs is created and used to sum the contact forces acting on each particle for all contacts according to Newton's third law. With just these methods, memory access competition is avoided without additional redundant procedures. The parallel efficiency and compatibility of these two algorithms were evaluated in discrete element method (DEM) simulations on four types of shared-memory parallel computers: a multicore multiprocessor computer, scalar supercomputer, vector supercomputer, and graphics processing unit. The computational efficiency of a DEM code was found to be drastically improved with our algorithms on all but the scalar supercomputer. Thus, the developed parallel algorithms are useful on shared-memory parallel computers with sufficient memory bandwidth.

  16. Performance of Multithreaded Chip Multiprocessors And Implications for Operating System Design

    OpenAIRE

    Fedorova, Alexandra; Seltzer, Margo I.; Small, Christopher A.; Nussbaum, Daniel

    2005-01-01

    An operating system’s design is often influenced by the architecture of the target hardware. While uniprocessor and multiprocessor architectures are well understood, such is not the case for multithreaded chip multiprocessors (CMT) – a new generation of processors designed to improve performance of memory-intensive applications. The first systems equipped with CMT processors are just becoming available, so it is critical that we now understand how to obtain the best performance from such syst...

  17. Cache aware mapping of streaming apllications on a multiprocessor system-on-chip

    NARCIS (Netherlands)

    Moonen, A.J.M.; Bekooij, M.J.G.; Berg, van den R.M.J.; Meerbergen, van J.; Sciuto, D.; Peng, Z.

    2008-01-01

    Efficient use of the memory hierarchy is critical for achieving high performance in a multiprocessor system- on-chip. An external memory that is shared between processors is a bottleneck in current and future systems. Cache misses and a large cache miss penalty contribute to a low processor

  18. On the Parallel Elliptic Single/Multigrid Solutions about Aligned and Nonaligned Bodies Using the Virtual Machine for Multiprocessors

    Directory of Open Access Journals (Sweden)

    A. Averbuch

    1994-01-01

    Full Text Available Parallel elliptic single/multigrid solutions around an aligned and nonaligned body are presented and implemented on two multi-user and single-user shared memory multiprocessors (Sequent Symmetry and MOS and on a distributed memory multiprocessor (a Transputer network. Our parallel implementation uses the Virtual Machine for Muli-Processors (VMMP, a software package that provides a coherent set of services for explicitly parallel application programs running on diverse multiple instruction multiple data (MIMD multiprocessors, both shared memory and message passing. VMMP is intended to simplify parallel program writing and to promote portable and efficient programming. Furthermore, it ensures high portability of application programs by implementing the same services on all target multiprocessors. The performance of our algorithm is investigated in detail. It is seen to fit well the above architectures when the number of processors is less than the maximal number of grid points along the axes. In general, the efficiency in the nonaligned case is higher than in the aligned case. Alignment overhead is observed to be up to 200% in the shared-memory case and up to 65% in the message-passing case. We have demonstrated that when using VMMP, the portability of the algorithms is straightforward and efficient.

  19. Distributed parallel messaging for multiprocessor systems

    Science.gov (United States)

    Chen, Dong; Heidelberger, Philip; Salapura, Valentina; Senger, Robert M; Steinmacher-Burrow, Burhard; Sugawara, Yutaka

    2013-06-04

    A method and apparatus for distributed parallel messaging in a parallel computing system. The apparatus includes, at each node of a multiprocessor network, multiple injection messaging engine units and reception messaging engine units, each implementing a DMA engine and each supporting both multiple packet injection into and multiple reception from a network, in parallel. The reception side of the messaging unit (MU) includes a switch interface enabling writing of data of a packet received from the network to the memory system. The transmission side of the messaging unit, includes switch interface for reading from the memory system when injecting packets into the network.

  20. Parallelising a molecular dynamics algorithm on a multi-processor workstation

    Science.gov (United States)

    Müller-Plathe, Florian

    1990-12-01

    The Verlet neighbour-list algorithm is parallelised for a multi-processor Hewlett-Packard/Apollo DN10000 workstation. The implementation makes use of memory shared between the processors. It is a genuine master-slave approach by which most of the computational tasks are kept in the master process and the slaves are only called to do part of the nonbonded forces calculation. The implementation features elements of both fine-grain and coarse-grain parallelism. Apart from three calls to library routines, two of which are standard UNIX calls, and two machine-specific language extensions, the whole code is written in standard Fortran 77. Hence, it may be expected that this parallelisation concept can be transfered in parts or as a whole to other multi-processor shared-memory computers. The parallel code is routinely used in production work.

  1. Debugging in a multi-processor environment

    International Nuclear Information System (INIS)

    Spann, J.M.

    1981-01-01

    The Supervisory Control and Diagnostic System (SCDS) for the Mirror Fusion Test Facility (MFTF) consists of nine 32-bit minicomputers arranged in a tightly coupled distributed computer system utilizing a share memory as the data exchange medium. Debugging of more than one program in the multi-processor environment is a difficult process. This paper describes what new tools were developed and how the testing of software is performed in the SCDS for the MFTF project

  2. DiFX: A software correlator for very long baseline interferometry using multi-processor computing environments

    OpenAIRE

    Deller, A. T.; Tingay, S. J.; Bailes, M.; West, C.

    2007-01-01

    We describe the development of an FX style correlator for Very Long Baseline Interferometry (VLBI), implemented in software and intended to run in multi-processor computing environments, such as large clusters of commodity machines (Beowulf clusters) or computers specifically designed for high performance computing, such as multi-processor shared-memory machines. We outline the scientific and practical benefits for VLBI correlation, these chiefly being due to the inherent flexibility of softw...

  3. 3D-TV Rendering on a Multiprocessor System on a Chip

    NARCIS (Netherlands)

    Van Eijndhoven, J.T.J.; Li, X.

    2006-01-01

    This thesis focuses on the issue of mapping 3D-TV rendering applications to a multiprocessor platform. The target platform aims to address tomorrow's multi-media consumer market. The prototype chip, called Wasabi, contains a set of TriMedia processors that communicate viaa shared memory, fast

  4. A real-time multichannel memory controller and optimal mapping of memory clients to memory channels

    NARCIS (Netherlands)

    Gomony, M.D.; Akesson, K.B.; Goossens, K.G.W.

    2015-01-01

    Ever-increasing demands for main memory bandwidth and memory speed/power tradeoff led to the introduction of memories with multiple memory channels, such as Wide IO DRAM. Efficient utilization of a multichannel memory as a shared resource in multiprocessor real-time systems depends on mapping of the

  5. Matrix factorization on a hypercube multiprocessor

    International Nuclear Information System (INIS)

    Geist, G.A.; Heath, M.T.

    1985-08-01

    This paper is concerned with parallel algorithms for matrix factorization on distributed-memory, message-passing multiprocessors, with special emphasis on the hypercube. Both Cholesky factorization of symmetric positive definite matrices and LU factorization of nonsymmetric matrices using partial pivoting are considered. The use of the resulting triangular factors to solve systems of linear equations by forward and back substitutions is also considered. Efficiencies of various parallel computational approaches are compared in terms of empirical results obtained on an Intel iPSC hypercube. 19 refs., 6 figs., 2 tabs

  6. Supporting Multiprocessors in the Icecap Safety-Critical Java Run-Time Environment

    DEFF Research Database (Denmark)

    Zhao, Shuai; Wellings, Andy; Korsholm, Stephan Erbs

    The current version of the Safety Critical Java (SCJ) specification defines three compliance levels. Level 0 targets single processor programs while Level 1 and 2 can support multiprocessor platforms. Level 1 programs must be fully partitioned but Level 2 programs can also be more globally...... scheduled. As of yet, there is no official Reference Implementation for SCJ. However, the icecap project has produced a Safety-Critical Java Run-time Environment based on the Hardware-near Virtual Machine (HVM). This supports SCJ at all compliance levels and provides an implementation of the safety......-critical Java (javax.safetycritical) package. This is still work-in-progress and lacks certain key features. Among these is the ability to support multiprocessor platforms. In this paper, we explore two possible options to adding multiprocessor support to this environment: the “green thread” and the “native...

  7. Hardware support for CSP on a Java chip multiprocessor

    DEFF Research Database (Denmark)

    Gruian, Flavius; Schoeberl, Martin

    2013-01-01

    Due to memory bandwidth limitations, chip multiprocessors (CMPs) adopting the convenient shared memory model for their main memory architecture scale poorly. On-chip core-to-core communication is a solution to this problem, that can lead to further performance increase for a number of multithreaded...... applications. Programmatically, the Communicating Sequential Processes (CSPs) paradigm provides a sound computational model for such an architecture with message based communication. In this paper we explore hardware support for CSP in the context of an embedded Java CMP. The hardware support for CSP are on......-chip communication channels, implemented by a ring-based network-on-chip (NoC), to reduce the memory bandwidth pressure on the shared memory.The presented solution is scalable and also specific for our limited resources and real-time predictability requirements. CMP architectures of three to eight processors were...

  8. A scalable single-chip multi-processor architecture with on-chip RTOS kernel

    NARCIS (Netherlands)

    Theelen, B.D.; Verschueren, A.C.; Reyes Suarez, V.V.; Stevens, M.P.J.; Nunez, A.

    2003-01-01

    Now that system-on-chip technology is emerging, single-chip multi-processors are becoming feasible. A key problem of designing such systems is the complexity of their on-chip interconnects and memory architecture. It is furthermore unclear at what level software should be integrated. An example of a

  9. A possible approach to estimating the operational efficiency of multiprocessor systems

    International Nuclear Information System (INIS)

    Kuznetsov, N.Y.; Gorlach, S.P.; Sumskaya, A.A.

    1984-01-01

    This article presents a mathematical model that constructs the upper and lower estimates evaluating the efficiency of solution of a large class of problems using a multiprocessor system with a specific architecture. Efficiency depends on a system's architecture (e.g., the number of processors, memory volume, the number of communication links, commutation speed) and the types of problems it is intended to solve. The behavior of the model is considered in a stationary mode. The model is used to evaluate the efficiency of a particular algorithm implemented in a multiprocessor system. It is concluded that the model is flexible and enables the investigation of a broad class of problems in computational mathematics, including linear algebra and boundary-value problems of mathematical physics

  10. The art of multiprocessor programming

    CERN Document Server

    Herlihy, Maurice

    2012-01-01

    Revised and updated with improvements conceived in parallel programming courses, The Art of Multiprocessor Programming is an authoritative guide to multicore programming. It introduces a higher level set of software development skills than that needed for efficient single-core programming. This book provides comprehensive coverage of the new principles, algorithms, and tools necessary for effective multiprocessor programming. Students and professionals alike will benefit from thorough coverage of key multiprocessor programming issues. This revised edition incorporates much-demanded updates t

  11. Single-chip serial channel enhances multi-processor systems

    Energy Technology Data Exchange (ETDEWEB)

    Millar, J.

    1982-01-01

    In this paper multiprocessor systems are described and explained. The impact that VLSI advancements are having on multiprocessor design is pointed out. The TMS 7041 single-chip microcomputer is described briefly, highlighting its multiprocessor communication capability. And finally, a typical multiprocessor system is shown, implementing the TMS 7041.

  12. Utilizing a multiprocessor architecture - The performance of MIDAS

    International Nuclear Information System (INIS)

    Maples, C.; Logan, D.; Meng, J.; Rathbun, W.; Weaver, D.

    1983-01-01

    The MIDAS architecture organizes multiple CPUs into clusters called distributed subsystems. Each subsystem consists of an array of processors controlled by a supervisory CPU. The multiprocessor array is composed of commercial CPUs (with floating point hardware) and specialized processing elements. Interprocessor communication within the array may occur either through switched memory modules or common shared memory. The architecture permits multiple processors to be focused on single problems. A distributed subsystem has been constructed and tested. It currently consists of a supervisor CPU; 16 blocks of independently switchable memory; 9 general purpose, VAX-class CPUs; and 2 specialized pipelined processors to handle I/O. Results on a variety of problems indicate that the subsystem performs 8 to 15 times faster than a standard computer with an identical CPU. The difference in performance represents the effect of differing CPU and I/O requirements

  13. Multiprocessor architecture: Synthesis and evaluation

    Science.gov (United States)

    Standley, Hilda M.

    1990-01-01

    Multiprocessor computed architecture evaluation for structural computations is the focus of the research effort described. Results obtained are expected to lead to more efficient use of existing architectures and to suggest designs for new, application specific, architectures. The brief descriptions given outline a number of related efforts directed toward this purpose. The difficulty is analyzing an existing architecture or in designing a new computer architecture lies in the fact that the performance of a particular architecture, within the context of a given application, is determined by a number of factors. These include, but are not limited to, the efficiency of the computation algorithm, the programming language and support environment, the quality of the program written in the programming language, the multiplicity of the processing elements, the characteristics of the individual processing elements, the interconnection network connecting processors and non-local memories, and the shared memory organization covering the spectrum from no shared memory (all local memory) to one global access memory. These performance determiners may be loosely classified as being software or hardware related. This distinction is not clear or even appropriate in many cases. The effect of the choice of algorithm is ignored by assuming that the algorithm is specified as given. Effort directed toward the removal of the effect of the programming language and program resulted in the design of a high-level parallel programming language. Two characteristics of the fundamental structure of the architecture (memory organization and interconnection network) are examined.

  14. Advanced lectures on multiprocessor programming (1/3)

    CERN Multimedia

    CERN. Geneva

    2011-01-01

    Three classes (60 mins) on Multiprocessor Programming Prof. Dr. Christoph von Praun Georg-Simon-Ohm University of Applied Sciences Nuremberg, Germany This is an advanced class on multiprocessor programming. The class gives an introduction to principles of concurrent objects and the notion of different progress guarantees that concurrent computations can have. The focus of this class is on non-blocking computations, i.e. concurrent programs that do not make use of locks. We discuss the implementation of practical non-blocking data structures in detail. 1st class: Introduction to concurrent objects 2nd class: Principles of non-blocking synchronization 3rd class: Concurrent queues Brief Bio of Christoph von Praun Christoph worked on a variety of analysis techniques and runtime platforms for parallel programs. Hist most recent research studies programming models and tools that support transactional synchronization. In prior work, which he also did at the IBM T.J. Watson Research Center in Yorktown Height...

  15. Academic training: Advanced lectures on multiprocessor programming

    CERN Multimedia

    PH Department

    2011-01-01

    Academic Training Lecture - Regular Programme 31 October 1, 2 November 2011 from 11:00 to 12:00 -  IT Auditorium, Bldg. 31   Three classes (60 mins) on Multiprocessor Programming Prof. Dr. Christoph von Praun Georg-Simon-Ohm University of Applied Sciences Nuremberg, Germany This is an advanced class on multiprocessor programming. The class gives an introduction to principles of concurrent objects and the notion of different progress guarantees that concurrent computations can have. The focus of this class is on non-blocking computations, i.e. concurrent programs that do not make use of locks. We discuss the implementation of practical non-blocking data structures in detail. 1st class: Introduction to concurrent objects 2nd class: Principles of non-blocking synchronization 3rd class: Concurrent queues Brief Bio of Christoph von Praun Christoph worked on a variety of analysis techniques and runtime platforms for parallel programs. Hist most recent research studies programming models an...

  16. TUMULT, the Twente University multiprocessor

    NARCIS (Netherlands)

    Scholten, Johan; Jansen, P.G.

    1988-01-01

    TUMULT, (Twente University multiprocessor) is described. Its aim is the design and implementation of a modular extendable multiprocessor system. Up to 15 processing elements are connected through an interprocessor communication network, using message-passing for the exchange of data. The hardware is

  17. Multiprocessor development for robot control

    International Nuclear Information System (INIS)

    Lee, Jong Min; Kim, Byung Soo; Kim, Chang Hoi; Hwang, Suk Yong; Sohn, Surg Won; Yoon, Tae Seob; Lee, Yong Bum; Kim, Woong Ki

    1988-02-01

    A mutiprocessor system that is essential to A.I. (Artificial Intelligence) robot control was developed. A.I. robot control needs very complex real time control. The multiprocessor system interconnecting many SBC's (Single Board Computer) is much faster and accurater than using only one SBC. Various multiprocessor systems and their applications were compared and discussed. The multiprocessor architecture system is specially designed to be used in nuclear environments. The main functions are job distribution, multitasking, and intelligent remote control by SDLC protocol using optical fiber. The system can be applied to position control for locomotion and manipulation, data fusion system, and image processing. (Author)

  18. Multiprocessor system with multiple concurrent modes of execution

    Science.gov (United States)

    Ahn, Daniel; Ceze, Luis H; Chen, Dong; Gara, Alan; Heidelberger, Philip; Ohmacht, Martin

    2013-12-31

    A multiprocessor system supports multiple concurrent modes of speculative execution. Speculation identification numbers (IDs) are allocated to speculative threads from a pool of available numbers. The pool is divided into domains, with each domain being assigned to a mode of speculation. Modes of speculation include TM, TLS, and rollback. Allocation of the IDs is carried out with respect to a central state table and using hardware pointers. The IDs are used for writing different versions of speculative results in different ways of a set in a cache memory.

  19. Multiprocessor scheduling for real-time systems

    CERN Document Server

    Baruah, Sanjoy; Buttazzo, Giorgio

    2015-01-01

    This book provides a comprehensive overview of both theoretical and pragmatic aspects of resource-allocation and scheduling in multiprocessor and multicore hard-real-time systems.  The authors derive new, abstract models of real-time tasks that capture accurately the salient features of real application systems that are to be implemented on multiprocessor platforms, and identify rules for mapping application systems onto the most appropriate models.  New run-time multiprocessor scheduling algorithms are presented, which are demonstrably better than those currently used, both in terms of run-time efficiency and tractability of off-line analysis.  Readers will benefit from a new design and analysis framework for multiprocessor real-time systems, which will translate into a significantly enhanced ability to provide formally verified, safety-critical real-time systems at a significantly lower cost.

  20. Multiprocessor data acquisition system

    International Nuclear Information System (INIS)

    Haumann, J.R.; Crawford, R.K.

    1987-01-01

    A multiprocessor data acquisition system has been built to replace the single processor systems at the Intense Pulsed Neutron Source (IPNS) at Argonne National Laboratory. The multiprocessor system was needed to accommodate the higher data rates at IPNS brought about by improvements in the source and changes in instrument configurations. This paper describes the hardware configuration of the system and the method of task sharing and compares results to the single processor system

  1. Performances of multiprocessor multidisk architectures for continuous media storage

    Science.gov (United States)

    Gennart, Benoit A.; Messerli, Vincent; Hersch, Roger D.

    1996-03-01

    Multimedia interfaces increase the need for large image databases, capable of storing and reading streams of data with strict synchronicity and isochronicity requirements. In order to fulfill these requirements, we consider a parallel image server architecture which relies on arrays of intelligent disk nodes, each disk node being composed of one processor and one or more disks. This contribution analyzes through bottleneck performance evaluation and simulation the behavior of two multi-processor multi-disk architectures: a point-to-point architecture and a shared-bus architecture similar to current multiprocessor workstation architectures. We compare the two architectures on the basis of two multimedia algorithms: the compute-bound frame resizing by resampling and the data-bound disk-to-client stream transfer. The results suggest that the shared bus is a potential bottleneck despite its very high hardware throughput (400Mbytes/s) and that an architecture with addressable local memories located closely to their respective processors could partially remove this bottleneck. The point- to-point architecture is scalable and able to sustain high throughputs for simultaneous compute- bound and data-bound operations.

  2. The ACP [Advanced Computer Program] multiprocessor system at Fermilab

    International Nuclear Information System (INIS)

    Nash, T.; Areti, H.; Atac, R.

    1986-09-01

    The Advanced Computer Program at Fermilab has developed a multiprocessor system which is easy to use and uniquely cost effective for many high energy physics problems. The system is based on single board computers which cost under $2000 each to build including 2 Mbytes of on board memory. These standard VME modules each run experiment reconstruction code in Fortran at speeds approaching that of a VAX 11/780. Two versions have been developed: one uses Motorola's 68020 32 bit microprocessor, the other runs with AT and T's 32100. both include the corresponding floating point coprocessor chip. The first system, when fully configured, uses 70 each of the two types of processors. A 53 processor system has been operated for several months with essentially no down time by computer operators in the Fermilab Computer Center, performing at nearly the capacity of 6 CDC Cyber 175 mainframe computers. The VME crates in which the processing ''nodes'' sit are connected via a high speed ''Branch Bus'' to one or more MicroVAX computers which act as hosts handling system resource management and all I/O in offline applications. An interface from Fastbus to the Branch Bus has been developed for online use which has been tested error free at 20 Mbytes/sec for 48 hours. ACP hardware modules are now available commercially. A major package of software, including a simulator that runs on any VAX, has been developed. It allows easy migration of existing programs to this multiprocessor environment. This paper describes the ACP Multiprocessor System and early experience with it at Fermilab and elsewhere

  3. Quality-driven model-based design of multi-processor accelerators : an application to LDPC decoders

    NARCIS (Netherlands)

    Jan, Y.

    2012-01-01

    The recent spectacular progress in nano-electronic technology has enabled the implementation of very complex multi-processor systems on single chips (MPSoCs). However in parallel, new highly demanding complex embedded applications are emerging, in fields like communication and networking,

  4. File-System Workload on a Scientific Multiprocessor

    Science.gov (United States)

    Kotz, David; Nieuwejaar, Nils

    1995-01-01

    Many scientific applications have intense computational and I/O requirements. Although multiprocessors have permitted astounding increases in computational performance, the formidable I/O needs of these applications cannot be met by current multiprocessors a their I/O subsystems. To prevent I/O subsystems from forever bottlenecking multiprocessors and limiting the range of feasible applications, new I/O subsystems must be designed. The successful design of computer systems (both hardware and software) depends on a thorough understanding of their intended use. A system designer optimizes the policies and mechanisms for the cases expected to most common in the user's workload. In the case of multiprocessor file systems, however, designers have been forced to build file systems based only on speculation about how they would be used, extrapolating from file-system characterizations of general-purpose workloads on uniprocessor and distributed systems or scientific workloads on vector supercomputers (see sidebar on related work). To help these system designers, in June 1993 we began the Charisma Project, so named because the project sought to characterize 1/0 in scientific multiprocessor applications from a variety of production parallel computing platforms and sites. The Charisma project is unique in recording individual read and write requests-in live, multiprogramming, parallel workloads (rather than from selected or nonparallel applications). In this article, we present the first results from the project: a characterization of the file-system workload an iPSC/860 multiprocessor running production, parallel scientific applications at NASA's Ames Research Center.

  5. Modeling and Analyzing Real-Time Multiprocessor Systems

    NARCIS (Netherlands)

    Wiggers, M.H.; Thiele, Lothar; Lee, Edward A.; Schlieker, Simon; Bekooij, Marco Jan Gerrit

    2010-01-01

    Researchers have proposed approaches to verify that real-time multiprocessor systems meet their timeliness constraints. These approaches make assumptions on the model of computation, the load placed on the multiprocessor system, and the faults that can arise. This heterogeneous set of assumptions

  6. Multiprocessor development for robot control

    International Nuclear Information System (INIS)

    Lee, Jong Min; Kim, Seung Ho; Hwang, Suk Yeoung; Sohn, Surg Won; Kim, Byung Soo; Kim, Chang Hoi; Lee, Yong Bum; Kim, Woong Ki

    1988-12-01

    The object of this project is to develop a multiprocessor system which is essential to robot technology. A multiprocessor system interconnecting many single board computer is much faster and flexible than a single processor. The developed multiprocessor will be used to control nuclear mobile robot, so a loosely coupled system is adopted as a robot controller. A total configuration of controller is divided into three main parts in related with its function. It is consisted of supervisory control part, functional control part, remote control part. The designed control system is to be expanded easily for further use with a modular architecture, so the functional independency within sub-systems can be obtained throughout the system structure. Electromagnetic interference affecting to the control system is minimized by using optical fiber as communication media between robot and control system. System performances is enhanced not only by using distributed architecture in hardware, but by adopting real-time, multi-tasking operating system in software. The iRMX86 OS is used and reconfigured for real-time, multi-tasking operation. RS-485 serial communication protocol is used between functional control part and remote control part. Since the developed multiprocessor control system is an essential and fundamental technology for artificial intelligent robot, the result of this project can be applied directly to nuclear mobile robot. (Author)

  7. The ACP (Advanced Computer Program) multiprocessor system at Fermilab

    Energy Technology Data Exchange (ETDEWEB)

    Nash, T.; Areti, H.; Atac, R.; Biel, J.; Case, G.; Cook, A.; Fischler, M.; Gaines, I.; Hance, R.; Husby, D.

    1986-09-01

    The Advanced Computer Program at Fermilab has developed a multiprocessor system which is easy to use and uniquely cost effective for many high energy physics problems. The system is based on single board computers which cost under $2000 each to build including 2 Mbytes of on board memory. These standard VME modules each run experiment reconstruction code in Fortran at speeds approaching that of a VAX 11/780. Two versions have been developed: one uses Motorola's 68020 32 bit microprocessor, the other runs with AT and T's 32100. both include the corresponding floating point coprocessor chip. The first system, when fully configured, uses 70 each of the two types of processors. A 53 processor system has been operated for several months with essentially no down time by computer operators in the Fermilab Computer Center, performing at nearly the capacity of 6 CDC Cyber 175 mainframe computers. The VME crates in which the processing ''nodes'' sit are connected via a high speed ''Branch Bus'' to one or more MicroVAX computers which act as hosts handling system resource management and all I/O in offline applications. An interface from Fastbus to the Branch Bus has been developed for online use which has been tested error free at 20 Mbytes/sec for 48 hours. ACP hardware modules are now available commercially. A major package of software, including a simulator that runs on any VAX, has been developed. It allows easy migration of existing programs to this multiprocessor environment. This paper describes the ACP Multiprocessor System and early experience with it at Fermilab and elsewhere.

  8. Embedded multiprocessors scheduling and synchronization

    CERN Document Server

    Sriram, Sundararajan

    2009-01-01

    Techniques for Optimizing Multiprocessor Implementations of Signal Processing ApplicationsAn indispensable component of the information age, signal processing is embedded in a variety of consumer devices, including cell phones and digital television, as well as in communication infrastructure, such as media servers and cellular base stations. Multiple programmable processors, along with custom hardware running in parallel, are needed to achieve the computation throughput required of such applications. Reviews important research in key areas related to the multiprocessor implementation of multi

  9. Multiprocessor based data acquisition system for radiation monitoring in nuclear reactors

    International Nuclear Information System (INIS)

    Pansare, M.G.; Narsaiah, A.; Anantha Krishnan, T.S.

    1989-01-01

    Expensive minicomputers are required for building powerful Data Acquisition Systems (DAS) capable of scanning and processing large number of signals in a real-time environment. However by using the inexpensive microprocessors in multiprocessor configuration it is possible to build DASs that are as powerful as minicomputer based systems at much lesser cost. This paper describes such a multiprocessor based DAS designed for acquiring data from various radiation monitoring instruments of a nuclear reactor. The system is built by using MULTIBUS standard boards based on intel 8086, 16 bit microprocessor, with local and shared memory. The system monitors upto 128 analog input channels, 64 digital input channels and actuates upto 128 digital output contacts. The system continuously checks for the alarm condition of the input channels and displays the alarm status on an ALARM CRT. Facility has been provided for the transfer of data to a central computer. At any instant of time, the information regarding different channels being monitored is available from the local console as well as through five remote terminals located at various places in the reactor building. (author)

  10. Shared performance monitor in a multiprocessor system

    Science.gov (United States)

    Chiu, George; Gara, Alan G.; Salapura, Valentina

    2012-07-24

    A performance monitoring unit (PMU) and method for monitoring performance of events occurring in a multiprocessor system. The multiprocessor system comprises a plurality of processor devices units, each processor device for generating signals representing occurrences of events in the processor device, and, a single shared counter resource for performance monitoring. The performance monitor unit is shared by all processor cores in the multiprocessor system. The PMU comprises: a plurality of performance counters each for counting signals representing occurrences of events from one or more the plurality of processor units in the multiprocessor system; and, a plurality of input devices for receiving the event signals from one or more processor devices of the plurality of processor units, the plurality of input devices programmable to select event signals for receipt by one or more of the plurality of performance counters for counting, wherein the PMU is shared between multiple processing units, or within a group of processors in the multiprocessing system. The PMU is further programmed to monitor event signals issued from non-processor devices.

  11. A survey of Tumult, a real-time multi-processor system

    International Nuclear Information System (INIS)

    Jansen, P.G.

    1986-01-01

    Tumult (Twente University MULTi processor system) is the name of an ongoing project aiming at the design and implementation of a modular extendible multiprocessor system. All memory is distributed and processors communicate in parallel via a fast and reliable local switching network instead of a shared bus. A distributed real-time operating system is being designed and implemented, consisting of a multi-tasking subsystem per processor. Processes can communicate via a message passing mechanism. Communication links and processes are dynamically created and disposed by the application. In this article a brief description of the system is given; communication aspects are emphasized. (Auth.)

  12. An optimal multi-channel memory controller for real-time systems

    NARCIS (Netherlands)

    Gomony, M.D.; Akesson, K.B.; Goossens, K.G.W.

    2013-01-01

    Optimal utilization of a multi-channel memory, such as Wide IO DRAM, as shared memory in multi-processor platforms depends on the mapping of memory clients to the memory channels, the granularity at which the memory requests are interleaved in each channel, and the bandwidth and memory capacity

  13. Quality-Driven Model-Based Design of MultiProcessor Embedded Systems for Highlydemanding Applications

    DEFF Research Database (Denmark)

    Jozwiak, Lech; Madsen, Jan

    2013-01-01

    The recent spectacular progress in modern nano-dimension semiconductor technology enabled implementation of a complete complex multi-processor system on a single chip (MPSoC), global networking and mobile wire-less communication, and facilitated a fast progress in these areas. New important...... accessible or distant) objects, installations, machines or devices, or even implanted in human or animal body can serve as examples. However, many of the modern embedded application impose very stringent functional and parametric demands. Moreover, the spectacular advances in microelectronics introduced...

  14. Parallel algorithms for geometric connected component labeling on a hypercube multiprocessor

    Science.gov (United States)

    Belkhale, K. P.; Banerjee, P.

    1992-01-01

    Different algorithms for the geometric connected component labeling (GCCL) problem are defined each of which involves d stages of message passing, for a d-dimensional hypercube. The major idea is that in each stage a hypercube multiprocessor increases its knowledge of domain. The algorithms under consideration include the QUAD algorithm for small number of processors and the Overlap Quad algorithm for large number of processors, subject to the locality of the connected sets. These algorithms differ in their run time, memory requirements, and message complexity. They were implemented on an Intel iPSC2/D4/MX hypercube.

  15. Cyclic executive for safety-critical Java on chip-multiprocessors

    DEFF Research Database (Denmark)

    Ravn, Anders P.; Schoeberl, Martin

    2010-01-01

    , that uses model checking to find a static schedule, if one exists at all, which gives an implementation of a table driven multiprocessor scheduler. To evaluate the proposed cyclic executive for multiprocessors we have implemented it in the context of safety-critical Java on a Java processor....

  16. Shared Memory Parallelization of an Implicit ADI-type CFD Code

    Science.gov (United States)

    Hauser, Th.; Huang, P. G.

    1999-01-01

    A parallelization study designed for ADI-type algorithms is presented using the OpenMP specification for shared-memory multiprocessor programming. Details of optimizations specifically addressed to cache-based computer architectures are described and performance measurements for the single and multiprocessor implementation are summarized. The paper demonstrates that optimization of memory access on a cache-based computer architecture controls the performance of the computational algorithm. A hybrid MPI/OpenMP approach is proposed for clusters of shared memory machines to further enhance the parallel performance. The method is applied to develop a new LES/DNS code, named LESTool. A preliminary DNS calculation of a fully developed channel flow at a Reynolds number of 180, Re(sub tau) = 180, has shown good agreement with existing data.

  17. Multiprocessor Global Scheduling on Frame-Based DVFS Systems

    OpenAIRE

    Berten, Vandy; Goossens, Joël

    2008-01-01

    International audience; In this work, we are interested in multiprocessor energy efficient systems where task durations are not known in advance but are known stochastically. More precisely we consider global scheduling algorithms for frame-based multiprocessor stochastic DVFS (Dynamic Voltage and Frequency Scaling) systems. Moreover we consider processors with a discrete set of available frequencies. We provide a global scheduling algorithm, and formally show that no deadline will ever be mi...

  18. Multiprocessor programming environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, M.B.; Fornaro, R.

    1988-12-01

    Programming tools and techniques have been well developed for traditional uniprocessor computer systems. The focus of this research project is on the development of a programming environment for a high speed real time heterogeneous multiprocessor system, with special emphasis on languages and compilers. The new tools and techniques will allow a smooth transition for programmers with experience only on single processor systems.

  19. System-Level Design Methodologies for Networked Multiprocessor Systems-on-Chip

    DEFF Research Database (Denmark)

    Virk, Kashif Munir

    2008-01-01

    is the first such attempt in the published literature. The second part of the thesis deals with the issues related to the development of system-level design methodologies for networked multiprocessor systems-on-chip at various levels of design abstraction with special focus on the modeling and design...... at the system-level. The multiprocessor modeling framework is then extended to include models of networked multiprocessor systems-on-chip which is then employed to model wireless sensor networks both at the sensor node level as well as the wireless network level. In the third and the final part, the thesis...... to the transaction-level model. The thesis, as a whole makes contributions by describing a design methodology for networked multiprocessor embedded systems at three layers of abstraction from system-level through transaction-level to the cycle accurate level as well as demonstrating it practically by implementing...

  20. Progress In Optical Memory Technology

    Science.gov (United States)

    Tsunoda, Yoshito

    1987-01-01

    More than 20 years have passed since the concept of optical memory was first proposed in 1966. Since then considerable progress has been made in this area together with the creation of completely new markets of optical memory in consumer and computer application areas. The first generation of optical memory was mainly developed with holographic recording technology in late 1960s and early 1970s. Considerable number of developments have been done in both analog and digital memory applications. Unfortunately, these technologies did not meet a chance to be a commercial product. The second generation of optical memory started at the beginning of 1970s with bit by bit recording technology. Read-only type optical memories such as video disks and compact audio disks have extensively investigated. Since laser diodes were first applied to optical video disk read out in 1976, there have been extensive developments of laser diode pick-ups for optical disk memory systems. The third generation of optical memory started in 1978 with bit by bit read/write technology using laser diodes. Developments of recording materials including both write-once and erasable have been actively pursued at several research institutes. These technologies are mainly focused on the optical memory systems for computer application. Such practical applications of optical memory technology has resulted in the creation of such new products as compact audio disks and computer file memories.

  1. Using a commercial symmetric multiprocessor for lattice QCD

    International Nuclear Information System (INIS)

    Brower, R.C.; Chen, D.; Negele, J.W.

    1998-01-01

    In its evolution, the computer industry has reached the point when considerable computing power can be packaged on a single microprocessor chip. At the same time, costs of designing a computer system around such a CPU are growing. For these reasons we decided to explore a possibility of using commercially available symmetric multiprocessors (SMP) as building blocks for the LQCD computer. Careful analysis of the architecture allowed us to build a QCD primitive library running close to the peak performance on the UltraSPARC processor. As a result, multithreaded QCD code (both the heatbath and the Wilson fermion inverter) runs at about 50% efficiency on a single SMP. The communication between different CPUs is handled by a coherent memory system. Currently we are planning to connect several SMPs with a high bandwidth network into a single system. (orig.)

  2. Investigation of implementing a synchronization protocol under multiprocessors hierarchical scheduling

    NARCIS (Netherlands)

    Nemati, F.; Behnam, M.; Bril, R.J.

    2009-01-01

    In the multi-core and multiprocessor domain, there has been considerable work done on scheduling techniques assuming that real-time tasks are independent. In practice a typical real-time system usually share logical resources among tasks. However, synchronization in the multiprocessor area has not

  3. Realtime multiprocessor for mobile ad hoc networks

    Directory of Open Access Journals (Sweden)

    T. Jungeblut

    2008-05-01

    Full Text Available This paper introduces a real-time Multiprocessor System-On-Chip (MPSoC for low power wireless applications. The multiprocessor is based on eight 32bit RISC processors that are connected via an Network-On-Chip (NoC. The NoC follows a novel approach with guaranteed bandwidth to the application that meets hard realtime requirements. At a clock frequency of 100 MHz the total power consumption of the MPSoC that has been fabricated in 180 nm UMC standard cell technology is 772 mW.

  4. Scalable shared-memory multiprocessing

    CERN Document Server

    Lenoski, Daniel E

    1995-01-01

    Dr. Lenoski and Dr. Weber have experience with leading-edge research and practical issues involved in implementing large-scale parallel systems. They were key contributors to the architecture and design of the DASH multiprocessor. Currently, they are involved with commercializing scalable shared-memory technology.

  5. Energy-efficient fault tolerance in multiprocessor real-time systems

    Science.gov (United States)

    Guo, Yifeng

    The recent progress in the multiprocessor/multicore systems has important implications for real-time system design and operation. From vehicle navigation to space applications as well as industrial control systems, the trend is to deploy multiple processors in real-time systems: systems with 4 -- 8 processors are common, and it is expected that many-core systems with dozens of processing cores will be available in near future. For such systems, in addition to general temporal requirement common for all real-time systems, two additional operational objectives are seen as critical: energy efficiency and fault tolerance. An intriguing dimension of the problem is that energy efficiency and fault tolerance are typically conflicting objectives, due to the fact that tolerating faults (e.g., permanent/transient) often requires extra resources with high energy consumption potential. In this dissertation, various techniques for energy-efficient fault tolerance in multiprocessor real-time systems have been investigated. First, the Reliability-Aware Power Management (RAPM) framework, which can preserve the system reliability with respect to transient faults when Dynamic Voltage Scaling (DVS) is applied for energy savings, is extended to support parallel real-time applications with precedence constraints. Next, the traditional Standby-Sparing (SS) technique for dual processor systems, which takes both transient and permanent faults into consideration while saving energy, is generalized to support multiprocessor systems with arbitrary number of identical processors. Observing the inefficient usage of slack time in the SS technique, a Preference-Oriented Scheduling Framework is designed to address the problem where tasks are given preferences for being executed as soon as possible (ASAP) or as late as possible (ALAP). A preference-oriented earliest deadline (POED) scheduler is proposed and its application in multiprocessor systems for energy-efficient fault tolerance is

  6. Hardware locks for a real-time Java chip multiprocessor

    DEFF Research Database (Denmark)

    Strøm, Torur Biskopstø; Puffitsch, Wolfgang; Schoeberl, Martin

    2016-01-01

    A software locking mechanism commonly protects shared resources for multithreaded applications. This mechanism can, especially in chip-multiprocessor systems, result in a large synchronization overhead. For real-time systems in particular, this overhead increases the worst-case execution time....... This improvement can allow a larger number of real-time tasks to be reliably scheduled on a multiprocessor real-time platform....

  7. Best Speed Fit EDF Scheduling for Performance Asymmetric Multiprocessors

    Directory of Open Access Journals (Sweden)

    Peng Wu

    2017-01-01

    Full Text Available In order to improve the performance of a real-time system, asymmetric multiprocessors have been proposed. The benefits of improved system performance and reduced power consumption from such architectures cannot be fully exploited unless suitable task scheduling and task allocation approaches are implemented at the operating system level. Unfortunately, most of the previous research on scheduling algorithms for performance asymmetric multiprocessors is focused on task priority assignment. They simply assign the highest priority task to the fastest processor. In this paper, we propose BSF-EDF (best speed fit for earliest deadline first for performance asymmetric multiprocessor scheduling. This approach chooses a suitable processor rather than the fastest one, when allocating tasks. With this proposed BSF-EDF scheduling, we also derive an effective schedulability test.

  8. Ring interconnection for distributed memory automation and computing system

    Energy Technology Data Exchange (ETDEWEB)

    Vinogradov, V I [Inst. for Nuclear Research of the Russian Academy of Sciences, Moscow (Russian Federation)

    1996-12-31

    Problems of development of measurement, acquisition and central systems based on a distributed memory and a ring interface are discussed. It has been found that the RAM LINK-type protocol can be used for ringlet links in non-symmetrical distributed memory architecture multiprocessor system interaction. 5 refs.

  9. Multiprocessor Priority Ceiling Emulation for Safety-Critical Java

    DEFF Research Database (Denmark)

    Strøm, Torur Biskopstø; Schoeberl, Martin

    2015-01-01

    Priority ceiling emulation has preferable properties on uniprocessor systems, such as avoiding priority inversion and being deadlock free. This has made it a popular locking protocol. According to the safety-critical Java specication, priority ceiling emulation is a requirement for implementations....... However, implementing the protocol for multiprocessor systemsis more complex so implementations might perform worse than non-preemptive implementations. In this paper we compare two multiprocessor lock implementations with hardware support for the Java optimized processor: non-preemptive locking...

  10. Safety-critical Java with cyclic executives on chip-multiprocessors

    DEFF Research Database (Denmark)

    Ravn, Anders P.; Schoeberl, Martin

    2012-01-01

    Chip-multiprocessors offer increased processing power at a low cost. However, in order to use them for real-time systems, tasks have to be scheduled efficiently and predictably. It is well known that finding optimal schedules is a computationally hard problem. In this paper we present a solution ...... for multiprocessors, we have implemented it in the context of safety-critical Java on a Java processor....

  11. Embedded software design and programming of multiprocessor system-on-chip simulink and system C case studies

    CERN Document Server

    Popovici, Katalin; Jerraya, Ahmed A; Wolf, Marilyn

    2010-01-01

    Current multimedia and telecom applications require complex, heterogeneous multiprocessor system on chip (MPSoC) architectures with specific communication infrastructure in order to achieve the required performance. Heterogeneous MPSoC includes different types of processing units (DSP, microcontroller, ASIP) and different communication schemes (fast links, non standard memory organization and access).Programming an MPSoC requires the generation of efficient software running on MPSoC from a high level environment, by using the characteristics of the architecture. This task is known to be tediou

  12. A Time-predictable Memory Network-on-Chip

    DEFF Research Database (Denmark)

    Schoeberl, Martin; Chong, David VH; Puffitsch, Wolfgang

    2014-01-01

    To derive safe bounds on worst-case execution times (WCETs), all components of a computer system need to be time-predictable: the processor pipeline, the caches, the memory controller, and memory arbitration on a multicore processor. This paper presents a solution for time-predictable memory...... arbitration and access for chip-multiprocessors. The memory network-on-chip is organized as a tree with time-division multiplexing (TDM) of accesses to the shared memory. The TDM based arbitration completely decouples processor cores and allows WCET analysis of the memory accesses on individual cores without...

  13. Control and Reliability of Optical Networks in Multiprocessors

    Science.gov (United States)

    Olsen, James Jonathan

    1993-01-01

    Optical communication links have great potential to improve the performance of interconnection networks within large parallel multiprocessors, but the problems of semiconductor laser drive control and reliability inhibit their wide use. These problems have been solved in the telecommunications context, but the telecommunications solutions, based on a small number of links, are often too bulky, complex, power-hungry, and expensive to be feasible for use in a multiprocessor network with thousands of optical links. The main problems with the telecommunications approaches are that they are, by definition, designed for long-distance communication and therefore deal with communications links in isolation, instead of in an overall systems context. By taking a system-level approach to solving the laser reliability problem in a multiprocessor, and by exploiting the short -distance nature of the links, one can achieve small, simple, low-power, and inexpensive solutions, practical for implementation in the thousands of optical links that might be used in a multiprocessor. Through modeling and experimentation, I demonstrate that such system-level solutions exist, and are feasible for use in a multiprocessor network. I divide semiconductor laser reliability problems into two classes: transient errors and hard failures, and develop solutions to each type of problem in the context of a large multiprocessor. I find that for transient errors, the computer system would require a very low bit-error-rate (BER), such as 10^{-23}, if no provision were made for error control. Optical links cannot achieve such rates directly, but I find that a much more reasonable link-level BER (such as 10^{-7} ) would be acceptable with simple error detection coding. I then propose a feedback system that will enable lasers to achieve these error levels even when laser threshold current varies. Instead of telecommunications techniques, which require laser output power monitors, I describe a software

  14. The performance of disk arrays in shared-memory database machines

    Science.gov (United States)

    Katz, Randy H.; Hong, Wei

    1993-01-01

    In this paper, we examine how disk arrays and shared memory multiprocessors lead to an effective method for constructing database machines for general-purpose complex query processing. We show that disk arrays can lead to cost-effective storage systems if they are configured from suitably small formfactor disk drives. We introduce the storage system metric data temperature as a way to evaluate how well a disk configuration can sustain its workload, and we show that disk arrays can sustain the same data temperature as a more expensive mirrored-disk configuration. We use the metric to evaluate the performance of disk arrays in XPRS, an operational shared-memory multiprocessor database system being developed at the University of California, Berkeley.

  15. Runtime adaptive multi-processor system-on-chip: RAMPSoC

    OpenAIRE

    Göhringer, D.; Hübner, M.; Schatz, V.; Becker, J.

    2008-01-01

    Current trends in high performance computing show, that the usage of multiprocessor systems on chip are one approach for the requirements of computing intensive applications. The multiprocessor system on chip (MPSoC) approaches often provide a static and homogeneous infrastructure of networked microprocessor on the chip die. A novel idea in this research area is to introduce the dynamic adaptivity of reconfigurable hardware in order to provide a flexible heterogeneous set of processing elemen...

  16. Parallel External Memory Graph Algorithms

    DEFF Research Database (Denmark)

    Arge, Lars Allan; Goodrich, Michael T.; Sitchinava, Nodari

    2010-01-01

    In this paper, we study parallel I/O efficient graph algorithms in the Parallel External Memory (PEM) model, one o f the private-cache chip multiprocessor (CMP) models. We study the fundamental problem of list ranking which leads to efficient solutions to problems on trees, such as computing lowest...... an optimal speedup of ¿(P) in parallel I/O complexity and parallel computation time, compared to the single-processor external memory counterparts....

  17. A Multiprocessor Operating System Simulator

    Science.gov (United States)

    Johnston, Gary M.; Campbell, Roy H.

    1988-01-01

    This paper describes a multiprocessor operating system simulator that was developed by the authors in the Fall semester of 1987. The simulator was built in response to the need to provide students with an environment in which to build and test operating system concepts as part of the coursework of a third-year undergraduate operating systems course. Written in C++, the simulator uses the co-routine style task package that is distributed with the AT&T C++ Translator to provide a hierarchy of classes that represents a broad range of operating system software and hardware components. The class hierarchy closely follows that of the 'Choices' family of operating systems for loosely- and tightly-coupled multiprocessors. During an operating system course, these classes are refined and specialized by students in homework assignments to facilitate experimentation with different aspects of operating system design and policy decisions. The current implementation runs on the IBM RT PC under 4.3bsd UNIX.

  18. A system-level multiprocessor system-on-chip modeling framework

    DEFF Research Database (Denmark)

    Virk, Kashif Munir; Madsen, Jan

    2004-01-01

    We present a system-level modeling framework to model system-on-chips (SoC) consisting of heterogeneous multiprocessors and network-on-chip communication structures in order to enable the developers of today's SoC designs to take advantage of the flexibility and scalability of network-on-chip and...... SoC design. We show how a hand-held multimedia terminal, consisting of JPEG, MP3 and GSM applications, can be modeled as a multiprocessor SoC in our framework....

  19. Operating system for a real-time multiprocessor propulsion system simulator. User's manual

    Science.gov (United States)

    Cole, G. L.

    1985-01-01

    The NASA Lewis Research Center is developing and evaluating experimental hardware and software systems to help meet future needs for real-time, high-fidelity simulations of air-breathing propulsion systems. Specifically, the real-time multiprocessor simulator project focuses on the use of multiple microprocessors to achieve the required computing speed and accuracy at relatively low cost. Operating systems for such hardware configurations are generally not available. A real time multiprocessor operating system (RTMPOS) that supports a variety of multiprocessor configurations was developed at Lewis. With some modification, RTMPOS can also support various microprocessors. RTMPOS, by means of menus and prompts, provides the user with a versatile, user-friendly environment for interactively loading, running, and obtaining results from a multiprocessor-based simulator. The menu functions are described and an example simulation session is included to demonstrate the steps required to go from the simulation loading phase to the execution phase.

  20. Development of a VME multi-processor system for plasma control at the JT-60 Upgrade

    International Nuclear Information System (INIS)

    Takahashi, M.; Kurihara, K.; Kawamata, Y.; Akasaka, H.; Kimura, T.

    1992-01-01

    Design and initial operation results are reported of a VME multi-processor system [1] for plasma control at a large fusion device named 'the JT-60 Upgrade' utilizing three 32-bit MC88100 based RISC computers and VME components. Development of the system was stimulated by faster and more accurate computation requirements for the plasma position and current control. The RISC computers operate at 25 MHz along with two cashe memories named MC88200. We newly developed VME bus modules of up/down counter, analog-to-digital converter and clock pulse generator for measuring magnetic field and coil current and for synchronizing the processing in the three RISCs and direct digital controllers (DDCs) of magnet power supplies. We also evaluated that the speed of the data transfer between the VME bus system and the DDCs through CAMAC highways satisfies the above requirements. In the initial operation of the JT-60 upgrade, it has been proved that the VME multi-processor system well controls the plasma position and current with a sampling period of 250 μsec and a delay of 500 μsec. (author)

  1. Real-Time Multiprocessor Programming Language (RTMPL) user's manual

    Science.gov (United States)

    Arpasi, D. J.

    1985-01-01

    A real-time multiprocessor programming language (RTMPL) has been developed to provide for high-order programming of real-time simulations on systems of distributed computers. RTMPL is a structured, engineering-oriented language. The RTMPL utility supports a variety of multiprocessor configurations and types by generating assembly language programs according to user-specified targeting information. Many programming functions are assumed by the utility (e.g., data transfer and scaling) to reduce the programming chore. This manual describes RTMPL from a user's viewpoint. Source generation, applications, utility operation, and utility output are detailed. An example simulation is generated to illustrate many RTMPL features.

  2. Job-mix modeling and system analysis of an aerospace multiprocessor.

    Science.gov (United States)

    Mallach, E. G.

    1972-01-01

    An aerospace guidance computer organization, consisting of multiple processors and memory units attached to a central time-multiplexed data bus, is described. A job mix for this type of computer is obtained by analysis of Apollo mission programs. Multiprocessor performance is then analyzed using: 1) queuing theory, under certain 'limiting case' assumptions; 2) Markov process methods; and 3) system simulation. Results of the analyses indicate: 1) Markov process analysis is a useful and efficient predictor of simulation results; 2) efficient job execution is not seriously impaired even when the system is so overloaded that new jobs are inordinately delayed in starting; 3) job scheduling is significant in determining system performance; and 4) a system having many slow processors may or may not perform better than a system of equal power having few fast processors, but will not perform significantly worse.

  3. The structural robustness of multiprocessor computing system

    Directory of Open Access Journals (Sweden)

    N. Andronaty

    1996-03-01

    Full Text Available The model of the multiprocessor computing system on the base of transputers which permits to resolve the question of valuation of a structural robustness (viability, survivability is described.

  4. One-Step Programmable Arbiters for Multiprocessors

    DEFF Research Database (Denmark)

    Højberg, Kristian Søe

    1978-01-01

    When processors in a multiprocessor system demand service from a shared bus in an asynchronous mode, a synchronous state arbiter resolves conflicts and allocates resources. Independent of the combination of requests, only one state transition is required from a free to allocated resource...

  5. USC orthogonal multiprocessor for image processing with neural networks

    Science.gov (United States)

    Hwang, Kai; Panda, Dhabaleswar K.; Haddadi, Navid

    1990-07-01

    This paper presents the architectural features and imaging applications of the Orthogonal MultiProcessor (OMP) system, which is under construction at the University of Southern California with research funding from NSF and assistance from several industrial partners. The prototype OMP is being built with 16 Intel i860 RISC microprocessors and 256 parallel memory modules using custom-designed spanning buses, which are 2-D interleaved and orthogonally accessed without conflicts. The 16-processor OMP prototype is targeted to achieve 430 MIPS and 600 Mflops, which have been verified by simulation experiments based on the design parameters used. The prototype OMP machine will be initially applied for image processing, computer vision, and neural network simulation applications. We summarize important vision and imaging algorithms that can be restructured with neural network models. These algorithms can efficiently run on the OMP hardware with linear speedup. The ultimate goal is to develop a high-performance Visual Computer (Viscom) for integrated low- and high-level image processing and vision tasks.

  6. Parallel simulated annealing algorithms for cell placement on hypercube multiprocessors

    Science.gov (United States)

    Banerjee, Prithviraj; Jones, Mark Howard; Sargent, Jeff S.

    1990-01-01

    Two parallel algorithms for standard cell placement using simulated annealing are developed to run on distributed-memory message-passing hypercube multiprocessors. The cells can be mapped in a two-dimensional area of a chip onto processors in an n-dimensional hypercube in two ways, such that both small and large cell exchange and displacement moves can be applied. The computation of the cost function in parallel among all the processors in the hypercube is described, along with a distributed data structure that needs to be stored in the hypercube to support the parallel cost evaluation. A novel tree broadcasting strategy is used extensively for updating cell locations in the parallel environment. A dynamic parallel annealing schedule estimates the errors due to interacting parallel moves and adapts the rate of synchronization automatically. Two novel approaches in controlling error in parallel algorithms are described: heuristic cell coloring and adaptive sequence control.

  7. [Musical long-term memory throughout the progression of Alzheimer disease].

    Science.gov (United States)

    Groussard, Mathilde; Mauger, Caroline; Platel, Hervé

    2013-03-01

    In Alzheimer patients with a solid musical background, isolated case-reports have reported the maintenance of remarkable musical abilities despite clear difficulties in their verbal memory and linguistic functions. These reports have encouraged a number of scientists to undertake more systematic studies which would allow a rigorous approach to the analysis of musical memory in Alzheimer patients with no formal musical background. Although restricted in number, the latest data are controversial regarding preserved musical capacities in Alzheimer patients. Our current review of the literature addresses this topic and advances the hypothesis that the processes of musical memory are function of illness progression. In the earlier stages, the majority of evaluations concerned musical episodic memory and suggested a dysfunction of this memory whereas in the moderate and severe stages, musical semantic memory and implicit learning are the majority of investigations and seemed more resistant to Alzheimer disease. In summary, our current review bring to understand the memory circuits involved and highlight the necessity to adapted the investigational tools employed to conform with the severity of the signs and symptoms of progressive Alzheimer disease in order to demonstrate the preserved musical capacities.

  8. Performance of the coupled thermalhydraulics/neutron kinetics code R/P/C on workstation clusters and multiprocessor systems

    International Nuclear Information System (INIS)

    Hammer, C.; Paffrath, M.; Boeer, R.; Finnemann, H.; Jackson, C.J.

    1996-01-01

    The light water reactor core simulation code PANBOX has been coupled with the transient analysis code RELAP5 for the purpose of performing plant safety analyses with a three-dimensional (3-D) neutron kinetics model. The system has been parallelized to improve the computational efficiency. The paper describes the features of this system with emphasis on performance aspects. Performance results are given for different types of parallelization, i. e. for using an automatic parallelizing compiler, using the portable PVM platform on a workstation cluster, using PVM on a shared memory multiprocessor, and for using machine dependent interfaces. (author)

  9. Solution of the Euler and Navier-Stokes equations on MIMD distributed memory multiprocessors using cyclic reduction

    International Nuclear Information System (INIS)

    Curchitser, E.N.; Pelz, R.B.; Marconi, F.

    1992-01-01

    The Euler and Navier-Stokes equations are solved for the steady, two-dimensional flow over a NACA 0012 airfoil using a 1024 node nCUBE/2 multiprocessor. Second-order, upwind-discretized difference equations are solved implicitly using ADI factorization. Parallel cyclic reduction is employed to solve the block tridiagonal systems. For realistic problems, communication times are negligible compared to calculation times. The processors are tightly synchronized, and their loads are well balanced. When the flux Jacobians flux are frozen, the wall-clock time for one implicit timestep is about equal to that of a multistage explicit scheme. 10 refs

  10. Fast progressive memory loss in a 63-year-old man

    OpenAIRE

    De Smet, K; De Maeseneer, M; Yazdi Amir, T; De Mey, J

    2011-01-01

    A 63-year-old man presented to the neurology department with fast progressive memory loss especially short term memory. For 2 weeks he had experienced loss of orientation, judgment difficulties, and concentration problems. A CT scan of the brain was normal.

  11. Cholestasis progression effects on long-term memory in bile duct ligation rats

    Directory of Open Access Journals (Sweden)

    Nasrin Hosseini

    2014-01-01

    Full Text Available Background : There is evidence that cognitive functions are affected by some liver diseases such as cholestasis. Bile duct ligation induces cholestasis as a result of impaired liver function and cognition. This research investigates the effect of cholestasis progression on memory function in bile duct ligation rats. Materials and Methods: Male Wistar rats were randomly divided into five groups, which include: control group for BDL-7, control group for BDL-21, sham group (underwent laparotomy without bile duct ligation, BDL-7 group (7 days after bile duct ligation, and BDL-21 group (21 days after bile duct ligation. Step-through passive avoidance test was employed to examine memory function. In all groups, short-term (7 days after foot shock and long-term memories (21 days after foot shock were assessed. Results: Our results showed that liver function significantly decreased with cholestasis progression (P < 0.01. Also our findings indicated BDL-21 significantly impaired acquisition time (P < 0.05. Memory retrieval impaired 7 (P < 0.05 and 21 days (P < 0.001 after foot shock in BDL-7 and BDL-21 groups, respectively. Conclusion: Based on these findings, liver function altered in cholestasis and memory (short-term and long-term memory impaired with cholestasis progression in bile duct ligation rats. Further studies are needed to better insight the nature of progression of brain damage in cholestatic disease.

  12. Reproducibility in a multiprocessor system

    Science.gov (United States)

    Bellofatto, Ralph A; Chen, Dong; Coteus, Paul W; Eisley, Noel A; Gara, Alan; Gooding, Thomas M; Haring, Rudolf A; Heidelberger, Philip; Kopcsay, Gerard V; Liebsch, Thomas A; Ohmacht, Martin; Reed, Don D; Senger, Robert M; Steinmacher-Burow, Burkhard; Sugawara, Yutaka

    2013-11-26

    Fixing a problem is usually greatly aided if the problem is reproducible. To ensure reproducibility of a multiprocessor system, the following aspects are proposed; a deterministic system start state, a single system clock, phase alignment of clocks in the system, system-wide synchronization events, reproducible execution of system components, deterministic chip interfaces, zero-impact communication with the system, precise stop of the system and a scan of the system state.

  13. Operating System for Runtime Reconfigurable Multiprocessor Systems

    Directory of Open Access Journals (Sweden)

    Diana Göhringer

    2011-01-01

    Full Text Available Operating systems traditionally handle the task scheduling of one or more application instances on processor-like hardware architectures. RAMPSoC, a novel runtime adaptive multiprocessor System-on-Chip, exploits the dynamic reconfiguration on FPGAs to generate, start and terminate hardware and software tasks. The hardware tasks have to be transferred to the reconfigurable hardware via a configuration access port. The software tasks can be loaded into the local memory of the respective IP core either via the configuration access port or via the on-chip communication infrastructure (e.g. a Network-on-Chip. Recent-series of Xilinx FPGAs, such as Virtex-5, provide two Internal Configuration Access Ports, which cannot be accessed simultaneously. To prevent conflicts, the access to these ports as well as the hardware resource management needs to be controlled, e.g. by a special-purpose operating system running on an embedded processor. For that purpose and to handle the relations between temporally and spatially scheduled operations, the novel approach of an operating system is of high importance. This special purpose operating system, called CAP-OS (Configuration Access Port-Operating System, which will be presented in this paper, supports the clients using the configuration port with the services of priority-based access scheduling, hardware task mapping and resource management.

  14. Efficient process migration in the EMPS multiprocessor system

    NARCIS (Netherlands)

    van Dijk, G.J.W.; Gils, van M.J.

    1992-01-01

    The process migration facility in the Eindhoven multiprocessor system (EMPS) is presented. In the EMPS system, mailboxes are used for interprocess communication. These mailboxes provide transparency of location for communicating processes. The major advantages of mailbox communication in the EMPS

  15. Memory Hierarchy Design for Next Generation Scalable Many-core Platforms

    OpenAIRE

    Azarkhish, Erfan

    2016-01-01

    Performance and energy consumption in modern computing platforms is largely dominated by the memory hierarchy. The increasing computational power in the multiprocessors and accelerators, and the emergence of the data-intensive workloads (e.g. large-scale graph traversal and scientific algorithms) requiring fast transfer of large volumes of data, are two main trends which intensify this problem by putting even higher pressure on the memory hierarchy. This increasing gap between computation spe...

  16. Cache-aware network-on-chip for chip multiprocessors

    Science.gov (United States)

    Tatas, Konstantinos; Kyriacou, Costas; Dekoulis, George; Demetriou, Demetris; Avraam, Costas; Christou, Anastasia

    2009-05-01

    This paper presents the hardware prototype of a Network-on-Chip (NoC) for a chip multiprocessor that provides support for cache coherence, cache prefetching and cache-aware thread scheduling. A NoC with support to these cache related mechanisms can assist in improving systems performance by reducing the cache miss ratio. The presented multi-core system employs the Data-Driven Multithreading (DDM) model of execution. In DDM thread scheduling is done according to data availability, thus the system is aware of the threads to be executed in the near future. This characteristic of the DDM model allows for cache aware thread scheduling and cache prefetching. The NoC prototype is a crossbar switch with output buffering that can support a cache-aware 4-node chip multiprocessor. The prototype is built on the Xilinx ML506 board equipped with a Xilinx Virtex-5 FPGA.

  17. Hard Real-Time Performances in Multiprocessor-Embedded Systems Using ASMP-Linux

    Directory of Open Access Journals (Sweden)

    Daniel Pierre Bovet

    2008-01-01

    Full Text Available Multiprocessor systems, especially those based on multicore or multithreaded processors, and new operating system architectures can satisfy the ever increasing computational requirements of embedded systems. ASMP-LINUX is a modified, high responsiveness, open-source hard real-time operating system for multiprocessor systems capable of providing high real-time performance while maintaining the code simple and not impacting on the performances of the rest of the system. Moreover, ASMP-LINUX does not require code changing or application recompiling/relinking. In order to assess the performances of ASMP-LINUX, benchmarks have been performed on several hardware platforms and configurations.

  18. Hard Real-Time Performances in Multiprocessor-Embedded Systems Using ASMP-Linux

    Directory of Open Access Journals (Sweden)

    Betti Emiliano

    2008-01-01

    Full Text Available Abstract Multiprocessor systems, especially those based on multicore or multithreaded processors, and new operating system architectures can satisfy the ever increasing computational requirements of embedded systems. ASMP-LINUX is a modified, high responsiveness, open-source hard real-time operating system for multiprocessor systems capable of providing high real-time performance while maintaining the code simple and not impacting on the performances of the rest of the system. Moreover, ASMP-LINUX does not require code changing or application recompiling/relinking. In order to assess the performances of ASMP-LINUX, benchmarks have been performed on several hardware platforms and configurations.

  19. Operating system for a real-time multiprocessor propulsion system simulator

    Science.gov (United States)

    Cole, G. L.

    1984-01-01

    The success of the Real Time Multiprocessor Operating System (RTMPOS) in the development and evaluation of experimental hardware and software systems for real time interactive simulation of air breathing propulsion systems was evaluated. The Real Time Multiprocessor Operating System (RTMPOS) provides the user with a versatile, interactive means for loading, running, debugging and obtaining results from a multiprocessor based simulator. A front end processor (FEP) serves as the simulator controller and interface between the user and the simulator. These functions are facilitated by the RTMPOS which resides on the FEP. The RTMPOS acts in conjunction with the FEP's manufacturer supplied disk operating system that provides typical utilities like an assembler, linkage editor, text editor, file handling services, etc. Once a simulation is formulated, the RTMPOS provides for engineering level, run time operations such as loading, modifying and specifying computation flow of programs, simulator mode control, data handling and run time monitoring. Run time monitoring is a powerful feature of RTMPOS that allows the user to record all actions taken during a simulation session and to receive advisories from the simulator via the FEP. The RTMPOS is programmed mainly in PASCAL along with some assembly language routines. The RTMPOS software is easily modified to be applicable to hardware from different manufacturers.

  20. Chip-Multiprocessor Hardware Locks for Safety-Critical Java

    DEFF Research Database (Denmark)

    Strøm, Torur Biskopstø; Puffitsch, Wolfgang; Schoeberl, Martin

    2013-01-01

    and may void a task set's schedulability. In this paper we present a hardware locking mechanism to reduce the synchronization overhead. The solution is implemented for the chip-multiprocessor version of the Java Optimized Processor in the context of safety-critical Java. The implementation is compared...

  1. The fast Amsterdam multiprocessor (FAMP) system hardware

    International Nuclear Information System (INIS)

    Hertzberger, L.O.; Kieft, G.; Kisielewski, B.; Wiggers, L.W.; Engster, C.; Koningsveld, L. van

    1981-01-01

    The architecture of a multiprocessor system is described that will be used for on-line filter and second stage trigger applications. The system is based on the MC 68000 microprocessor from Motorola. Emphasis is paid to hardware aspects, in particular the modularity, processor communication and interfacing, whereas the system software and the applications will be described in separate articles. (orig.)

  2. Event parallelism: Distributed memory parallel computing for high energy physics experiments

    International Nuclear Information System (INIS)

    Nash, T.

    1989-05-01

    This paper describes the present and expected future development of distributed memory parallel computers for high energy physics experiments. It covers the use of event parallel microprocessor farms, particularly at Fermilab, including both ACP multiprocessors and farms of MicroVAXES. These systems have proven very cost effective in the past. A case is made for moving to the more open environment of UNIX and RISC processors. The 2nd Generation ACP Multiprocessor System, which is based on powerful RISC systems, is described. Given the promise of still more extraordinary increases in processor performance, a new emphasis on point to point, rather than bussed, communication will be required. Developments in this direction are described. 6 figs

  3. Event parallelism: Distributed memory parallel computing for high energy physics experiments

    International Nuclear Information System (INIS)

    Nash, T.

    1989-01-01

    This paper describes the present and expected future development of distributed memory parallel computers for high energy physics experiments. It covers the use of event parallel microprocessor farms, particularly at Fermilab, including both ACP multiprocessors and farms of MicroVAXES. These systems have proven very cost effective in the past. A case is made for moving to the more open environment of UNIX and RISC processors. The 2nd Generation ACP Multiprocessor System, which is based on powerful RISC systems, is described. Given the promise of still more extraordinary increases in processor performance, a new emphasis on point to point, rather than bussed, communication will be required. Developments in this direction are described. (orig.)

  4. Event parallelism: Distributed memory parallel computing for high energy physics experiments

    Science.gov (United States)

    Nash, Thomas

    1989-12-01

    This paper describes the present and expected future development of distributed memory parallel computers for high energy physics experiments. It covers the use of event parallel microprocessor farms, particularly at Fermilab, including both ACP multiprocessors and farms of MicroVAXES. These systems have proven very cost effective in the past. A case is made for moving to the more open environment of UNIX and RISC processors. The 2nd Generation ACP Multiprocessor System, which is based on powerful RISC system, is described. Given the promise of still more extraordinary increases in processor performance, a new emphasis on point to point, rather than bussed, communication will be required. Developments in this direction are described.

  5. Task-FIFO co-scheduling of streaming applications on MPSoCs with predictable memory hierarchy

    NARCIS (Netherlands)

    Tang, Q.; Basten, A.A.; Geilen, M.C.W.; Stuijk, S.; Wei, Ji-Bo

    This article studies the scheduling of real-time streaming applications on multiprocessor systems-on-chips with predictable memory hierarchy. An iteration-based task-FIFO co-scheduling framework is proposed for this problem. We obtain FIFO size distributions using Pareto space searching, based on

  6. Task-FIFO co-scheduling of streaming applications on MPSoCs with predictable memory hierarchy

    NARCIS (Netherlands)

    Tang, Q.; Basten, T.; Geilen, M.; Stuijk, S.; Wei, J.B.

    2017-01-01

    This article studies the scheduling of real-time streaming applications on multiprocessor systems-on-chips with predictable memory hierarchy. An iteration-based task-FIFO co-scheduling framework is proposed for this problem. We obtain FIFO size distributions using Pareto space searching, based on

  7. Conditional load and store in a shared memory

    Science.gov (United States)

    Blumrich, Matthias A; Ohmacht, Martin

    2015-02-03

    A method, system and computer program product for implementing load-reserve and store-conditional instructions in a multi-processor computing system. The computing system includes a multitude of processor units and a shared memory cache, and each of the processor units has access to the memory cache. In one embodiment, the method comprises providing the memory cache with a series of reservation registers, and storing in these registers addresses reserved in the memory cache for the processor units as a result of issuing load-reserve requests. In this embodiment, when one of the processor units makes a request to store data in the memory cache using a store-conditional request, the reservation registers are checked to determine if an address in the memory cache is reserved for that processor unit. If an address in the memory cache is reserved for that processor, the data are stored at this address.

  8. Software for event oriented processing on multiprocessor systems

    International Nuclear Information System (INIS)

    Fischler, M.; Areti, H.; Biel, J.; Bracker, S.; Case, G.; Gaines, I.; Husby, D.; Nash, T.

    1984-08-01

    Computing intensive problems that require the processing of numerous essentially independent events are natural customers for large scale multi-microprocessor systems. This paper describes the software required to support users with such problems in a multiprocessor environment. It is based on experience with and development work aimed at processing very large amounts of high energy physics data

  9. Stream-processing pipelines: processing of streams on multiprocessor architecture

    NARCIS (Netherlands)

    Kavaldjiev, N.K.; Smit, Gerardus Johannes Maria; Jansen, P.G.

    In this paper we study the timing aspects of the operation of stream-processing applications that run on a multiprocessor architecture. Dependencies are derived for the processing and communication times of the processors in such a system. Three cases of real-time constrained operation and four

  10. A combined PLC and CPU approach to multiprocessor control

    International Nuclear Information System (INIS)

    Harris, J.J.; Broesch, J.D.; Coon, R.M.

    1995-10-01

    A sophisticated multiprocessor control system has been developed for use in the E-Power Supply System Integrated Control (EPSSIC) on the DIII-D tokamak. EPSSIC provides control and interlocks for the ohmic heating coil power supply and its associated systems. Of particular interest is the architecture of this system: both a Programmable Logic Controller (PLC) and a Central Processor Unit (CPU) have been combined on a standard VME bus. The PLC and CPU input and output signals are routed through signal conditioning modules, which provide the necessary voltage and ground isolation. Additionally these modules adapt the signal levels to that of the VME I/O boards. One set of I/O signals is shared between the two processors. The resulting multiprocessor system provides a number of advantages: redundant operation for mission critical situations, flexible communications using conventional TCP/IP protocols, the simplicity of ladder logic programming for the majority of the control code, and an easily maintained and expandable non-proprietary system

  11. Evict on write, a management strategy for a prefetch unit and/or first level cache in a multiprocessor system with speculative execution

    Science.gov (United States)

    Gara, Alan; Ohmacht, Martin

    2014-09-16

    In a multiprocessor system with at least two levels of cache, a speculative thread may run on a core processor in parallel with other threads. When the thread seeks to do a write to main memory, this access is to be written through the first level cache to the second level cache. After the write though, the corresponding line is deleted from the first level cache and/or prefetch unit, so that any further accesses to the same location in main memory have to be retrieved from the second level cache. The second level cache keeps track of multiple versions of data, where more than one speculative thread is running in parallel, while the first level cache does not have any of the versions during speculation. A switch allows choosing between modes of operation of a speculation blind first level cache.

  12. Memory controllers for high-performance and real-time MPSoCs : requirements, architectures, and future trends

    NARCIS (Netherlands)

    Akesson, K.B.; Huang, Po-Chun; Clermidy, F.; Dutoit, D.; Goossens, K.G.W.; Chang, Yuan-Hao; Kuo, Tei-Wei; Vivet, P.; Wingard, D.

    2011-01-01

    Designing memory controllers for complex real-time and high-performance multi-processor systems-on-chip is challenging, since sufficient capacity and (real-time) performance must be provided in a reliable manner at low cost and with low power consumption. This special session contains four

  13. Scientific applications and numerical algorithms on the midas multiprocessor system

    International Nuclear Information System (INIS)

    Logan, D.; Maples, C.

    1986-01-01

    The MIDAS multiprocessor system is a multi-level, hierarchial structure designed at the Advanced Computer Architecture Laboratory of the University of California's Lawrence Berkeley Laboratory. A two-stage, 11-processor system has been operational for over a year and is currently undergoing expansion. It has been employed to investigate the performance of different methods of decomposing various problems and algorithms into a multiprocessor environment. The results of such tests on a variety of applications such as scientific data analysis, Monte Carlo calculations, and image processing, are discussed. Often such decompositions involve investigating the parallel structure of fundamental algorithms. Several basic algorithms dealing with random number generation, matrix diagonalization, fast Fourier transforms, and finite element methods in solving partial differential equations are also discussed. The performance and projected extensibilities of these decompositions on the MIDAS system are reported

  14. Interference control by best-effort process duty-cycling in chip multi-processor systems for real-time medical image processing

    NARCIS (Netherlands)

    Westmijze, M.; Bekooij, Marco Jan Gerrit; Smit, Gerardus Johannes Maria

    2013-01-01

    Systems with chip multi-processors are currently used for several applications that have real-time requirements. In chip multi-processor architectures, many hardware resources such as parts of the cache hierarchy are shared between cores and by using such resources, applications can significantly

  15. Safe and Efficient Support for Embeded Multi-Processors in ADA

    Science.gov (United States)

    Ruiz, Jose F.

    2010-08-01

    New software demands increasing processing power, and multi-processor platforms are spreading as the answer to achieve the required performance. Embedded real-time systems are also subject to this trend, but in the case of real-time mission-critical systems, the properties of reliability, predictability and analyzability are also paramount. The Ada 2005 language defined a subset of its tasking model, the Ravenscar profile, that provides the basis for the implementation of deterministic and time analyzable applications on top of a streamlined run-time system. This Ravenscar tasking profile, originally designed for single processors, has proven remarkably useful for modelling verifiable real-time single-processor systems. This paper proposes a simple extension to the Ravenscar profile to support multi-processor systems using a fully partitioned approach. The implementation of this scheme is simple, and it can be used to develop applications amenable to schedulability analysis.

  16. Beyond the temporal pole: limbic memory circuit in the semantic variant of primary progressive aphasia.

    Science.gov (United States)

    Tan, Rachel H; Wong, Stephanie; Kril, Jillian J; Piguet, Olivier; Hornberger, Michael; Hodges, John R; Halliday, Glenda M

    2014-07-01

    Despite accruing evidence for relative preservation of episodic memory in the semantic variant of primary progressive aphasia (previously semantic dementia), the neural basis for this remains unclear, particularly in light of their well-established hippocampal involvement. We recently investigated the Papez network of memory structures across pathological subtypes of behavioural variant frontotemporal dementia and demonstrated severe degeneration of all relay nodes, with the anterior thalamus in particular emerging as crucial for intact episodic memory. The present study investigated the status of key components of Papez circuit (hippocampus, mammillary bodies, anterior thalamus, cingulate cortex) and anterior temporal cortex using volumetric and quantitative cell counting methods in pathologically-confirmed cases with semantic variant of primary progressive aphasia (n = 8; 61-83 years; three males), behavioural variant frontotemporal dementia with TDP pathology (n = 9; 53-82 years; six males) and healthy controls (n = 8, 50-86 years; four males). Behavioural variant frontotemporal dementia cases with TDP pathology were selected because of the association between the semantic variant of primary progressive aphasia and TDP pathology. Our findings revealed that the semantic variant of primary progressive aphasia and behavioural variant frontotemporal dementia show similar degrees of anterior thalamic atrophy. The mammillary bodies and hippocampal body and tail were preserved in the semantic variant of primary progressive aphasia but were significantly atrophic in behavioural variant frontotemporal dementia. Importantly, atrophy in the anterior thalamus and mild progressive atrophy in the body of the hippocampus emerged as the main memory circuit regions correlated with increasing dementia severity in the semantic variant of primary progressive aphasia. Quantitation of neuronal populations in the cingulate cortices confirmed the selective loss of anterior cingulate

  17. Plasma physics modeling and the Cray-2 multiprocessor

    International Nuclear Information System (INIS)

    Killeen, J.

    1985-01-01

    The importance of computer modeling in the magnetic fusion energy research program is discussed. The need for the most advanced supercomputers is described. To meet the demand for more powerful scientific computers to solve larger and more complicated problems, the computer industry is developing multiprocessors. The role of the Cray-2 in plasma physics modeling is discussed with some examples. 28 refs., 2 figs., 1 tab

  18. Operating experience with a VMEbus multiprocessor system for data acquisition and reduction in nuclear physics

    International Nuclear Information System (INIS)

    Kutt, P.H.; Balamuth, D.P.

    1989-01-01

    A multiprocessor system based on commercially available VMEbus components has been developed for the acquisition and reduction of event-mode data in nuclear physics experiments. The system contains seven 68000 CPU's and 14 MB of memory. A minimal operating system handles data transfer and task allocation, and a compiler for a specially designed event analysis language produces code for the processors. The system has been in operation for four years at the University of Pennsylvania Tandem Accelerator Laboratory. Computation rates over 3 times that of a MicroVAX II have been achieved at a fraction of the cost. The use of WORM optical disks for event recording allows the processing for gigabyte data sets without operator intervention. A more powerful system is being planned which will make use of recently developed RISC processors to obtain an order of magnitude increase in computing power per node

  19. Semantic Memory in the Clinical Progression of Alzheimer Disease.

    Science.gov (United States)

    Tchakoute, Christophe T; Sainani, Kristin L; Henderson, Victor W

    2017-09-01

    Semantic memory measures may be useful in tracking and predicting progression of Alzheimer disease. We investigated relationships among semantic memory tasks and their 1-year predictive value in women with Alzheimer disease. We conducted secondary analyses of a randomized clinical trial of raloxifene in 42 women with late-onset mild-to-moderate Alzheimer disease. We assessed semantic memory with tests of oral confrontation naming, category fluency, semantic recognition and semantic naming, and semantic density in written narrative discourse. We measured global cognition (Alzheimer Disease Assessment Scale, cognitive subscale), dementia severity (Clinical Dementia Rating sum of boxes), and daily function (Activities of Daily Living Inventory) at baseline and 1 year. At baseline and 1 year, most semantic memory scores correlated highly or moderately with each other and with global cognition, dementia severity, and daily function. Semantic memory task performance at 1 year had worsened one-third to one-half standard deviation. Factor analysis of baseline test scores distinguished processes in semantic and lexical retrieval (semantic recognition, semantic naming, confrontation naming) from processes in lexical search (semantic density, category fluency). The semantic-lexical retrieval factor predicted global cognition at 1 year. Considered separately, baseline confrontation naming and category fluency predicted dementia severity, while semantic recognition and a composite of semantic recognition and semantic naming predicted global cognition. No individual semantic memory test predicted daily function. Semantic-lexical retrieval and lexical search may represent distinct aspects of semantic memory. Semantic memory processes are sensitive to cognitive decline and dementia severity in Alzheimer disease.

  20. Mapping of H.264 decoding on a multiprocessor architecture

    Science.gov (United States)

    van der Tol, Erik B.; Jaspers, Egbert G.; Gelderblom, Rob H.

    2003-05-01

    Due to the increasing significance of development costs in the competitive domain of high-volume consumer electronics, generic solutions are required to enable reuse of the design effort and to increase the potential market volume. As a result from this, Systems-on-Chip (SoCs) contain a growing amount of fully programmable media processing devices as opposed to application-specific systems, which offered the most attractive solutions due to a high performance density. The following motivates this trend. First, SoCs are increasingly dominated by their communication infrastructure and embedded memory, thereby making the cost of the functional units less significant. Moreover, the continuously growing design costs require generic solutions that can be applied over a broad product range. Hence, powerful programmable SoCs are becoming increasingly attractive. However, to enable power-efficient designs, that are also scalable over the advancing VLSI technology, parallelism should be fully exploited. Both task-level and instruction-level parallelism can be provided by means of e.g. a VLIW multiprocessor architecture. To provide the above-mentioned scalability, we propose to partition the data over the processors, instead of traditional functional partitioning. An advantage of this approach is the inherent locality of data, which is extremely important for communication-efficient software implementations. Consequently, a software implementation is discussed, enabling e.g. SD resolution H.264 decoding with a two-processor architecture, whereas High-Definition (HD) decoding can be achieved with an eight-processor system, executing the same software. Experimental results show that the data communication considerably reduces up to 65% directly improving the overall performance. Apart from considerable improvement in memory bandwidth, this novel concept of partitioning offers a natural approach for optimally balancing the load of all processors, thereby further improving the

  1. Multiprocessor development for robot control

    International Nuclear Information System (INIS)

    Lee, John Min; Kim, Seung Ho; Kim, Chang Hoi; Kim, Byung Soo; Hwang, Suk Yeong; Lee, Young Bum; Sohn, Suk Won; Kim, Woon Gi

    1990-01-01

    The project of this study is to develop a real time controller applying autonomous robotic systems operated in hostile environment. Developed control system is designed with a multiprocessor to get independency and reliability as well as to extend the system easily. The control system is designed in three distinct subsystems (supervisory control part, functional control part, and remote control part). To review the functional performance of developed controller, a prototype mobile robot, which was installed 4 DOF mainpulator, was designed and manufactured. Initial tests showed that the robot could turn with a radius of 38 cm and a maximum speed of 1.26 km/hr and go over obstacle of 18 cm in height. (author)

  2. A Heterogeneous Multiprocessor Graphics System Using Processor-Enhanced Memories

    Science.gov (United States)

    1989-02-01

    frames per second, font generation directly from conic spline descriptions, and rapid calculation of radiosity form factors. The hardware consists of...generality for rendering curved surfaces, volume data, objects dcscri id with Constructive Solid Geometry, for rendering scenes using the radiosity ...f.aces and for computing a spherical radiosity lighting model (see Section 7.6). Custom Memory Chips \\ 208 bits x 128 pixels - Renderer Board ix p o a

  3. Abstractions for aperiodic multiprocessor scheduling of real-time stream processing applications

    NARCIS (Netherlands)

    Hausmans, J.P.H.M.

    2015-01-01

    Embedded multiprocessor systems are often used in the domain of real-time stream processing applications to keep up with increasing power and performance requirements. Examples of such real-time stream processing applications are digital radio baseband processing and WLAN transceivers. These stream

  4. Multiprocessor Real-Time Scheduling with Hierarchical Processor Affinities

    OpenAIRE

    Bonifaci , Vincenzo; Brandenburg , Björn; D'Angelo , Gianlorenzo; Marchetti-Spaccamela , Alberto

    2016-01-01

    International audience; Many multiprocessor real-time operating systems offer the possibility to restrict the migrations of any task to a specified subset of processors by setting affinity masks. A notion of " strong arbitrary processor affinity scheduling " (strong APA scheduling) has been proposed; this notion avoids schedulability losses due to overly simple implementations of processor affinities. Due to potential overheads, strong APA has not been implemented so far in a real-time operat...

  5. A class Hierarchical, object-oriented approach to virtual memory management

    Science.gov (United States)

    Russo, Vincent F.; Campbell, Roy H.; Johnston, Gary M.

    1989-01-01

    The Choices family of operating systems exploits class hierarchies and object-oriented programming to facilitate the construction of customized operating systems for shared memory and networked multiprocessors. The software is being used in the Tapestry laboratory to study the performance of algorithms, mechanisms, and policies for parallel systems. Described here are the architectural design and class hierarchy of the Choices virtual memory management system. The software and hardware mechanisms and policies of a virtual memory system implement a memory hierarchy that exploits the trade-off between response times and storage capacities. In Choices, the notion of a memory hierarchy is captured by abstract classes. Concrete subclasses of those abstractions implement a virtual address space, segmentation, paging, physical memory management, secondary storage, and remote (that is, networked) storage. Captured in the notion of a memory hierarchy are classes that represent memory objects. These classes provide a storage mechanism that contains encapsulated data and have methods to read or write the memory object. Each of these classes provides specializations to represent the memory hierarchy.

  6. A Performance-Prediction Model for PIC Applications on Clusters of Symmetric MultiProcessors: Validation with Hierarchical HPF+OpenMP Implementation

    Directory of Open Access Journals (Sweden)

    Sergio Briguglio

    2003-01-01

    Full Text Available A performance-prediction model is presented, which describes different hierarchical workload decomposition strategies for particle in cell (PIC codes on Clusters of Symmetric MultiProcessors. The devised workload decomposition is hierarchically structured: a higher-level decomposition among the computational nodes, and a lower-level one among the processors of each computational node. Several decomposition strategies are evaluated by means of the prediction model, with respect to the memory occupancy, the parallelization efficiency and the required programming effort. Such strategies have been implemented by integrating the high-level languages High Performance Fortran (at the inter-node stage and OpenMP (at the intra-node one. The details of these implementations are presented, and the experimental values of parallelization efficiency are compared with the predicted results.

  7. Thermal-Aware Scheduling for Future Chip Multiprocessors

    Directory of Open Access Journals (Sweden)

    Pedro Trancoso

    2007-04-01

    Full Text Available The increased complexity and operating frequency in current single chip microprocessors is resulting in a decrease in the performance improvements. Consequently, major manufacturers offer chip multiprocessor (CMP architectures in order to keep up with the expected performance gains. This architecture is successfully being introduced in many markets including that of the embedded systems. Nevertheless, the integration of several cores onto the same chip may lead to increased heat dissipation and consequently additional costs for cooling, higher power consumption, decrease of the reliability, and thermal-induced performance loss, among others. In this paper, we analyze the evolution of the thermal issues for the future chip multiprocessor architectures and show that as the number of on-chip cores increases, the thermal-induced problems will worsen. In addition, we present several scenarios that result in excessive thermal stress to the CMP chip or significant performance loss. In order to minimize or even eliminate these problems, we propose thermal-aware scheduler (TAS algorithms. When assigning processes to cores, TAS takes their temperature and cooling ability into account in order to avoid thermal stress and at the same time improve the performance. Experimental results have shown that a TAS algorithm that considers also the temperatures of neighboring cores is able to significantly reduce the temperature-induced performance loss while at the same time, decrease the chip's temperature across many different operation and configuration scenarios.

  8. Multiprocessor Real-Time Locking Protocols for Replicated Resources

    Science.gov (United States)

    2016-07-01

    assignment problem, the ac- tual identities of the allocated replicas must be known. When locking protocols are used, tasks may experience delays due to both...Multiprocessor Real-Time Locking Protocols for Replicated Resources ∗ Catherine E. Jarrett1, Kecheng Yang1, Ming Yang1, Pontus Ekberg2, and James H...replicas to execute. In prior work on replicated resources, k-exclusion locks have been used, but this restricts tasks to lock only one replica at a time. To

  9. Multi-processor system-level synthesis for multiple applications on platform FPGA

    NARCIS (Netherlands)

    Kumar, A.; Fernando, S.D.; Ha, Y.; Mesman, B.; Corporaal, H.; Bertels, Koen

    2007-01-01

    Multiprocessor systems-on-chip (MPSoC) are being developed in increasing numbers to support the high number of applications running on modern embedded systems. Designing and programming such systems prove to be a major challenge. Most of the current design methodologies rely on creating the design

  10. Directions for memory hierarchies and their components: research and development

    International Nuclear Information System (INIS)

    Smith, A.J.

    1978-10-01

    The memory hierarchy is usually the largest identifiable part of a computer system and making effective use of it is critical to the operation and use of the system. The levels of such a memory hierarchy are considered and the state of the art and likely directions for both research and development are described. Algorithmic and logical features of the hierarchy not directly associated with specific components are also discussed. Among the problems believed to be the most significant are the following: (a) evaluate the effectiveness of gap filler technology as a level of storage between main memory and disk, and if it proves to be effective, determine how/where it should be used, (b) develop algorithms for the use of mass storage in a large computer system, and (c) determine how cache memories should be implemented in very large, fast multiprocessor systems

  11. Multiprocessor performance modeling with ADAS

    Science.gov (United States)

    Hayes, Paul J.; Andrews, Asa M.

    1989-01-01

    A graph managing strategy referred to as the Algorithm to Architecture Mapping Model (ATAMM) appears useful for the time-optimized execution of application algorithm graphs in embedded multiprocessors and for the performance prediction of graph designs. This paper reports the modeling of ATAMM in the Architecture Design and Assessment System (ADAS) to make an independent verification of ATAMM's performance prediction capability and to provide a user framework for the evaluation of arbitrary algorithm graphs. Following an overview of ATAMM and its major functional rules are descriptions of the ADAS model of ATAMM, methods to enter an arbitrary graph into the model, and techniques to analyze the simulation results. The performance of a 7-node graph example is evaluated using the ADAS model and verifies the ATAMM concept by substantiating previously published performance results.

  12. [Progress on metaplasticity and its role in learning and memory].

    Science.gov (United States)

    Wang, Shao-Li; Lu, Wei

    2016-08-25

    Long-term potentiation (LTP) and long-term depression (LTD) are two major forms of synaptic plasticity that are widely considered as important cellular models of learning and memory. Metaplasticity is defined as the plasticity of synaptic plasticity and thus is an advanced form of plasticity. The history of synaptic activity can affect the subsequent synaptic plasticity induction. Therefore, it is important to study metaplasticity to explore new mechanisms underlying various brain functions including learning and memory. Since the concept of metaplasticity was proposed, it has aroused widespread concerns and attracted numerous researchers to dig more details on this topic. These new-found experimental phenomena and cellular mechanisms have established the basis of theoretical studies on metaplasticity. In recent years, researchers have found that metaplasticity can not only affect the synaptic plasticity, but also regulate the neural network to encode specific content and enhance the learning and memory. These findings have greatly enriched our knowledge on plasticity and opened a new route to study the mechanism of learning and memory. In this review, we discuss the recent progress on metaplasticity on following three aspects: (1) the molecular mechanisms of metaplasticity; (2) the role of metaplasticity in learning and memory; and (3) the outlook of future study on metaplasticity.

  13. Design of massively parallel hardware multi-processors for highly-demanding embedded applications

    NARCIS (Netherlands)

    Jozwiak, L.; Jan, Y.

    2013-01-01

    Many new embedded applications require complex computations to be performed to tight schedules, while at the same time demanding low energy consumption and low cost. For implementation of these highly-demanding applications, highly-optimized application-specific multi-processor system-on-a-chip

  14. VME multiprocessor system for plasma control at the JT-60 Upgrade

    International Nuclear Information System (INIS)

    Kimura, T.; Kurihara, K.; Takahashi, M.; Kawamata, Y.; Akasaka, H.; Matsukawa, M.

    1989-01-01

    In this paper design and preliminary tests are reported of a VME multiprocessor system for the JT-60 Upgrade plasma control utilizing three MC88100 based RISC computers and VME buses. The design of the VME system was stimulated by faster and more accurate computation requirements for the plasma position and shape control

  15. Distributed power management of real-time applications on a GALS multiprocessor SOC

    NARCIS (Netherlands)

    Nelson, Andrew; Goossens, Kees

    2015-01-01

    It is generally desirable to reduce the power consumption of embedded systems. Dynamic Voltage and Frequency Scaling (DVFS) is a commonly applied technique to achieve power reduction at the cost of computational performance. Multiprocessor System on Chips (MPSoCs) can have multiple voltage and

  16. Energy-Aware Real-Time Task Scheduling for Heterogeneous Multiprocessors with Particle Swarm Optimization Algorithm

    Directory of Open Access Journals (Sweden)

    Weizhe Zhang

    2014-01-01

    Full Text Available Energy consumption in computer systems has become a more and more important issue. High energy consumption has already damaged the environment to some extent, especially in heterogeneous multiprocessors. In this paper, we first formulate and describe the energy-aware real-time task scheduling problem in heterogeneous multiprocessors. Then we propose a particle swarm optimization (PSO based algorithm, which can successfully reduce the energy cost and the time for searching feasible solutions. Experimental results show that the PSO-based energy-aware metaheuristic uses 40%–50% less energy than the GA-based and SFLA-based algorithms and spends 10% less time than the SFLA-based algorithm in finding the solutions. Besides, it can also find 19% more feasible solutions than the SFLA-based algorithm.

  17. DAEDALUS: System-Level Design Methodology for Streaming Multiprocessor Embedded Systems on Chips

    NARCIS (Netherlands)

    Stefanov, T.; Pimentel, A.; Nikolov, H.; Ha, S.; Teich, J.

    2017-01-01

    The complexity of modern embedded systems, which are increasingly based on heterogeneous multiprocessor system-on-chip (MPSoC) architectures, has led to the emergence of system-level design. To cope with this design complexity, system-level design aims at raising the abstraction level of the design

  18. Design considerations for a multiprocessor based data acquisition system

    International Nuclear Information System (INIS)

    Tippie, J.W.; Kulaga, J.E.

    1979-01-01

    The rapid advance of digital technology has provided the systems designer with many new design options. Hardware is no longer the controlling expense. Complex operating systems provide the flexibility and development tools needed by software designers, but restrict throughput. Multiprocessor-based systems can be used to ''front-end'' high-throughput applications while maintaining the many advantages offered by multitasking operating systems. The design of a high-throughput data acquisition system for application in low energy nuclear physics is considered

  19. A simple multiprocessor management system for event-parallel computing

    International Nuclear Information System (INIS)

    Bracker, S.; Gounder, K.; Hendrix, K.; Summers, D.

    1996-01-01

    Offline software using Transmission Control Protocol/Internet Protocol (TCP/IP) sockets to distribute particle physics events to multiple UNIX/RISC workstations is described. A modular, building block approach was taken that allowed tailoring to solve specific tasks efficiently and simply as they arose. The modest, initial cost was having to learn about sockets for interprocess communication. This multiprocessor management software has been used to control the reconstruction of eight billion raw data events from Fermilab Experiment E791

  20. Parallel implementation and evaluation of motion estimation system algorithms on a distributed memory multiprocessor using knowledge based mappings

    Science.gov (United States)

    Choudhary, Alok Nidhi; Leung, Mun K.; Huang, Thomas S.; Patel, Janak H.

    1989-01-01

    Several techniques to perform static and dynamic load balancing techniques for vision systems are presented. These techniques are novel in the sense that they capture the computational requirements of a task by examining the data when it is produced. Furthermore, they can be applied to many vision systems because many algorithms in different systems are either the same, or have similar computational characteristics. These techniques are evaluated by applying them on a parallel implementation of the algorithms in a motion estimation system on a hypercube multiprocessor system. The motion estimation system consists of the following steps: (1) extraction of features; (2) stereo match of images in one time instant; (3) time match of images from different time instants; (4) stereo match to compute final unambiguous points; and (5) computation of motion parameters. It is shown that the performance gains when these data decomposition and load balancing techniques are used are significant and the overhead of using these techniques is minimal.

  1. An FPGA design flow for reconfigurable network-based multi-processor systems on chip

    NARCIS (Netherlands)

    Kumar, A.; Hansson, M.A; Huisken, J.; Corporaal, H.

    2007-01-01

    Multi-processor systems on chip (MPSoC) platforms are becoming increasingly more heterogeneous and are shifting towards a more communication-centric methodology. Networks on chip (NoC) have emerged as the design paradigm for scalable on-chip communication architectures. As the system complexity

  2. Method for wiring allocation and switch configuration in a multiprocessor environment

    Science.gov (United States)

    Aridor, Yariv [Zichron Ya'akov, IL; Domany, Tamar [Kiryat Tivon, IL; Frachtenberg, Eitan [Jerusalem, IL; Gal, Yoav [Haifa, IL; Shmueli, Edi [Haifa, IL; Stockmeyer, legal representative, Robert E.; Stockmeyer, Larry Joseph [San Jose, CA

    2008-07-15

    A method for wiring allocation and switch configuration in a multiprocessor computer, the method including employing depth-first tree traversal to determine a plurality of paths among a plurality of processing elements allocated to a job along a plurality of switches and wires in a plurality of D-lines, and selecting one of the paths in accordance with at least one selection criterion.

  3. A high speed multi-tasking, multi-processor telemetry system

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Kung Chris [Univ. of Texas, El Paso, TX (United States)

    1996-12-31

    This paper describes a small size, light weight, multitasking, multiprocessor telemetry system capable of collecting 32 channels of differential signals at a sampling rate of 6.25 kHz per channel. The system is designed to collect data from remote wind turbine research sites and transfer the data via wireless communication. A description of operational theory, hardware components, and itemized cost is provided. Synchronization with other data acquisition systems and test data on data transmission rates is also given. 11 refs., 7 figs., 4 tabs.

  4. Some algorithms for the solution of the symmetric eigenvalue problem on a multiprocessor electronic computer

    International Nuclear Information System (INIS)

    Molchanov, I.N.; Khimich, A.N.

    1984-01-01

    This article shows how a reflection method can be used to find the eigenvalues of a matrix by transforming the matrix to tridiagonal form. The method of conjugate gradients is used to find the smallest eigenvalue and the corresponding eigenvector of symmetric positive-definite band matrices. Topics considered include the computational scheme of the reflection method, the organization of parallel calculations by the reflection method, the computational scheme of the conjugate gradient method, the organization of parallel calculations by the conjugate gradient method, and the effectiveness of parallel algorithms. It is concluded that it is possible to increase the overall effectiveness of the multiprocessor electronic computers by either letting the newly available processors of a new problem operate in the multiprocessor mode, or by improving the coefficient of uniform partition of the original information

  5. 2: Local area networks as a multiprocessor treatment planning system

    International Nuclear Information System (INIS)

    Neblett, D.L.; Hogan, S.E.

    1987-01-01

    The creation of a local area network (LAN) of interconnected computers provides an environment of multi computer processors that adds a new dimension to treatment planning. A LAN system provides the opportunity to have two or more computers working on the plan in parallel. With high speed interprocessor transfer, events such as the time consuming task of correcting several individual beams for contours and inhomogeneities can be performed simultaneously; thus, effectively creating a parallel multiprocessor treatment planning system

  6. Progress on the data acquisition system at NSCL

    International Nuclear Information System (INIS)

    Fox, R.; Au, R.; Glynn, T.; Pollack, B.; Vander Mulen, A.

    1985-01-01

    We report on the progress made in data acquisition software development. Specifically, a unique generalized data routing scheme has been developed which allows user online analysis programs to be safely integrated into the acquisition system without endangering data sent to event recording devices. We describe the structure of the system. Performance is discussed and throughput calculated from it. User experience with the system is also discussed. We show how this routing system may easily be adapted to loosely coupled multiprocessor systems

  7. Multi-processor developments in the United States for future high energy physics experiments and accelerators

    International Nuclear Information System (INIS)

    Gaines, I.

    1988-03-01

    The use of multi-processors for analysis and high-level triggering in High Energy Physics experiments, pioneered by the early emulator systems, has reached maturity, in particular with the multiple microprocessor systems in use at Fermilab. It is widely acknowledged that such systems will fulfill the major portion of the computing needs of future large experiments. Recent developments at Fermilab's Advanced Computer Program will make such systems even more powerful, cost-effective, and easier to use than they are at present. The next generation of microprocessors, already available, will provide CPU power of about one VAX 780 equivalent/$300, while supporting most VMS FORTRAN extensions and large (>8MB) amounts of memory. Low cost high density mass storage devices (based on video tape cartridge technology) will allow parallel I/O to remove potential I/O bottlenecks in systems of over 1000 VAX equipment processors. New interconnection schemes and system software will allow more flexible topologies and extremely high data bandwidth, especially for on-line systems. This talk will summarize the work at the Advanced Computer Program and the rest of the US in this field. 3 refs., 4 figs

  8. Risk of progression from mild memory impairment to clinically diagnosable Alzheimer's disease in a Japanese community (from the Nakayama Study).

    Science.gov (United States)

    Sonobe, Naomi; Hata, Ryuji; Ishikawa, Tomohisa; Sonobe, Kantaro; Matsumoto, Teruhisa; Toyota, Yasutaka; Mori, Takaaki; Fukuhara, Ryuji; Komori, Kenjiro; Ueno, Shu-Ichi; Tanimukai, Satoshi; Ikeda, Manabu

    2011-06-01

    Memory impairment has been proposed as the most common early sign of Alzheimer's disease (AD). The aims of this work were to evaluate the risk of progression from mild memory impairment/no dementia (MMI/ND) to clinically diagnosable AD in a community-based prospective cohort and to establish the risk factors for progression from MMI/ND to AD in the elderly. Elderly subjects aged over 65 years were selected from the participants in the first Nakayama study. MMI/ND was defined as memory deficit on objective memory assessment, without dementia, impairment of general cognitive function, or disability in activities of daily living. A total of 104 MMI/ND subjects selected from 1242 community-dwellers were followed longitudinally for five years. During the five-year follow-up, 11 (10.6%) subjects were diagnosed with AD, five (4.8%) with vascular dementia (VaD), and six (5.8%) with dementia of other etiology. Logistic regression analysis revealed that diabetes mellitus (DM) and a family history of dementia (within third-degree relatives) were positively associated with progression to AD, while no factor was significantly associated with progression to VaD or all types of dementia. DM and a family history of dementia were significant risk factors for progression from MMI/ND to clinically diagnosable AD in the elderly in a Japanese community.

  9. Temporal analysis and scheduling of hard real-time radios running on a multi-processor

    NARCIS (Netherlands)

    Moreira, O.

    2012-01-01

    On a multi-radio baseband system, multiple independent transceivers must share the resources of a multi-processor, while meeting each its own hard real-time requirements. Not all possible combinations of transceivers are known at compile time, so a solution must be found that either allows for

  10. Software for the ACP [Advanced Computer Program] multiprocessor system

    International Nuclear Information System (INIS)

    Biel, J.; Areti, H.; Atac, R.

    1987-01-01

    Software has been developed for use with the Fermilab Advanced Computer Program (ACP) multiprocessor system. The software was designed to make a system of a hundred independent node processors as easy to use as a single, powerful CPU. Subroutines have been developed by which a user's host program can send data to and get results from the program running in each of his ACP node processors. Utility programs make it easy to compile and link host and node programs, to debug a node program on an ACP development system, and to submit a debugged program to an ACP production system

  11. A measurement-based performability model for a multiprocessor system

    Science.gov (United States)

    Ilsueh, M. C.; Iyer, Ravi K.; Trivedi, K. S.

    1987-01-01

    A measurement-based performability model based on real error-data collected on a multiprocessor system is described. Model development from the raw errror-data to the estimation of cumulative reward is described. Both normal and failure behavior of the system are characterized. The measured data show that the holding times in key operational and failure states are not simple exponential and that semi-Markov process is necessary to model the system behavior. A reward function, based on the service rate and the error rate in each state, is then defined in order to estimate the performability of the system and to depict the cost of different failure types and recovery procedures.

  12. Geometric Algorithms for Private-Cache Chip Multiprocessors

    DEFF Research Database (Denmark)

    Ajwani, Deepak; Sitchinava, Nodari; Zeh, Norbert

    2010-01-01

    -D convex hulls. These results are obtained by analyzing adaptations of either the PEM merge sort algorithm or PRAM algorithms. For the second group of problems—orthogonal line segment intersection reporting, batched range reporting, and related problems—more effort is required. What distinguishes......We study techniques for obtaining efficient algorithms for geometric problems on private-cache chip multiprocessors. We show how to obtain optimal algorithms for interval stabbing counting, 1-D range counting, weighted 2-D dominance counting, and for computing 3-D maxima, 2-D lower envelopes, and 2...... these problems from the ones in the previous group is the variable output size, which requires I/O-efficient load balancing strategies based on the contribution of the individual input elements to the output size. To obtain nearly optimal algorithms for these problems, we introduce a parallel distribution...

  13. On the Scalability of Time-predictable Chip-Multiprocessing

    DEFF Research Database (Denmark)

    Puffitsch, Wolfgang; Schoeberl, Martin

    2012-01-01

    Real-time systems need a time-predictable execution platform to be able to determine the worst-case execution time statically. In order to be time-predictable, several advanced processor features, such as out-of-order execution and other forms of speculation, have to be avoided. However, just using...... simple processors is not an option for embedded systems with high demands on computing power. In order to provide high performance and predictability we argue to use multiprocessor systems with a time-predictable memory interface. In this paper we present the scalability of a Java chip......-multiprocessor system that is designed to be time-predictable. Adding time-predictable caches is mandatory to achieve scalability with a shared memory multi-processor system. As Java bytecode retains information about the nature of memory accesses, it is possible to implement a memory hierarchy that takes...

  14. Progressive paradoxical sleep deprivation impairs partial memory following learning tasks in rats

    Institute of Scientific and Technical Information of China (English)

    Chunmin Zhu; Xiangrong Yao; Weisheng Zhang; Yanfeng Song; Yiping Hou

    2008-01-01

    BACKGROUND: Complex learning tasks result in a greater number of paradoxical sleep phases, which can improve memory. The effect of paradoxical sleep deprivation, induced by "flower pot" technique, on spatial reference memory and working memory require further research. OBJECTIVE: To observe the effect of progressive paradoxical sleep deprivation in rats, subsequent to learning, on memory using the Morris Water Maze. DESIGN, TIME AND SETTING: Controlled observation experiment. The experiment was performed at the Laboratory of Neurobiology, Department of Anatomy, Histology and Embryology, School of Basic Medical Sciences, Lanzhou University from December 2006 to October 2007. MATERIALS: Twenty-eight, male, Wistar rats, 3-4 months old, were provided by the Experimental Animal Center of Lanzhou University. The Morris Water Maze and behavioral analyses system was purchased from Genheart Company, Beijing, China. METHODS: All animals, according to a random digits table, were randomly divided into paradoxical sleep deprivation, tank control, and home cage control groups. Paradoxical sleep deprivation was induced by the "flower pot" technique for 72 hours, housing the rats on small platforms over water. Rats in the "tank control" and "home cage control" groups were housed either in a tank with large platforms over the water or in normal cages without paradoxical sleep deprivation. MAIN OUTCOME MEASURES: Morris Water Maze was employed for task learning and spatial memory testing. Rats in all groups were placed at six random starting points each day for four consecutive days. Each placement was repeated for two trials; the first trial represented reference memory and the second working memory. Rats in the first trial were allowed to locate the submerged platform within 120 seconds. Data, including swimming distance, escape latency, swimming velocity, percentage of time in correct quarter, and memory scores were recorded and analyzed automatically by behavioral analyses

  15. Adaptive Mesh Refinement in CTH

    International Nuclear Information System (INIS)

    Crawford, David

    1999-01-01

    This paper reports progress on implementing a new capability of adaptive mesh refinement into the Eulerian multimaterial shock- physics code CTH. The adaptivity is block-based with refinement and unrefinement occurring in an isotropic 2:1 manner. The code is designed to run on serial, multiprocessor and massive parallel platforms. An approximate factor of three in memory and performance improvements over comparable resolution non-adaptive calculations has-been demonstrated for a number of problems

  16. Resource Allocation Model for Modelling Abstract RTOS on Multiprocessor System-on-Chip

    DEFF Research Database (Denmark)

    Virk, Kashif Munir; Madsen, Jan

    2003-01-01

    Resource Allocation is an important problem in RTOS's, and has been an active area of research. Numerous approaches have been developed and many different techniques have been combined for a wide range of applications. In this paper, we address the problem of resource allocation in the context...... of modelling an abstract RTOS on multiprocessor SoC platforms. We discuss the implementation details of a simplified basic priority inheritance protocol for our abstract system model in SystemC....

  17. The demand to progress: critical nostalgia in LGBTQ cultural memory.

    Science.gov (United States)

    de Szegheo Lang, Tamara

    2015-01-01

    This article argues that, while representations of tragic lesbian, gay, bisexual, transgender, and queer (LGBTQ) histories are disseminated widely, positive aspects of the past must be largely pushed out of the cultural imaginary to support a vision of the present in which sexual rights and freedoms have been achieved. It proposes that this view relies on a linear progress narrative wherein the experiences of LGBTQ people are held as consistently improving over time. In considering the construction of cultural memory through popular media and art, it claims a nostalgic turn to the past as a useful political tool for dismantling the pacifying aspects of the present.

  18. New Rule Use Drives the Relation between Working Memory Capacity and Raven's Advanced Progressive Matrices

    Science.gov (United States)

    Wiley, Jennifer; Jarosz, Andrew F.; Cushen, Patrick J.; Colflesh, Gregory J. H.

    2011-01-01

    The correlation between individual differences in working memory capacity and performance on the Raven's Advanced Progressive Matrices (RAPM) is well documented yet poorly understood. The present work proposes a new explanation: that the need to use a new combination of rules on RAPM problems drives the relation between performance and working…

  19. Standard interfaces for program-modular multiprocessor systems

    International Nuclear Information System (INIS)

    Chernykh, E.V.

    1982-01-01

    The peculiarities of the structures of existing and developed standard interfaces used in automation systems for nuclear physical experiments are considered. general structural characteristics of multiprocessor system interfaces are revealed. The comparison of the existing system CAMAC crate and designed standards of COMPEX, E3S and FASTBUS interfaces by capacity and relative cost is carried out. The analysis of the given data shows that operation of any interface is more advantageous at the rates close to capacity values, the relative cost being minimum. In this case the advantage is on the side of interfaces with greater capacity values for which at a moderated decrease of the exchange or requests processing rate the relative costs grow slower. A higher capacity of one-cycle exchange is provided with functional data way specialization in the interface. The conclusion is drawn that most perspective trend in the development of automation systems for high energy physics experiments is using FASTBUS standard

  20. Multi-processor network implementations in Multibus II and VME

    International Nuclear Information System (INIS)

    Briegel, C.

    1992-01-01

    ACNET (Fermilab Accelerator Controls Network), a proprietary network protocol, is implemented in a multi-processor configuration for both Multibus II and VME. The implementations are contrasted by the bus protocol and software design goals. The Multibus II implementation provides for multiple processors running a duplicate set of tasks on each processor. For a network connected task, messages are distributed by a network round-robin scheduler. Further, messages can be stopped, continued, or re-routed for each task by user-callable commands. The VME implementation provides for multiple processors running one task across all processors. The process can either be fixed to a particular processor or dynamically allocated to an available processor depending on the scheduling algorithm of the multi-processing operating system. (author)

  1. Recommending the heterogeneous cluster type multi-processor system computing

    International Nuclear Information System (INIS)

    Iijima, Nobukazu

    2010-01-01

    Real-time reactor simulator had been developed by reusing the equipment of the Musashi reactor and its performance improvement became indispensable for research tools to increase sampling rate with introduction of arithmetic units using multi-Digital Signal Processor(DSP) system (cluster). In order to realize the heterogeneous cluster type multi-processor system computing, combination of two kinds of Control Processor (CP) s, Cluster Control Processor (CCP) and System Control Processor (SCP), were proposed with Large System Control Processor (LSCP) for hierarchical cluster if needed. Faster computing performance of this system was well evaluated by simulation results for simultaneous execution of plural jobs and also pipeline processing between clusters, which showed the system led to effective use of existing system and enhancement of the cost performance. (T. Tanaka)

  2. The FORCE - A highly portable parallel programming language

    Science.gov (United States)

    Jordan, Harry F.; Benten, Muhammad S.; Alaghband, Gita; Jakob, Ruediger

    1989-01-01

    This paper explains why the FORCE parallel programming language is easily portable among six different shared-memory multiprocessors, and how a two-level macro preprocessor makes it possible to hide low-level machine dependencies and to build machine-independent high-level constructs on top of them. These FORCE constructs make it possible to write portable parallel programs largely independent of the number of processes and the specific shared-memory multiprocessor executing them.

  3. Method for prefetching non-contiguous data structures

    Science.gov (United States)

    Blumrich, Matthias A [Ridgefield, CT; Chen, Dong [Croton On Hudson, NY; Coteus, Paul W [Yorktown Heights, NY; Gara, Alan G [Mount Kisco, NY; Giampapa, Mark E [Irvington, NY; Heidelberger, Philip [Cortlandt Manor, NY; Hoenicke, Dirk [Ossining, NY; Ohmacht, Martin [Brewster, NY; Steinmacher-Burow, Burkhard D [Mount Kisco, NY; Takken, Todd E [Mount Kisco, NY; Vranas, Pavlos M [Bedford Hills, NY

    2009-05-05

    A low latency memory system access is provided in association with a weakly-ordered multiprocessor system. Each processor in the multiprocessor shares resources, and each shared resource has an associated lock within a locking device that provides support for synchronization between the multiple processors in the multiprocessor and the orderly sharing of the resources. A processor only has permission to access a resource when it owns the lock associated with that resource, and an attempt by a processor to own a lock requires only a single load operation, rather than a traditional atomic load followed by store, such that the processor only performs a read operation and the hardware locking device performs a subsequent write operation rather than the processor. A simple perfecting for non-contiguous data structures is also disclosed. A memory line is redefined so that in addition to the normal physical memory data, every line includes a pointer that is large enough to point to any other line in the memory, wherein the pointers to determine which memory line to prefect rather than some other predictive algorithm. This enables hardware to effectively prefect memory access patterns that are non-contiguous, but repetitive.

  4. Analysis and Optimisation of Hierarchically Scheduled Multiprocessor Embedded Systems

    DEFF Research Database (Denmark)

    Pop, Traian; Pop, Paul; Eles, Petru

    2008-01-01

    We present an approach to the analysis and optimisation of heterogeneous multiprocessor embedded systems. The systems are heterogeneous not only in terms of hardware components, but also in terms of communication protocols and scheduling policies. When several scheduling policies share a resource......, they are organised in a hierarchy. In this paper, we first develop a holistic scheduling and schedulability analysis that determines the timing properties of a hierarchically scheduled system. Second, we address design problems that are characteristic to such hierarchically scheduled systems: assignment...... of scheduling policies to tasks, mapping of tasks to hardware components, and the scheduling of the activities. We also present several algorithms for solving these problems. Our heuristics are able to find schedulable implementations under limited resources, achieving an efficient utilisation of the system...

  5. Prefetching in file systems for MIMD multiprocessors

    Science.gov (United States)

    Kotz, David F.; Ellis, Carla Schlatter

    1990-01-01

    The question of whether prefetching blocks on the file into the block cache can effectively reduce overall execution time of a parallel computation, even under favorable assumptions, is considered. Experiments have been conducted with an interleaved file system testbed on the Butterfly Plus multiprocessor. Results of these experiments suggest that (1) the hit ratio, the accepted measure in traditional caching studies, may not be an adequate measure of performance when the workload consists of parallel computations and parallel file access patterns, (2) caching with prefetching can significantly improve the hit ratio and the average time to perform an I/O (input/output) operation, and (3) an improvement in overall execution time has been observed in most cases. In spite of these gains, prefetching sometimes results in increased execution times (a negative result, given the optimistic nature of the study). The authors explore why it is not trivial to translate savings on individual I/O requests into consistently better overall performance and identify the key problems that need to be addressed in order to improve the potential of prefetching techniques in the environment.

  6. ARTiS, an Asymmetric Real-Time Scheduler for Linux on Multi-Processor Architectures

    OpenAIRE

    Piel , Éric; Marquet , Philippe; Soula , Julien; Osuna , Christophe; Dekeyser , Jean-Luc

    2005-01-01

    The ARTiS system is a real-time extension of the GNU/Linux scheduler dedicated to SMP (Symmetric Multi-Processors) systems. It allows to mix High Performance Computing and real-time. ARTiS exploits the SMP architecture to guarantee the preemption of a processor when the system has to schedule a real-time task. The implementation is available as a modification of the Linux kernel, especially focusing (but not restricted to) IA-64 architecture. The basic idea of ARTiS is to assign a selected se...

  7. Process Management and Exception Handling in Multiprocessor Operating Systems Using Object-Oriented Design Techniques. Revised Sep. 1988

    Science.gov (United States)

    Russo, Vincent; Johnston, Gary; Campbell, Roy

    1988-01-01

    The programming of the interrupt handling mechanisms, process switching primitives, scheduling mechanism, and synchronization primitives of an operating system for a multiprocessor require both efficient code in order to support the needs of high- performance or real-time applications and careful organization to facilitate maintenance. Although many advantages have been claimed for object-oriented class hierarchical languages and their corresponding design methodologies, the application of these techniques to the design of the primitives within an operating system has not been widely demonstrated. To investigate the role of class hierarchical design in systems programming, the authors have constructed the Choices multiprocessor operating system architecture the C++ programming language. During the implementation, it was found that many operating system design concerns can be represented advantageously using a class hierarchical approach, including: the separation of mechanism and policy; the organization of an operating system into layers, each of which represents an abstract machine; and the notions of process and exception management. In this paper, we discuss an implementation of the low-level primitives of this system and outline the strategy by which we developed our solution.

  8. Overview of the Force Scientific Parallel Language

    Directory of Open Access Journals (Sweden)

    Gita Alaghband

    1994-01-01

    Full Text Available The Force parallel programming language designed for large-scale shared-memory multiprocessors is presented. The language provides a number of parallel constructs as extensions to the ordinary Fortran language and is implemented as a two-level macro preprocessor to support portability across shared memory multiprocessors. The global parallelism model on which the Force is based provides a powerful parallel language. The parallel constructs, generic synchronization, and freedom from process management supported by the Force has resulted in structured parallel programs that are ported to the many multiprocessors on which the Force is implemented. Two new parallel constructs for looping and functional decomposition are discussed. Several programming examples to illustrate some parallel programming approaches using the Force are also presented.

  9. Commodity multi-processor systems in the ATLAS level-2 trigger

    International Nuclear Information System (INIS)

    Abolins, M.; Blair, R.; Bock, R.; Bogaerts, A.; Dawson, J.; Ermoline, Y.; Hauser, R.; Kugel, A.; Lay, R.; Muller, M.; Noffz, K.-H.; Pope, B.; Schlereth, J.; Werner, P.

    2000-01-01

    Low cost SMP (Symmetric Multi-Processor) systems provide substantial CPU and I/O capacity. These features together with the ease of system integration make them an attractive and cost effective solution for a number of real-time applications in event selection. In ATLAS the authors consider them as intelligent input buffers (active ROB complex), as event flow supervisors or as powerful processing nodes. Measurements of the performance of one off-the-shelf commercial 4-processor PC with two PCI buses, equipped with commercial FPGA based data source cards (microEnable) and running commercial software are presented and mapped on such applications together with a long-term program of work. The SMP systems may be considered as an important building block in future data acquisition systems

  10. Multi-processor data acquisition and monitoring systems for particle physics

    International Nuclear Information System (INIS)

    White, V.; Burch, B.; Eng, K.; Heinicke, P.; Pyatetsky, M.; Ritchie, D.

    1983-01-01

    A high speed distributed processing system, using PDP-11 and VAX processors, is being developed at Fermilab. The acquisition of data is done using one or more PDP-11s. Additional processors are connected to provide either data logging or extra data analysis capabilities. Within this framework, functional interchangeability of PDP-11 and VAX processors and of the PDP-11 operating systems, RT-11 and RSX-11M, has been maintained. Inter-processor connections have been implemented in a general way using the 5 megabit DR11-W hardware currently selected for the purpose. Using this approach the authors have been able to make use of several existing data acquisition and analysis packages, such as RT/MULTI, in a multi-processor system

  11. Commodity multi-processor systems in the ATLAS level-2 trigger

    CERN Document Server

    Abolins, M; Bock, R; Bogaerts, J A C; Dawson, J; Ermoline, Y; Hauser, R; Kugel, A; Lay, R; Müller, M; Noffz, K H; Pope, B; Schlereth, J L; Werner, P

    2000-01-01

    Low cost SMP (symmetric multi-processor) systems provide substantial CPU and I/O capacity. These features together with the ease of system integration make them an attractive and cost effective solution for a number of real-time applications in event selection. In ATLAS we consider them as intelligent input buffers (an "active" ROB complex), as event flow supervisors or as powerful processing nodes. Measurements of the performance of one off-the-shelf commercial 4- processor PC with two PCI buses, equipped with commercial FPGA based data source cards (microEnable) and running commercial software are presented and mapped on such applications together with a long-term programme of work. The SMP systems may be considered as an important building block in future data acquisition systems. (9 refs).

  12. An Adaptive Hybrid Multiprocessor technique for bioinformatics sequence alignment

    KAUST Repository

    Bonny, Talal

    2012-07-28

    Sequence alignment algorithms such as the Smith-Waterman algorithm are among the most important applications in the development of bioinformatics. Sequence alignment algorithms must process large amounts of data which may take a long time. Here, we introduce our Adaptive Hybrid Multiprocessor technique to accelerate the implementation of the Smith-Waterman algorithm. Our technique utilizes both the graphics processing unit (GPU) and the central processing unit (CPU). It adapts to the implementation according to the number of CPUs given as input by efficiently distributing the workload between the processing units. Using existing resources (GPU and CPU) in an efficient way is a novel approach. The peak performance achieved for the platforms GPU + CPU, GPU + 2CPUs, and GPU + 3CPUs is 10.4 GCUPS, 13.7 GCUPS, and 18.6 GCUPS, respectively (with the query length of 511 amino acid). © 2010 IEEE.

  13. Behavioral Simulation and Performance Evaluation of Multi-Processor Architectures

    Directory of Open Access Journals (Sweden)

    Ausif Mahmood

    1996-01-01

    Full Text Available The development of multi-processor architectures requires extensive behavioral simulations to verify the correctness of design and to evaluate its performance. A high level language can provide maximum flexibility in this respect if the constructs for handling concurrent processes and a time mapping mechanism are added. This paper describes a novel technique for emulating hardware processes involved in a parallel architecture such that an object-oriented description of the design is maintained. The communication and synchronization between hardware processes is handled by splitting the processes into their equivalent subprograms at the entry points. The proper scheduling of these subprograms is coordinated by a timing wheel which provides a time mapping mechanism. Finally, a high level language pre-processor is proposed so that the timing wheel and the process emulation details can be made transparent to the user.

  14. Design of Networks-on-Chip for Real-Time Multi-Processor Systems-on-Chip

    DEFF Research Database (Denmark)

    Sparsø, Jens

    2012-01-01

    This paper addresses the design of networks-on-chips for use in multi-processor systems-on-chips - the hardware platforms used in embedded systems. These platforms typically have to guarantee real-time properties, and as the network is a shared resource, it has to provide service guarantees...... (bandwidth and/or latency) to different communication flows. The paper reviews some past work in this field and the lessons learned, and the paper discusses ongoing research conducted as part of the project "Time-predictable Multi-Core Architecture for Embedded Systems" (T-CREST), supported by the European...

  15. FTMP (Fault Tolerant Multiprocessor) programmer's manual

    Science.gov (United States)

    Feather, F. E.; Liceaga, C. A.; Padilla, P. A.

    1986-01-01

    The Fault Tolerant Multiprocessor (FTMP) computer system was constructed using the Rockwell/Collins CAPS-6 processor. It is installed in the Avionics Integration Research Laboratory (AIRLAB) of NASA Langley Research Center. It is hosted by AIRLAB's System 10, a VAX 11/750, for the loading of programs and experimentation. The FTMP support software includes a cross compiler for a high level language called Automated Engineering Design (AED) System, an assembler for the CAPS-6 processor assembly language, and a linker. Access to this support software is through an automated remote access facility on the VAX which relieves the user of the burden of learning how to use the IBM 4381. This manual is a compilation of information about the FTMP support environment. It explains the FTMP software and support environment along many of the finer points of running programs on FTMP. This will be helpful to the researcher trying to run an experiment on FTMP and even to the person probing FTMP with fault injections. Much of the information in this manual can be found in other sources; we are only attempting to bring together the basic points in a single source. If the reader should need points clarified, there is a list of support documentation in the back of this manual.

  16. Effect of an Enhanced Nose-to-Brain Delivery of Insulin on Mild and Progressive Memory Loss in the Senescence-Accelerated Mouse.

    Science.gov (United States)

    Kamei, Noriyasu; Tanaka, Misa; Choi, Hayoung; Okada, Nobuyuki; Ikeda, Takamasa; Itokazu, Rei; Takeda-Morishita, Mariko

    2017-03-06

    Insulin is now considered to be a new drug candidate for treating dementias, such as Alzheimer's disease, whose pathologies are linked to insulin resistance in the brain. Our recent work has clarified that a noncovalent strategy involving cell-penetrating peptides (CPPs) can increase the direct transport of insulin from the nasal cavity into the brain parenchyma. The present study aimed to determine whether the brain insulin level increased by intranasal coadministration of insulin with the CPP penetratin has potential for treating dementia. The pharmacological actions of insulin were investigated at different stages of memory impairment using a senescence-accelerated mouse-prone 8 (SAMP8) model. The results of spatial learning tests suggested that chronic intranasal administration of insulin with l-penetratin to SAMP8 slowed the progression of memory loss in the early stage of memory impairment. However, contrary to expectations, this strategy using penetratin was ineffective in recovering the severe cognitive dysfunction in the progressive stage, which involves brain accumulation of amyloid β (Aβ). Immunohistological examination of hippocampal regions of samples from SAMP8 in the progressive stage suggested that accelerated nose-to-brain insulin delivery had a partial neuroprotective function but unexpectedly increased Aβ plaque deposition in the hippocampus. These findings suggest that the efficient nose-to-brain delivery of insulin combined with noncovalent CPP strategy has different effects on dementia during the mild and progressive stages of cognitive dysfunction.

  17. Detailed Sensory Memory, Sloppy Working Memory

    OpenAIRE

    Sligte, Ilja G.; Vandenbroucke, Annelinde R. E.; Scholte, H. Steven; Lamme, Victor A. F.

    2010-01-01

    Visual short-term memory (VSTM) enables us to actively maintain information in mind for a brief period of time after stimulus disappearance. According to recent studies, VSTM consists of three stages - iconic memory, fragile VSTM, and visual working memory - with increasingly stricter capacity limits and progressively longer lifetimes. Still, the resolution (or amount of visual detail) of each VSTM stage has remained unexplored and we test this in the present study. We presented people with a...

  18. Microcomputers with higher processing capacity

    Energy Technology Data Exchange (ETDEWEB)

    1982-01-01

    Loosely-coupled multiprocessor systems comprise a number of modules with specialised functions such as arithmetic processor, bit-slice processors, single-chip peripheral processors, usually provided with local resources (I/O units, memories) and forming an integrated network. The topology of three typical multiprocessor systems are discussed: coupling via I/O modules, common memory and DMA. I/O coupled systems have serial transmission, often in master/slave configuration. Several processors may have a common memory and for fast exchange of data between processors, the DMA coupling is used. Configurations for local networks (star, loop, ring) are surveyed and dictionary of terms (LAN, SDLC, HDLC etc.) is provided.

  19. Selective verbal recognition memory impairments are associated with atrophy of the language network in non-semantic variants of primary progressive aphasia.

    Science.gov (United States)

    Nilakantan, Aneesha S; Voss, Joel L; Weintraub, Sandra; Mesulam, M-Marsel; Rogalski, Emily J

    2017-06-01

    Primary progressive aphasia (PPA) is clinically defined by an initial loss of language function and preservation of other cognitive abilities, including episodic memory. While PPA primarily affects the left-lateralized perisylvian language network, some clinical neuropsychological tests suggest concurrent initial memory loss. The goal of this study was to test recognition memory of objects and words in the visual and auditory modality to separate language-processing impairments from retentive memory in PPA. Individuals with non-semantic PPA had longer reaction times and higher false alarms for auditory word stimuli compared to visual object stimuli. Moreover, false alarms for auditory word recognition memory were related to cortical thickness within the left inferior frontal gyrus and left temporal pole, while false alarms for visual object recognition memory was related to cortical thickness within the right-temporal pole. This pattern of results suggests that specific vulnerability in processing verbal stimuli can hinder episodic memory in PPA, and provides evidence for differential contributions of the left and right temporal poles in word and object recognition memory. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Lower bounds for the head-body-tail problem on parallel machines: a computational study for the multiprocessor flow shop

    NARCIS (Netherlands)

    A. Vandevelde; J.A. Hoogeveen; C.A.J. Hurkens (Cor); J.K. Lenstra (Jan Karel)

    2005-01-01

    htmlabstractThe multiprocessor flow-shop is the generalization of the flow-shop in which each machine is replaced by a set of identical machines. As finding a minimum-length schedule is NP-hard, we set out to find good lower and upper bounds. The lower bounds are based on relaxation of the

  1. Tumour model with intrusive morphology, progressive phenotypical heterogeneity and memory

    Science.gov (United States)

    Atangana, Abdon; Alqahtani, Rubayyi T.

    2018-03-01

    The model of a tumour, taking into account invasive morphology, progressive phenotypical heterogeneity and also memory, is developed and analyzed in this paper. Three models are investigated: first we consider the model describing the proliferation concentrates in proximity of tumour boundaries, in which the oxygen levels are pronounced. Then we consider the model where the oxygen around the tumour is considered to be unchanged by the vascular system. Finally, we investigate the model of growth of tumours using the concept of non-local operators with the Mittag-Leffler kernel. We provide the numerical solution using the extended 3/8 Simpson method for the new trends of fractional integration for the proliferation concentrates in the proximity of the tumour model. Then we provide the exact solutions of the Gompertz model with three different fractional differentiations involving power law, exponential decay law and the Mittag-Leffler law.

  2. Lower bounds for the head-body-tail problem on parallel machines : a computational study of the multiprocessor flow shop

    NARCIS (Netherlands)

    Vandevelde, A.; Hoogeveen, J.A.; Hurkens, C.A.J.; Lenstra, J.K.

    2005-01-01

    The multiprocessor flow-shop is the generalization of the flow-shop in which each machine is replaced by a set of identical machines. As finding a minimum-length schedule is NP-hard, we set out to find good lower and upper bounds. The lower bounds are based on relaxation of the capacities of all

  3. Use of a genetic algorithm to solve two-fluid flow problems on an NCUBE multiprocessor computer

    International Nuclear Information System (INIS)

    Pryor, R.J.; Cline, D.D.

    1992-01-01

    A method of solving the two-phase fluid flow equations using a genetic algorithm on a NCUBE multiprocessor computer is presented. The topics discussed are the two-phase flow equations, the genetic representation of the unknowns, the fitness function, the genetic operators, and the implementation of the algorithm on the NCUBE computer. The efficiency of the implementation is investigated using a pipe blowdown problem. Effects of varying the genetic parameters and the number of processors are presented

  4. Use of a genetic agorithm to solve two-fluid flow problems on an NCUBE multiprocessor computer

    International Nuclear Information System (INIS)

    Pryor, R.J.; Cline, D.D.

    1993-01-01

    A method of solving the two-phases fluid flow equations using a genetic algorithm on a NCUBE multiprocessor computer is presented. The topics discussed are the two-phase flow equations, the genetic representation of the unkowns, the fitness function, the genetic operators, and the implementation of the algorithm on the NCUBE computer. The efficiency of the implementation is investigated using a pipe blowdown problem. Effects of varying the genetic parameters and the number of processors are presented. (orig.)

  5. Stress and memory in humans: twelve years of progress?

    Science.gov (United States)

    Wolf, Oliver T

    2009-10-13

    Stress leads to an enhanced activity of the hypothalamus-pituitary adrenal (HPA) axis resulting in an increased release of glucocorticoids from the adrenal cortex. These hormones influence target systems in the periphery as well as in the brain. The present review paper describes the impact of the human stress hormone cortisol on episodic long-term memory. Starting out with our early observation that stress as well as cortisol treatment impaired declarative memory, experiments by the author are described, which result in an enhanced understanding of how cortisol influences memory. The main conclusions are that stress or cortisol treatment temporarily blocks memory retrieval. The effect is stronger for emotional arousing material independent of its valence. In addition cortisol only influences memory when a certain amount of testing induced arousal occurs. A functional magnetic resonance imaging (fMRI) study suggests that the neuronal correlate of the cortisol induced retrieval blockade is a reduced activity of the hippocampus. In contrast to the effects on retrieval cortisol enhances memory consolidation. Again this effect is often stronger for emotionally arousing material and sometimes occurs at the cost of memory for neutral material. A fMRI study revealed that higher cortisol levels were associated with a stronger amygdala response to emotional stimuli. Thus stimulatory effects of cortisol on this structure might underlie the cortisol induced enhancement of emotional memory consolidation. The findings presented are in line with models derived from experiments in rodents and are of relevance for our understanding of stress associated psychiatric disorders.

  6. A parallel implementation of 3-d CT image reconstruction on a hypercube multiprocessor

    International Nuclear Information System (INIS)

    Chen, C.M.; Lee, S.Y.; Cho, Z.H.

    1990-01-01

    In this paper, the authors describe how image reconstruction in computerized tomography (CT) can be parallelized on a message-passing multiprocessor. In particular, the results obtained from parallel implementation of 3-D CT image reconstruction for parallel beam geometries on the Intel hypercube, iPSC/2, are presented. A two stage pipelining approach is employed for filtering (convolution) and backprojection. The conventional sequential convolution algorithm is modified such that the symmetry of the filter kernel is fully utilized for parallelization. In the backprojection stage, the 3-D incremental algorithm, the authors' recently developed backprojection scheme which is shown to be faster than conventional algorithm, is parallelized

  7. Contention Modeling for Multithreaded Distributed Shared Memory Machines: The Cray XMT

    Energy Technology Data Exchange (ETDEWEB)

    Secchi, Simone; Tumeo, Antonino; Villa, Oreste

    2011-07-27

    Distributed Shared Memory (DSM) machines are a wide class of multi-processor computing systems where a large virtually-shared address space is mapped on a network of physically distributed memories. High memory latency and network contention are two of the main factors that limit performance scaling of such architectures. Modern high-performance computing DSM systems have evolved toward exploitation of massive hardware multi-threading and fine-grained memory hashing to tolerate irregular latencies, avoid network hot-spots and enable high scaling. In order to model the performance of such large-scale machines, parallel simulation has been proved to be a promising approach to achieve good accuracy in reasonable times. One of the most critical factors in solving the simulation speed-accuracy trade-off is network modeling. The Cray XMT is a massively multi-threaded supercomputing architecture that belongs to the DSM class, since it implements a globally-shared address space abstraction on top of a physically distributed memory substrate. In this paper, we discuss the development of a contention-aware network model intended to be integrated in a full-system XMT simulator. We start by measuring the effects of network contention in a 128-processor XMT machine and then investigate the trade-off that exists between simulation accuracy and speed, by comparing three network models which operate at different levels of accuracy. The comparison and model validation is performed by executing a string-matching algorithm on the full-system simulator and on the XMT, using three datasets that generate noticeably different contention patterns.

  8. Application of the coupled code Athlet-Quabox/Cubbox for the extreme scenarios of the OECD/NRC BWR turbine trip benchmark and its performance on multi-processor computers

    International Nuclear Information System (INIS)

    Langenbuch, S.; Schmidt, K.D.; Velkov, K.

    2003-01-01

    The OECD/NRC BWR Turbine Trip (TT) Benchmark is investigated to perform code-to-code comparison of coupled codes including a comparison to measured data which are available from turbine trip experiments at Peach Bottom 2. This Benchmark problem for a BWR over-pressure transient represents a challenging application of coupled codes which integrate 3-dimensional neutron kinetics into thermal-hydraulic system codes for best-estimate simulation of plant transients. This transient represents a typical application of coupled codes which are usually performed on powerful workstations using a single CPU. Nowadays, the availability of multi-CPUs is much easier. Indeed, powerful workstations already provide 4 to 8 CPU, computer centers give access to multi-processor systems with numbers of CPUs in the order of 16 up to several 100. Therefore, the performance of the coupled code Athlet-Quabox/Cubbox on multi-processor systems is studied. Different cases of application lead to changing requirements of the code efficiency, because the amount of computer time spent in different parts of the code is varying. This paper presents main results of the coupled code Athlet-Quabox/Cubbox for the extreme scenarios of the BWR TT Benchmark together with evaluations of the code performance on multi-processor computers. (authors)

  9. Neural Correlates of Verbal Episodic Memory and Lexical Retrieval in Logopenic Variant Primary Progressive Aphasia.

    Science.gov (United States)

    Win, Khaing T; Pluta, John; Yushkevich, Paul; Irwin, David J; McMillan, Corey T; Rascovsky, Katya; Wolk, David; Grossman, Murray

    2017-01-01

    Objective: Logopenic variant primary progressive aphasia (lvPPA) is commonly associated with Alzheimer's disease (AD) pathology. But lvPPA patients display different cognitive and anatomical profile from the common clinical AD patients, whose verbal episodic memory is primarily affected. Reports of verbal episodic memory difficulty in lvPPA are inconsistent, and we hypothesized that their lexical retrieval impairment contributes to verbal episodic memory performance and is associated with left middle temporal gyrus atrophy. Methods: We evaluated patients with lvPPA ( n = 12) displaying prominent word-finding and repetition difficulties, and a demographically-matched cohort of clinical Alzheimer's disease (AD, n = 26), and healthy seniors ( n = 16). We assessed lexical retrieval with confrontation naming and verbal episodic memory with delayed free recall. Whole-brain regressions related naming and delayed free recall to gray matter atrophy. Medial temporal lobe (MTL) subfields were examined using high in-plane resolution imaging. Results: lvPPA patients had naming and delayed free recall impairments, but intact recognition memory. In lvPPA, delayed free recall was related to naming; both were associated with left middle temporal gyrus atrophy but not MTL atrophy. Despite cerebrospinal fluid evidence consistent with AD pathology, examination of MTL subfields revealed no atrophy in lvPPA. While AD patients displayed impaired delayed free recall, this deficit did not correlate with naming. Regression analyses related delayed free recall deficits in clinical AD patients to MTL subfield atrophy, and naming to left middle temporal gyrus atrophy. Conclusion: Unlike amnestic AD patients, MTL subfields were not affected in lvPPA patients. Verbal episodic memory deficit observed in lvPPA was unlikely to be due to a hippocampal-mediated mechanism but appeared to be due to poor lexical retrieval. Relative sparing of MTL volume and intact recognition memory are consistent with

  10. Meeting the memory challenges of brain-scale network simulation

    Directory of Open Access Journals (Sweden)

    Susanne eKunkel

    2012-01-01

    Full Text Available The development of high-performance simulation software is crucial for studying the brain connectome. Using connectome data to generate neurocomputational models requires software capable of coping with models on a variety of scales: from the microscale, investigating plasticity and dynamics of circuits in local networks, to the macroscale, investigating the interactions between distinct brain regions. Prior to any serious dynamical investigation, the first task of network simulations is to check the consistency of data integrated in the connectome and constrain ranges for yet unknown parameters. Thanks to distributed computing techniques, it is possible today to routinely simulate local cortical networks of around 10^5 neurons with up to 10^9 synapses on clusters and multi-processor shared-memory machines. However, brain-scale networks are one or two orders of magnitude larger than such local networks, in terms of numbers of neurons and synapses as well as in terms of computational load. Such networks have been studied in individual studies, but the underlying simulation technologies have neither been described in sufficient detail to be reproducible nor made publicly available. Here, we discover that as the network model sizes approach the regime of meso- and macroscale simulations, memory consumption on individual compute nodes becomes a critical bottleneck. This is especially relevant on modern supercomputers such as the Bluegene/P architecture where the available working memory per CPU core is rather limited. We develop a simple linear model to analyze the memory consumption of the constituent components of a neuronal simulator as a function of network size and the number of cores used. This approach has multiple benefits. The model enables identification of key contributing components to memory saturation and prediction of the effects of potential improvements to code before any implementation takes place.

  11. Use of the CAMAC-MULTIBUS combined protocol for organizing multi-processor operation in a crate

    International Nuclear Information System (INIS)

    Glejbman, Eh.M.

    1985-01-01

    Problems of developing electronic units for large on-line systems for nuclear-physical experiments automation and developed on the base of principles of distributed control and data processing are discussed. Crates with simultaneous disposition and operation of CAMAC moduli (EUR-4100) and those realizing the MULTIBUS hardcopy log in dataway are described. It is attained due to sharing the CAMAC and the MULTIBUS hardcopy logs in the crate dataway. Application of job scheduler and executor moduli in the MULTIBUS interface permits to organize multiprocessor operation and to obtain separation of data stream as well as to increase total computational capacity in the crate

  12. Design concepts for a virtualizable embedded MPSoC architecture enabling virtualization in embedded multi-processor systems

    CERN Document Server

    Biedermann, Alexander

    2014-01-01

    Alexander Biedermann presents a generic hardware-based virtualization approach, which may transform an array of any off-the-shelf embedded processors into a multi-processor system with high execution dynamism. Based on this approach, he highlights concepts for the design of energy aware systems, self-healing systems as well as parallelized systems. For the latter, the novel so-called Agile Processing scheme is introduced by the author, which enables a seamless transition between sequential and parallel execution schemes. The design of such virtualizable systems is further aided by introduction

  13. Quantitative Performance Analysis of the SPEC OMPM2001 Benchmarks

    Directory of Open Access Journals (Sweden)

    Vishal Aslot

    2003-01-01

    Full Text Available The state of modern computer systems has evolved to allow easy access to multiprocessor systems by supporting multiple processors on a single physical package. As the multiprocessor hardware evolves, new ways of programming it are also developed. Some inventions may merely be adopting and standardizing the older paradigms. One such evolving standard for programming shared-memory parallel computers is the OpenMP API. The Standard Performance Evaluation Corporation (SPEC has created a suite of parallel programs called SPEC OMP to compare and evaluate modern shared-memory multiprocessor systems using the OpenMP standard. We have studied these benchmarks in detail to understand their performance on a modern architecture. In this paper, we present detailed measurements of the benchmarks. We organize, summarize, and display our measurements using a Quantitative Model. We present a detailed discussion and derivation of the model. Also, we discuss the important loops in the SPEC OMPM2001 benchmarks and the reasons for less than ideal speedup on our platform.

  14. Computational design of RNA parts, devices, and transcripts with kinetic folding algorithms implemented on multiprocessor clusters.

    Science.gov (United States)

    Thimmaiah, Tim; Voje, William E; Carothers, James M

    2015-01-01

    With progress toward inexpensive, large-scale DNA assembly, the demand for simulation tools that allow the rapid construction of synthetic biological devices with predictable behaviors continues to increase. By combining engineered transcript components, such as ribosome binding sites, transcriptional terminators, ligand-binding aptamers, catalytic ribozymes, and aptamer-controlled ribozymes (aptazymes), gene expression in bacteria can be fine-tuned, with many corollaries and applications in yeast and mammalian cells. The successful design of genetic constructs that implement these kinds of RNA-based control mechanisms requires modeling and analyzing kinetically determined co-transcriptional folding pathways. Transcript design methods using stochastic kinetic folding simulations to search spacer sequence libraries for motifs enabling the assembly of RNA component parts into static ribozyme- and dynamic aptazyme-regulated expression devices with quantitatively predictable functions (rREDs and aREDs, respectively) have been described (Carothers et al., Science 334:1716-1719, 2011). Here, we provide a detailed practical procedure for computational transcript design by illustrating a high throughput, multiprocessor approach for evaluating spacer sequences and generating functional rREDs. This chapter is written as a tutorial, complete with pseudo-code and step-by-step instructions for setting up a computational cluster with an Amazon, Inc. web server and performing the large numbers of kinefold-based stochastic kinetic co-transcriptional folding simulations needed to design functional rREDs and aREDs. The method described here should be broadly applicable for designing and analyzing a variety of synthetic RNA parts, devices and transcripts.

  15. A Taxonomy of Reconfigurable Single-/Multiprocessor Systems-on-Chip

    Directory of Open Access Journals (Sweden)

    Diana Göhringer

    2009-01-01

    Full Text Available Runtime adaptivity of hardware in processor architectures is a novel trend, which is under investigation in a variety of research labs all over the world. The runtime exchange of modules, implemented on a reconfigurable hardware, affects the instruction flow (e.g., in reconfigurable instruction set processors or the data flow, which has a strong impact on the performance of an application. Furthermore, the choice of a certain processor architecture related to the class of target applications is a crucial point in application development. A simple example is the domain of high-performance computing applications found in meteorology or high-energy physics, where vector processors are the optimal choice. A classification scheme for computer systems was provided in 1966 by Flynn where single/multiple data and instruction streams were combined to four types of architectures. This classification is now used as a foundation for an extended classification scheme including runtime adaptivity as further degree of freedom for processor architecture design. The developed scheme is validated by a multiprocessor system implemented on reconfigurable hardware as well as by a classification of existing static and reconfigurable processor systems.

  16. Frontal lobe damage impairs process and content in semantic memory: evidence from category-specific effects in progressive non-fluent aphasia.

    Science.gov (United States)

    Reilly, Jamie; Rodriguez, Amy D; Peelle, Jonathan E; Grossman, Murray

    2011-06-01

    Portions of left inferior frontal cortex have been linked to semantic memory both in terms of the content of conceptual representation (e.g., motor aspects in an embodied semantics framework) and the cognitive processes used to access these representations (e.g., response selection). Progressive non-fluent aphasia (PNFA) is a neurodegenerative condition characterized by progressive atrophy of left inferior frontal cortex. PNFA can, therefore, provide a lesion model for examining the impact of frontal lobe damage on semantic processing and content. In the current study we examined picture naming in a cohort of PNFA patients across a variety of semantic categories. An embodied approach to semantic memory holds that sensorimotor features such as self-initiated action may assume differential importance for the representation of manufactured artifacts (e.g., naming hand tools). Embodiment theories might therefore predict that patients with frontal damage would be differentially impaired on manufactured artifacts relative to natural kinds, and this prediction was borne out. We also examined patterns of naming errors across a wide range of semantic categories and found that naming error distributions were heterogeneous. Although PNFA patients performed worse overall on naming manufactured artifacts, there was no reliable relationship between anomia and manipulability across semantic categories. These results add to a growing body of research arguing against a purely sensorimotor account of semantic memory, suggesting instead a more nuanced balance of process and content in how the brain represents conceptual knowledge. Copyright © 2010 Elsevier Srl. All rights reserved.

  17. Does Working Memory Training Lead to Generalized Improvements in Children with Low Working Memory? A Randomized Controlled Trial

    Science.gov (United States)

    Dunning, Darren L.; Holmes, Joni; Gathercole, Susan E.

    2013-01-01

    Children with low working memory typically make poor educational progress, and it has been speculated that difficulties in meeting the heavy working memory demands of the classroom may be a contributory factor. Intensive working memory training has been shown to boost performance on untrained memory tasks in a variety of populations. This first…

  18. On a Multiprocessor Computer Farm for Online Physics Data Processing

    CERN Document Server

    Sinanis, N J

    1999-01-01

    The topic of this thesis is the design-phase performance evaluation of a large multiprocessor (MP) computer farm intended for the on-line data processing of the Compact Muon Solenoid (CMS) experiment. CMS is a high energy Physics experiment, planned to operate at CERN (Geneva, Switzerland) during the year 2005. The CMS computer farm is consisting of 1,000 MP computer systems and a 1,000 X 1,000 communications switch. The followed approach to the farm performance evaluation is through simulation studies and evaluation of small prototype systems building blocks of the farm. For the purposes of the simulation studies, we have developed a discrete-event, event-driven simulator that is capable to describe the high-level architecture of the farm and give estimates of the farm's performance. The simulator is designed in a modular way to facilitate the development of various modules that model the behavior of the farm building blocks in the desired level of detail. With the aid of this simulator, we make a particular...

  19. Programming parallel architectures - The BLAZE family of languages

    Science.gov (United States)

    Mehrotra, Piyush

    1989-01-01

    This paper gives an overview of the various approaches to programming multiprocessor architectures that are currently being explored. It is argued that two of these approaches, interactive programming environments and functional parallel languages, are particularly attractive, since they remove much of the burden of exploiting parallel architectures from the user. This paper also describes recent work in the design of parallel languages. Research on languages for both shared and nonshared memory multiprocessors is described.

  20. Learning and memory in zebrafish larvae

    Science.gov (United States)

    Roberts, Adam C.; Bill, Brent R.; Glanzman, David L.

    2013-01-01

    Larval zebrafish possess several experimental advantages for investigating the molecular and neural bases of learning and memory. Despite this, neuroscientists have only recently begun to use these animals to study memory. However, in a relatively short period of time a number of forms of learning have been described in zebrafish larvae, and significant progress has been made toward their understanding. Here we provide a comprehensive review of this progress; we also describe several promising new experimental technologies currently being used in larval zebrafish that are likely to contribute major insights into the processes that underlie learning and memory. PMID:23935566

  1. Detailed sensory memory, sloppy working memory

    Directory of Open Access Journals (Sweden)

    Ilja G Sligte

    2010-10-01

    Full Text Available Visual short-term memory (VSTM enables us to actively maintain information in mind for a brief period of time after stimulus disappearance. According to recent studies, VSTM consists of three stages - iconic memory, fragile VSTM, and visual working memory - with increasingly stricter capacity limits and progressively longer lifetimes. Still, the resolution (or amount of visual detail of each VSTM stage has remained unexplored and we test this in the present study. We presented people with a change detection task that measures the capacity of all three forms of VSTM, and we added an identification display after each change trial that required people to identify the pre-change object. Accurate change detection plus pre-change identification requires subjects to have a high-resolution representation of the pre-change object, whereas change detection or identification only can be based on the hunch that something has changed, without exactly knowing what was presented before. We observed that people maintained 6.1 objects in iconic memory, 4.6 objects in fragile VSTM and 2.1 objects in visual working memory. Moreover, when people detected the change, they could also identify the pre-change object on 88 percent of the iconic memory trials, on 71 percent of the fragile VSTM trials and merely on 53 percent of the visual working memory trials. This suggests that people maintain many high-resolution representations in iconic memory and fragile VSTM, but only one high-resolution object representation in visual working memory.

  2. Detailed sensory memory, sloppy working memory.

    Science.gov (United States)

    Sligte, Ilja G; Vandenbroucke, Annelinde R E; Scholte, H Steven; Lamme, Victor A F

    2010-01-01

    Visual short-term memory (VSTM) enables us to actively maintain information in mind for a brief period of time after stimulus disappearance. According to recent studies, VSTM consists of three stages - iconic memory, fragile VSTM, and visual working memory - with increasingly stricter capacity limits and progressively longer lifetimes. Still, the resolution (or amount of visual detail) of each VSTM stage has remained unexplored and we test this in the present study. We presented people with a change detection task that measures the capacity of all three forms of VSTM, and we added an identification display after each change trial that required people to identify the "pre-change" object. Accurate change detection plus pre-change identification requires subjects to have a high-resolution representation of the "pre-change" object, whereas change detection or identification only can be based on the hunch that something has changed, without exactly knowing what was presented before. We observed that people maintained 6.1 objects in iconic memory, 4.6 objects in fragile VSTM, and 2.1 objects in visual working memory. Moreover, when people detected the change, they could also identify the pre-change object on 88% of the iconic memory trials, on 71% of the fragile VSTM trials and merely on 53% of the visual working memory trials. This suggests that people maintain many high-resolution representations in iconic memory and fragile VSTM, but only one high-resolution object representation in visual working memory.

  3. Does working memory training lead to generalized improvements in children with low working memory? A randomized controlled trial

    OpenAIRE

    Dunning, Darren L; Holmes, Joni; Gathercole, Susan E

    2013-01-01

    Children with low working memory typically make poor educational progress, and it has been speculated that difficulties in meeting the heavy working memory demands of the classroom may be a contributory factor. Intensive working memory training has been shown to boost performance on untrained memory tasks in a variety of populations. This first randomized controlled trial with low working memory children investigated whether the benefits of training extend beyond standard working memory tasks...

  4. Coaching positively influences the effects of working memory training on visual working memory as well as mathematical ability

    NARCIS (Netherlands)

    Nelwan, M.; Vissers, C.T.W.M.; Kroesbergen, E.H.

    2018-01-01

    The goal of the present study was to test whether the amount of coaching influenced the results of working memory training on both visual and verbal working memory. Additionally, the effects of the working memory training on the amount of progress after specific training in mathematics were

  5. Conflict and memory

    DEFF Research Database (Denmark)

    Wagoner, Brady; Brescó, Ignacio

    2016-01-01

    This introduction to the special issue on conflict and memory aims to underscore the importance of memory (whether individual and collective) in relation to intergroup conflicts. We argue that the way in which societies reconstruct and bring the past into the present—especially, the historical past......—is crucial when it comes to the study of intergroup conflict dynamics. In this regard, we also highlight the growing importance of memory studies within the area of social sciences as well as the multiple ways of approaching memory. Drawing from this wide theoretical framework, we introduce the articles...... of this issue, eight articles that tackle the role of memory in different conflicts, whether currently under way, in progress of being resolved, in postwar settings, or in contexts conflicts expected to happen do not arise....

  6. A Performance Evaluation of the Hemingway DSM System on a Network of SMPs

    National Research Council Canada - National Science Library

    Aggarwal, Anshu; Grumwald, Dirk

    1997-01-01

    .... In this paper we investigate the performance of a software distributed shared memory system, Hemingway, which is built out of such multiprocessor workstations, utilizing off-the-shelf communication networks...

  7. Longitudinal assessment of short-term memory deterioration in a logopenic variant primary progressive aphasia with post-mortem confirmed Alzheimer's Disease pathology.

    Science.gov (United States)

    Tree, Jeremy; Kay, Janice

    2015-09-01

    In the field of dementia research, there are reports of neurodegenerative cases with a focal loss of language, termed primary progressive aphasia (PPA). Currently, this condition has been further sub-classified, with the most recent sub-type dubbed logopenic variant (PPA-LV). As yet, there remains somewhat limited evaluation of the characteristics of this condition, with no studies providing longitudinal assessment accompanied by post-mortem examination. Moreover, a key characteristic of the PPA-LV case is a deterioration of phonological short-term memory, but again little work has scrutinized the nature of this impairment over time. The current study seeks to redress these oversights and presents detailed longitudinal examination of language and memory function in a case of PPA-LV, with special focus on tests linked to components of phonological short-term memory function. Our findings are then considered with reference to a contemporary model of the neuropsychology of phonological short-term memory. Additionally, post-mortem examinations indicated Alzheimer's disease type pathology, providing further evidence that the PPA-LV presentation may reflect an atypical presentation of this condition. © 2014 The British Psychological Society.

  8. Recent Progress on Modeling Slip Deformation in Shape Memory Alloys

    Science.gov (United States)

    Sehitoglu, H.; Alkan, S.

    2018-03-01

    This paper presents an overview of slip deformation in shape memory alloys. The performance of shape memory alloys depends on their slip resistance often quantified through the Critical Resolved Shear Stress (CRSS) or the flow stress. We highlight previous studies that identify the active slip systems and then proceed to show how non- Schmid effects can be dominant in shape memory slip behavior. The work is mostly derived from our recent studies while we highlight key earlier works on slip deformation. We finally discuss the implications of understanding the role of slip on curtailing the transformation strains and also the temperature range over which superelasticity prevails.

  9. Recent Progress on Modeling Slip Deformation in Shape Memory Alloys

    Science.gov (United States)

    Sehitoglu, H.; Alkan, S.

    2018-03-01

    This paper presents an overview of slip deformation in shape memory alloys. The performance of shape memory alloys depends on their slip resistance often quantified through the Critical Resolved Shear Stress (CRSS) or the flow stress. We highlight previous studies that identify the active slip systems and then proceed to show how non-Schmid effects can be dominant in shape memory slip behavior. The work is mostly derived from our recent studies while we highlight key earlier works on slip deformation. We finally discuss the implications of understanding the role of slip on curtailing the transformation strains and also the temperature range over which superelasticity prevails.

  10. E-Token Energy-Aware Proportionate Sharing Scheduling Algorithm for Multiprocessor Systems

    Directory of Open Access Journals (Sweden)

    Pasupuleti Ramesh

    2017-01-01

    Full Text Available WSN plays vital role from small range healthcare surveillance systems to largescale environmental monitoring. Its design for energy constrained applications is a challenging issue. Sensors in WSNs are projected to run separately for longer periods. It is of excessive cost to substitute exhausted batteries which is not even possible in antagonistic situations. Multiprocessors are used in WSNs for high performance scientific computing, where each processor is assigned the same or different workload. When the computational demands of the system increase then the energy efficient approaches play an important role to increase system lifetime. Energy efficiency is commonly carried out by using proportionate fair scheduler. This introduces abnormal overloading effect. In order to overcome the existing problems E-token Energy-Aware Proportionate Sharing (EEAPS scheduling is proposed here. The power consumption for each thread/task is calculated and the tasks are allotted to the multiple processors through the auctioning mechanism. The algorithm is simulated by using the real-time simulator (RTSIM and the results are tested.

  11. Memory Dysfunction

    Science.gov (United States)

    Matthews, Brandy R.

    2015-01-01

    Purpose of Review: This article highlights the dissociable human memory systems of episodic, semantic, and procedural memory in the context of neurologic illnesses known to adversely affect specific neuroanatomic structures relevant to each memory system. Recent Findings: Advances in functional neuroimaging and refinement of neuropsychological and bedside assessment tools continue to support a model of multiple memory systems that are distinct yet complementary and to support the potential for one system to be engaged as a compensatory strategy when a counterpart system fails. Summary: Episodic memory, the ability to recall personal episodes, is the subtype of memory most often perceived as dysfunctional by patients and informants. Medial temporal lobe structures, especially the hippocampal formation and associated cortical and subcortical structures, are most often associated with episodic memory loss. Episodic memory dysfunction may present acutely, as in concussion; transiently, as in transient global amnesia (TGA); subacutely, as in thiamine deficiency; or chronically, as in Alzheimer disease. Semantic memory refers to acquired knowledge about the world. Anterior and inferior temporal lobe structures are most often associated with semantic memory loss. The semantic variant of primary progressive aphasia (svPPA) is the paradigmatic disorder resulting in predominant semantic memory dysfunction. Working memory, associated with frontal lobe function, is the active maintenance of information in the mind that can be potentially manipulated to complete goal-directed tasks. Procedural memory, the ability to learn skills that become automatic, involves the basal ganglia, cerebellum, and supplementary motor cortex. Parkinson disease and related disorders result in procedural memory deficits. Most memory concerns warrant bedside cognitive or neuropsychological evaluation and neuroimaging to assess for specific neuropathologies and guide treatment. PMID:26039844

  12. A Case for Tamper-Resistant and Tamper-Evident Computer Systems

    National Research Council Canada - National Science Library

    Solihin, Yan

    2007-01-01

    .... These attacks attempt to snoop or modify data transfer between various chips in a computer system such as between the processor and memory, and between processors in a multiprocessor interconnect network...

  13. Multi-processor system for real-time deconvolution and flow estimation in medical ultrasound

    DEFF Research Database (Denmark)

    Jensen, Jesper Lomborg; Jensen, Jørgen Arendt; Stetson, Paul F.

    1996-01-01

    of the algorithms. Many of the algorithms can only be properly evaluated in a clinical setting with real-time processing, which generally cannot be done with conventional equipment. This paper therefore presents a multi-processor system capable of performing 1.2 billion floating point operations per second on RF...... filter is used with a second time-reversed recursive estimation step. Here it is necessary to perform about 70 arithmetic operations per RF sample or about 1 billion operations per second for real-time deconvolution. Furthermore, these have to be floating point operations due to the adaptive nature...... interfaced to our previously-developed real-time sampling system that can acquire RF data at a rate of 20 MHz and simultaneously transmit the data at 20 MHz to the processing system via several parallel channels. These two systems can, thus, perform real-time processing of ultrasound data. The advantage...

  14. Memorial Camels and Design by Committee: St Andrews Black Saturday Memorials

    Directory of Open Access Journals (Sweden)

    SueAnne Ware

    2015-02-01

    Full Text Available This paper examines a work in progress, the St Andrews Bushfire Memorial, which commemorates victims of the 7 February 2009 bushfires in Victoria, Australia. The paper’s intent is threefold: to describe and reflect on a current and ongoing memorial design project; to frame this project within a larger series of design discourses; and to examine the processes by which this memorial, but also many other grassroots or ‘bottom-up’ memorials, come into being. By examining the design process, I aim to open up various memorialisation and consultation methods for review. More importantly, however, by framing this project in contemporary discussions regarding socially engaged design practices, I offer a critique of the dictator–democrat binaries mentioned above and offer another way forward.

  15. Basic operation principles of associate co-processor module for ...

    African Journals Online (AJOL)

    With such an organization, it is necessary to read each memory module address and ... for specialized computer systems using a modern element base. ... multiprocessor systems that perform associative functions and data storage functions.

  16. Development of a parallel DBMS on the basis of PostgreSQL

    OpenAIRE

    Pan, C.

    2011-01-01

    The paper describes the architecture and the design of PargreSQL parallel database management system (DBMS) for distributed memory multiprocessors. PargreSQL is based upon PostgreSQL open-source DBMS and exploits partitioned parallelism.

  17. The Impact of Awareness of and Concern About Memory Performance on the Prediction of Progression From Mild Cognitive Impairment to Alzheimer Disease Dementia.

    Science.gov (United States)

    Munro, Catherine E; Donovan, Nancy J; Amariglio, Rebecca E; Papp, Kate V; Marshall, Gad A; Rentz, Dorene M; Pascual-Leone, Alvaro; Sperling, Reisa A; Locascio, Joseph J; Vannini, Patrizia

    2018-05-03

    To investigate the relationship of awareness of and concern about memory performance to progression from mild cognitive impairment (MCI) to Alzheimer disease (AD) dementia. Participants (n = 33) had a diagnosis of MCI at baseline and a diagnosis of MCI or AD dementia at follow-up. Participants were categorized as "Stable-MCI" if they retained an MCI diagnosis at follow-up (mean follow-up = 18.0 months) or "Progressor-MCI" if they were diagnosed with AD dementia at follow-up (mean follow-up = 21.6 months). Awareness was measured using the residual from regressing a participant's objective memory score onto their subjective complaint score (i.e., residualConcern was assessed using a questionnaire examining the degree of concern when forgetting. Logistic regression was used to determine whether the presence of these syndromes could predict future diagnosis of AD dementia, and repeated measures analysis of covariance tests were used to examine longitudinal patterns of these syndromes. Baseline anosognosia was apparent in the Progressor-MCI group, whereas participants in the Stable-MCI group demonstrated relative awareness of their memory performance. Baseline awareness scores successfully predicted whether an individual would progress to AD-dementia. Neither group showed change in awareness of performance over time. Neither group showed differences in concern about memory performance at baseline or change in concern about performance over time. These data suggest that anosognosia may appear prior to the onset of AD dementia, while anosodiaphoria likely does not appear until later in the AD continuum. Additionally, neither group showed significant changes in awareness or concern over time, suggesting that change in these variables may happen over longer periods. Copyright © 2018 American Association for Geriatric Psychiatry. Published by Elsevier Inc. All rights reserved.

  18. Compilation time analysis to minimize run-time overhead in preemptive scheduling on multiprocessors

    Science.gov (United States)

    Wauters, Piet; Lauwereins, Rudy; Peperstraete, J.

    1994-10-01

    This paper describes a scheduling method for hard real-time Digital Signal Processing (DSP) applications, implemented on a multi-processor. Due to the very high operating frequencies of DSP applications (typically hundreds of kHz) runtime overhead should be kept as small as possible. Because static scheduling introduces very little run-time overhead it is used as much as possible. Dynamic pre-emption of tasks is allowed if and only if it leads to better performance in spite of the extra run-time overhead. We essentially combine static scheduling with dynamic pre-emption using static priorities. Since we are dealing with hard real-time applications we must be able to guarantee at compile-time that all timing requirements will be satisfied at run-time. We will show that our method performs at least as good as any static scheduling method. It also reduces the total amount of dynamic pre-emptions compared with run time methods like deadline monotonic scheduling.

  19. External parallel sorting with multiprocessor computers

    International Nuclear Information System (INIS)

    Comanceau, S.I.

    1984-01-01

    This article describes methods of external sorting in which the entire main computer memory is used for the internal sorting of entries, forming out of them sorted segments of the greatest possible size, and outputting them to external memories. The obtained segments are merged into larger segments until all entries form one ordered segment. The described methods are suitable for sequential files stored on magnetic tape. The needs of the sorting algorithm can be met by using the relatively slow peripheral storage devices (e.g., tapes, disks, drums). The efficiency of the external sorting methods is determined by calculating the total sorting time as a function of the number of entries to be sorted and the number of parallel processors participating in the sorting process

  20. Trade-Off Exploration for Target Tracking Application in a Customized Multiprocessor Architecture

    Directory of Open Access Journals (Sweden)

    Yassin El-Hillali

    2009-01-01

    Full Text Available This paper presents the design of an FPGA-based multiprocessor-system-on-chip (MPSoC architecture optimized for Multiple Target Tracking (MTT in automotive applications. An MTT system uses an automotive radar to track the speed and relative position of all the vehicles (targets within its field of view. As the number of targets increases, the computational needs of the MTT system also increase making it difficult for a single processor to handle it alone. Our implementation distributes the computational load among multiple soft processor cores optimized for executing specific computational tasks. The paper explains how we designed and profiled the MTT application to partition it among different processors. It also explains how we applied different optimizations to customize the individual processor cores to their assigned tasks and to assess their impact on performance and FPGA resource utilization. The result is a complete MTT application running on an optimized MPSoC architecture that fits in a contemporary medium-sized FPGA and that meets the application's real-time constraints.

  1. Functional neuroanatomy of Drosophila olfactory memory formation.

    Science.gov (United States)

    Guven-Ozkan, Tugba; Davis, Ronald L

    2014-10-01

    New approaches, techniques and tools invented over the last decade and a half have revolutionized the functional dissection of neural circuitry underlying Drosophila learning. The new methodologies have been used aggressively by researchers attempting to answer three critical questions about olfactory memories formed with appetitive and aversive reinforcers: (1) Which neurons within the olfactory nervous system mediate the acquisition of memory? (2) What is the complete neural circuitry extending from the site(s) of acquisition to the site(s) controlling memory expression? (3) How is information processed across this circuit to consolidate early-forming, disruptable memories to stable, late memories? Much progress has been made and a few strong conclusions have emerged: (1) Acquisition occurs at multiple sites within the olfactory nervous system but is mediated predominantly by the γ mushroom body neurons. (2) The expression of long-term memory is completely dependent on the synaptic output of α/β mushroom body neurons. (3) Consolidation occurs, in part, through circuit interactions between mushroom body and dorsal paired medial neurons. Despite this progress, a complete and unified model that details the pathway from acquisition to memory expression remains elusive. © 2014 Guven-Ozkan and Davis; Published by Cold Spring Harbor Laboratory Press.

  2. The future of memory

    Science.gov (United States)

    Marinella, M.

    In the not too distant future, the traditional memory and storage hierarchy of may be replaced by a single Storage Class Memory (SCM) device integrated on or near the logic processor. Traditional magnetic hard drives, NAND flash, DRAM, and higher level caches (L2 and up) will be replaced with a single high performance memory device. The Storage Class Memory paradigm will require high speed (read/write), excellent endurance (> 1012), nonvolatility (retention > 10 years), and low switching energies (memory (PCM). All of these devices show potential well beyond that of current flash technologies and research efforts are underway to improve the endurance, write speeds, and scalabilities to be on-par with DRAM. This progress has interesting implications for space electronics: each of these emerging device technologies show excellent resistance to the types of radiation typically found in space applications. Commercially developed, high density storage class memory-based systems may include a memory that is physically radiation hard, and suitable for space applications without major shielding efforts. This paper reviews the Storage Class Memory concept, emerging memory devices, and possible applicability to radiation hardened electronics for space.

  3. Fundamental Parallel Algorithms for Private-Cache Chip Multiprocessors

    DEFF Research Database (Denmark)

    Arge, Lars Allan; Goodrich, Michael T.; Nelson, Michael

    2008-01-01

    about the way cores are interconnected, for we assume that all inter-processor communication occurs through the memory hierarchy. We study several fundamental problems, including prefix sums, selection, and sorting, which often form the building blocks of other parallel algorithms. Indeed, we present...... two sorting algorithms, a distribution sort and a mergesort. Our algorithms are asymptotically optimal in terms of parallel cache accesses and space complexity under reasonable assumptions about the relationships between the number of processors, the size of memory, and the size of cache blocks....... In addition, we study sorting lower bounds in a computational model, which we call the parallel external-memory (PEM) model, that formalizes the essential properties of our algorithms for private-cache CMPs....

  4. Mechanisms of Memory Enhancement

    Science.gov (United States)

    Stern, Sarah A.

    2012-01-01

    The ongoing quest for memory enhancement is one that grows necessary as the global population increasingly ages. The extraordinary progress that has been made in the past few decades elucidating the underlying mechanisms of how long-term memories are formed has provided insight into how memories might also be enhanced. Capitalizing on this knowledge, it has been postulated that targeting many of the same mechanisms, including CREB activation, AMPA/NMDA receptor trafficking, neuromodulation (e.g. via dopamine, adrenaline, cortisol or acetylcholine) and metabolic processes (e.g. via glucose and insulin) may all lead to the enhancement of memory. These and other mechanisms and/or approaches have been tested via genetic or pharmacological methods in animal models, and several have been investigated in humans as well. In addition, a number of behavioral methods, including exercise and reconsolidation, may also serve to strengthen and enhance memories. By capitalizing on this knowledge and continuing to investigate these promising avenues, memory enhancement may indeed be achieved in the future. PMID:23151999

  5. 2-D fluid dynamics models for laser driven fusion on IBM 3090 vector multiprocessors

    International Nuclear Information System (INIS)

    Atzeni, S.

    1988-01-01

    Fluid-dynamics codes for laser fusion are complex research codes, consisting of many distinct modules and embodying a variety of numerical methods. They are therefore good candidates for testing general purpose advanced computer architectures and the related software. In this paper, after a brief outline of the basic concepts of laser fusion, the implementation of the 2-D laser fusion fluid code DUED on the IBM 3090 VF vector multiprocessors is discussed. Emphasis is put on parallelization, performed by means of IBM Parallel FORTRAN (PF). It is shown how different modules have been optimized by using different features of PF: i) modules based on depth-2 nested loops exploit automatic parallelization; ii) laser light ray tracing is partitioned by scheduling parallel ICCG algorithm (executed in parallel by appropiately synchronized parallel subroutines). Performance results are given for separate modules of the code, as well as for typical complete runs

  6. Hybrid Simulation of the Interaction of Europa's Atmosphere with the Jovian Plasma: Multiprocessor Simulations

    Science.gov (United States)

    Dols, V. J.; Delamere, P. A.; Bagenal, F.; Cassidy, T. A.; Crary, F. J.

    2014-12-01

    We model the interaction of Europa's tenuous atmosphere with the plasma of Jupiter's torus with an improved version of our hybrid plasma code. In a hybrid plasma code, the ions are treated as kinetic Macro-particles moving under the Lorentz force and the electrons as a fluid leading to a generalized formulation of Ohm's law. In this version, the spatial simulation domain is decomposed in 2 directions and is non-uniform in the plasma convection direction. The code is run on a multi-processor supercomputer that offers 16416 cores and 2GB Ram per core. This new version allows us to tap into the large memory of the supercomputer and simulate the full interaction volume (Reuropa=1561km) with a high spatial resolution (50km). Compared to Io, Europa's atmosphere is about 100 times more tenuous, the ambient magnetic field is weaker and the density of incident plasma is lower. Consequently, the electrodynamic interaction is also weaker and substantial fluxes of thermal torus ions might reach and sputter the icy surface. Molecular O2 is the dominant atmospheric product of this surface sputtering. Observations of oxygen UV emissions (specifically the ratio of OI 1356A / 1304A emissions) are roughly consistent with an atmosphere that is composed predominantely of O2 with a small amount of atomic O. Galileo observations along flybys close to Europa have revealed the existence of induced currents in a conducting ocean under the icy crust. They also showed that, from flyby to flyby, the plasma interaction is very variable. Asymmetries of the plasma density and temperature in the wake of Europa were also observed and still elude a clear explanation. Galileo mag data also detected ion cyclotron waves, which is an indication of heavy ion pickup close to the moon. We prescribe an O2 atmosphere with a vertical density column consistent with UV observations and model the plasma properties along several Galileo flybys of the moon. We compare our results with the magnetometer

  7. Intelligent discrete particle swarm optimization for multiprocessor task scheduling problem

    Directory of Open Access Journals (Sweden)

    S Sarathambekai

    2017-03-01

    Full Text Available Discrete particle swarm optimization is one of the most recently developed population-based meta-heuristic optimization algorithm in swarm intelligence that can be used in any discrete optimization problems. This article presents a discrete particle swarm optimization algorithm to efficiently schedule the tasks in the heterogeneous multiprocessor systems. All the optimization algorithms share a common algorithmic step, namely population initialization. It plays a significant role because it can affect the convergence speed and also the quality of the final solution. The random initialization is the most commonly used method in majority of the evolutionary algorithms to generate solutions in the initial population. The initial good quality solutions can facilitate the algorithm to locate the optimal solution or else it may prevent the algorithm from finding the optimal solution. Intelligence should be incorporated to generate the initial population in order to avoid the premature convergence. This article presents a discrete particle swarm optimization algorithm, which incorporates opposition-based technique to generate initial population and greedy algorithm to balance the load of the processors. Make span, flow time, and reliability cost are three different measures used to evaluate the efficiency of the proposed discrete particle swarm optimization algorithm for scheduling independent tasks in distributed systems. Computational simulations are done based on a set of benchmark instances to assess the performance of the proposed algorithm.

  8. Numerical fluid flow and heat transfer calculations on multiprocessor systems

    Energy Technology Data Exchange (ETDEWEB)

    Oehman, G.A.; Malen, T.E.; Kuusela, P.

    1989-01-01

    The first part of the report presents the basic principles of parallel processing, and factors influencing tbe efficiency of practical applications are discussed. In a multiprocessor computer, different parts of the program code are executed in parallel, i.e. simultaneous with respect to time, on different processors, and thus it becomes possible to decrease the overall computation time by a factor, which in the ideal case is equal to the number of processors. The application study starts from the numerical solution of the twodimesional Laplace equation, which describes the steady heat conduction in a solid plate and advances through the solution of the three dimensional Laplace equation to the case of study laminar fluid flow in a twodimensional box at Reynolds numbers up to 20. Hereby the stream function-vorticity method is first applied and the SIMPLER method. The conventional (sequential) numerical algoritms for these fluid flow and heat transfer problems are found not to be ideally suited for conversion to parallel computation, but sped-up ratios considerably above 50 % of the theoretical maximum are regularly achieved in the runs. The numerical procedures we coded in the OCCAM-2 language and the test runs were performed at who Akademi on the imperimental HATHI-computers containing 16 T4l4 and 100 INMOS T800 transputers respectively.

  9. Numerical fluid flow and heat transfer calculations on multiprocessor systems

    Energy Technology Data Exchange (ETDEWEB)

    Oehman, G.A.; Malen, T.E.; Kuusela, P.

    1989-12-31

    The first part of the report presents the basic principles of parallel processing, and factors influencing tbe efficiency of practical applications are discussed. In a multiprocessor computer, different parts of the program code are executed in parallel, i.e. simultaneous with respect to time, on different processors, and thus it becomes possible to decrease the overall computation time by a factor, which in the ideal case is equal to the number of processors. The application study starts from the numerical solution of the twodimesional Laplace equation, which describes the steady heat conduction in a solid plate and advances through the solution of the three dimensional Laplace equation to the case of study laminar fluid flow in a twodimensional box at Reynolds numbers up to 20. Hereby the stream function-vorticity method is first applied and the SIMPLER method. The conventional (sequential) numerical algoritms for these fluid flow and heat transfer problems are found not to be ideally suited for conversion to parallel computation, but sped-up ratios considerably above 50 % of the theoretical maximum are regularly achieved in the runs. The numerical procedures we coded in the OCCAM-2 language and the test runs were performed at who Akademi on the imperimental HATHI-computers containing 16 T4l4 and 100 INMOS T800 transputers respectively.

  10. Sparse distributed memory overview

    Science.gov (United States)

    Raugh, Mike

    1990-01-01

    The Sparse Distributed Memory (SDM) project is investigating the theory and applications of massively parallel computing architecture, called sparse distributed memory, that will support the storage and retrieval of sensory and motor patterns characteristic of autonomous systems. The immediate objectives of the project are centered in studies of the memory itself and in the use of the memory to solve problems in speech, vision, and robotics. Investigation of methods for encoding sensory data is an important part of the research. Examples of NASA missions that may benefit from this work are Space Station, planetary rovers, and solar exploration. Sparse distributed memory offers promising technology for systems that must learn through experience and be capable of adapting to new circumstances, and for operating any large complex system requiring automatic monitoring and control. Sparse distributed memory is a massively parallel architecture motivated by efforts to understand how the human brain works. Sparse distributed memory is an associative memory, able to retrieve information from cues that only partially match patterns stored in the memory. It is able to store long temporal sequences derived from the behavior of a complex system, such as progressive records of the system's sensory data and correlated records of the system's motor controls.

  11. SMARTS: Exploiting Temporal Locality and Parallelism through Vertical Execution

    International Nuclear Information System (INIS)

    Beckman, P.; Crotinger, J.; Karmesin, S.; Malony, A.; Oldehoeft, R.; Shende, S.; Smith, S.; Vajracharya, S.

    1999-01-01

    In the solution of large-scale numerical prob- lems, parallel computing is becoming simultaneously more important and more difficult. The complex organization of today's multiprocessors with several memory hierarchies has forced the scientific programmer to make a choice between simple but unscalable code and scalable but extremely com- plex code that does not port to other architectures. This paper describes how the SMARTS runtime system and the POOMA C++ class library for high-performance scientific computing work together to exploit data parallelism in scientific applications while hiding the details of manag- ing parallelism and data locality from the user. We present innovative algorithms, based on the macro -dataflow model, for detecting data parallelism and efficiently executing data- parallel statements on shared-memory multiprocessors. We also desclibe how these algorithms can be implemented on clusters of SMPS

  12. SMARTS: Exploiting Temporal Locality and Parallelism through Vertical Execution

    Energy Technology Data Exchange (ETDEWEB)

    Beckman, P.; Crotinger, J.; Karmesin, S.; Malony, A.; Oldehoeft, R.; Shende, S.; Smith, S.; Vajracharya, S.

    1999-01-04

    In the solution of large-scale numerical prob- lems, parallel computing is becoming simultaneously more important and more difficult. The complex organization of today's multiprocessors with several memory hierarchies has forced the scientific programmer to make a choice between simple but unscalable code and scalable but extremely com- plex code that does not port to other architectures. This paper describes how the SMARTS runtime system and the POOMA C++ class library for high-performance scientific computing work together to exploit data parallelism in scientific applications while hiding the details of manag- ing parallelism and data locality from the user. We present innovative algorithms, based on the macro -dataflow model, for detecting data parallelism and efficiently executing data- parallel statements on shared-memory multiprocessors. We also desclibe how these algorithms can be implemented on clusters of SMPS.

  13. Partial reconstitution of virus-specific memory CD8+ T cells following whole body γ-irradiation

    International Nuclear Information System (INIS)

    Grayson, Jason M.; Laniewski, Nathan G.; Holbrook, Beth C.

    2006-01-01

    CD8 + memory T cells are critical in providing immunity to viral infection. Previous studies documented that antigen-specific CD8 + memory T cells are more resistant to radiation-induced apoptosis than naive T cells. Here, we determined the number and in vivo function of memory CD8 + T cells as immune reconstitution progressed following irradiation. Immediately following irradiation, the number of memory CD8 + T cells declined 80%. As reconstitution progressed, the number of memory cells reached a zenith at 33% of pre-irradiation levels, and was maintained for 120 days post-irradiation. In vitro, memory CD8 + T cells were able to produce cytokines at all times post-irradiation, but when adoptively transferred, they were not able to expand upon rechallenge immediately following irradiation, but regained this ability as reconstitution progressed. When proliferation was examined in vitro, irradiated memory CD8 + T cells were able to respond to mitogenic growth but were unable to divide

  14. Episodic memory in nonhuman animals.

    Science.gov (United States)

    Templer, Victoria L; Hampton, Robert R

    2013-09-09

    Episodic memories differ from other types of memory because they represent aspects of the past not present in other memories, such as the time, place, or social context in which the memories were formed. Focus on phenomenal experience in human memory, such as the sense of 'having been there', has resulted in conceptualizations of episodic memory that are difficult or impossible to apply to nonhuman species. It is therefore a significant challenge for investigators to agree on objective behavioral criteria that can be applied in nonhuman animals and still capture features of memory thought to be critical in humans. Some investigators have attempted to use neurobiological parallels to bridge this gap; however, defining memory types on the basis of the brain structures involved rather than on identified cognitive mechanisms risks missing crucial functional aspects of episodic memory, which are ultimately behavioral. The most productive way forward is likely a combination of neurobiology and sophisticated cognitive testing that identifies the mental representations present in episodic memory. Investigators that have refined their approach from asking the naïve question "do nonhuman animals have episodic memory" to instead asking "what aspects of episodic memory are shared by humans and nonhumans" are making progress. Copyright © 2013 Elsevier Ltd. All rights reserved.

  15. Self-perceived memory complaints predict progression to Alzheimer disease. The LADIS study

    DEFF Research Database (Denmark)

    Verdelho, Ana; Madureira, Sofia; Moleiro, Carla

    2011-01-01

    the follow-up (ß = 2.7, p = 0.008; HR = 15.5, CI 95% [2.04, 117.6]), independently of other confounders, namely depressive symptoms, WMC severity, medial temporal lobe atrophy, and global cognition status at baseline. Self perceived memory complaints did not predict vascular dementia. In the LADIS study......Memory complaints are frequent in the elderly but its implications in cognition over time remain a controversial issue. Our objective was to evaluate the risk of self perceived memory complaints in the evolution for future dementia. The LADIS (Leukoaraiosis and Disability) prospective multinational...... battery. Dementia and subtypes of dementia were classified. Self perceived memory complaints in independent elderly were collected during the interview. MRI was performed at entry and at the end of the study. 639 subjects were included (74.1 ± 5 years old, 55% women, 9.6 ± 3.8 years of schooling). At end...

  16. Scheduling for energy and reliability management on multiprocessor real-time systems

    Science.gov (United States)

    Qi, Xuan

    Scheduling algorithms for multiprocessor real-time systems have been studied for years with many well-recognized algorithms proposed. However, it is still an evolving research area and many problems remain open due to their intrinsic complexities. With the emergence of multicore processors, it is necessary to re-investigate the scheduling problems and design/develop efficient algorithms for better system utilization, low scheduling overhead, high energy efficiency, and better system reliability. Focusing cluster schedulings with optimal global schedulers, we study the utilization bound and scheduling overhead for a class of cluster-optimal schedulers. Then, taking energy/power consumption into consideration, we developed energy-efficient scheduling algorithms for real-time systems, especially for the proliferating embedded systems with limited energy budget. As the commonly deployed energy-saving technique (e.g. dynamic voltage frequency scaling (DVFS)) will significantly affect system reliability, we study schedulers that have intelligent mechanisms to recuperate system reliability to satisfy the quality assurance requirements. Extensive simulation is conducted to evaluate the performance of the proposed algorithms on reduction of scheduling overhead, energy saving, and reliability improvement. The simulation results show that the proposed reliability-aware power management schemes could preserve the system reliability while still achieving substantial energy saving.

  17. Implementation and Performance of Munin

    OpenAIRE

    Bennett, J.K.; Carter, J.B.; Zwaenepoel, W

    1991-01-01

    Munin is a distributed shared memory (DSM) system that allows shared memory paral­lel programs to be executed efficiently on distributed memory multiprocessors. Munin is unique among existing DSM systems in its use of multiple consistency protocols and in its use of release consistency. In Munin, shared program variables are annotated with their expected access pattern, and these annotations are then used by the runtime system to choose a consistency protocol best suited to that access patt...

  18. The scalable coherent interface, IEEE P1596

    International Nuclear Information System (INIS)

    Gustavson, D.B.

    1990-01-01

    IEEE P1596, the scalable coherent interface (formerly known as SuperBus) is based on experience gained while developing Fastbus (ANSI/IEEE 960--1986, IEC 935), Futurebus (IEEE P896.x) and other modern 32-bit buses. SCI goals include a minimum bandwidth of 1 GByte/sec per processor in multiprocessor systems with thousands of processors; efficient support of a coherent distributed-cache image of distributed shared memory; support for repeaters which interface to existing or future buses; and support for inexpensive small rings as well as for general switched interconnections like Banyan, Omega, or crossbar networks. This paper presents a summary of current directions, reports the status of the work in progress, and suggests some applications in data acquisition and physics

  19. The scalable coherent interface, IEEE P1596, status and possible applications to data acquisition and physics

    International Nuclear Information System (INIS)

    Gustavson, D.B.

    1990-01-01

    IEEE P1596, the Scalable Coherent Interface (formerly known as SuperBus) is based on experience gained while developing Fastbus (ANSI/IEEE 960-1986, IEC 935), Futurebus (IEEE P896.x) and other modern 32-bit buses. SCI goals include a minimum bandwidth of 1 GByte/sec per processor in multiprocessor systems with thousands of processors; efficient support of a coherent distributed-cache image of distributed shared memory; support for repeaters which interface to existing or future buses; and support for inexpensive small rings as well as for general switched interconnections like Banyan, Omega, or crossbar networks. This paper presents a summary of current directions, reports the status of the work in progress, and suggests some applications in data acquisition and physics. 7 refs

  20. An efficient communication scheme for solving Sn equations on message-passing multiprocessors

    International Nuclear Information System (INIS)

    Azmy, Y.Y.

    1993-01-01

    Early models of Intel's hypercube multiprocessors, e.g., the iPSC/1 and iPSC/2, were characterized by the high latency of message passing. This relatively weak dependence of the communication penalty on the size of messages, in contrast to its strong dependence on the number of messages, justified using the Fan-in Fan-out algorithm (which implements a minimum spanning tree path) to perform global operations, such as global sums, etc. Recent models of message-passing computers, such as the iPSC/860 and the Paragon, have been found to possess much smaller latency, thus forcing a reexamination of the issue of performance optimization with respect to communication schemes. Essentially, the Fan-in Fan-out scheme minimizes the number of nonsimultaneous messages sent but not the volume of data traffic across the network. Furthermore, if a global operation is performed in conjunction with the message passing, a large fraction of the attached nodes remains idle as the number of utilized processors is halved in each step of the process. On the other hand, the Recursive Halving scheme offers the smallest communication cost for global operations but has some drawbacks

  1. Programming parallel architectures: The BLAZE family of languages

    Science.gov (United States)

    Mehrotra, Piyush

    1988-01-01

    Programming multiprocessor architectures is a critical research issue. An overview is given of the various approaches to programming these architectures that are currently being explored. It is argued that two of these approaches, interactive programming environments and functional parallel languages, are particularly attractive since they remove much of the burden of exploiting parallel architectures from the user. Also described is recent work by the author in the design of parallel languages. Research on languages for both shared and nonshared memory multiprocessors is described, as well as the relations of this work to other current language research projects.

  2. The 1988 Leti Division progress report

    International Nuclear Information System (INIS)

    Anon.

    1988-01-01

    The 1988 progress report of the CEA's LETI Division (Division of Electronics, Technology and Instrumentation, France) is presented. The missions of LETI Division involve military and nuclear applications of electronics and fundamental research. The research programs developed in 1988 are the following: materials and components, non-volatile silicon memories, silicon-over-insulator, integrated circuits technologies, common experimental laboratory (opened to the European community), mass memories, photodetectors, micron sensors and flat screens [fr

  3. The radish gene reveals a memory component with variable temporal properties.

    Directory of Open Access Journals (Sweden)

    Holly LaFerriere

    Full Text Available Memory phases, dependent on different neural and molecular mechanisms, strongly influence memory performance. Our understanding, however, of how memory phases interact is far from complete. In Drosophila, aversive olfactory learning is thought to progress from short-term through long-term memory phases. Another memory phase termed anesthesia resistant memory, dependent on the radish gene, influences memory hours after aversive olfactory learning. How does the radish-dependent phase influence memory performance in different tasks? It is found that the radish memory component does not scale with the stability of several memory traces, indicating a specific recruitment of this component to influence different memories, even within minutes of learning.

  4. The discrete-dipole-approximation code ADDA: capabilities and known limitations

    NARCIS (Netherlands)

    Yurkin, M.A.; Hoekstra, A.G.

    2011-01-01

    The open-source code ADDA is described, which implements the discrete dipole approximation (DDA), a method to simulate light scattering by finite 3D objects of arbitrary shape and composition. Besides standard sequential execution, ADDA can run on a multiprocessor distributed-memory system,

  5. Memory NK cells: why do they reside in the liver?

    OpenAIRE

    Jiang, Xiaojun; Chen, Yonglin; Peng, Hui; Tian, Zhigang

    2013-01-01

    Immune memory is the hallmark of adaptive immunity. However, recent studies have shown that natural killer (NK) cells, key components of the innate immune system, also mediate memory responses in mice and humans. Strikingly, memory NK cells were liver-resident in some models, raising the question as to whether the liver is a special organ for the acquisition of NK cell memory. Here, we review the characteristics of NK cell memory by summarizing recent progress and discuss how the liver may ge...

  6. The Association of Aging and Aerobic Fitness With Memory

    Directory of Open Access Journals (Sweden)

    Alexis M. Bullock

    2018-03-01

    Full Text Available The present study examined the differential effects of aging and fitness on memory. Ninety-five young adults (YA and 81 older adults (OA performed the Mnemonic Similarity Task (MST to assess high-interference memory and general recognition memory. Age-related differences in high-interference memory were observed across the lifespan, with performance progressively worsening from young to old. In contrast, age-related differences in general recognition memory were not observed until after 60 years of age. Furthermore, OA with higher aerobic fitness had better high-interference memory, suggesting that exercise may be an important lifestyle factor influencing this aspect of memory. Overall, these findings suggest different trajectories of decline for high-interference and general recognition memory, with a selective role for physical activity in promoting high-interference memory.

  7. How does negative emotion cause false memories?

    Science.gov (United States)

    Brainerd, C J; Stein, L M; Silveira, R A; Rohenkohl, G; Reyna, V F

    2008-09-01

    Remembering negative events can stimulate high levels of false memory, relative to remembering neutral events. In experiments in which the emotional valence of encoded materials was manipulated with their arousal levels controlled, valence produced a continuum of memory falsification. Falsification was highest for negative materials, intermediate for neutral materials, and lowest for positive materials. Conjoint-recognition analysis produced a simple process-level explanation: As one progresses from positive to neutral to negative valence, false memory increases because (a) the perceived meaning resemblance between false and true items increases and (b) subjects are less able to use verbatim memories of true items to suppress errors.

  8. [Progressive visual agnosia].

    Science.gov (United States)

    Sugimoto, Azusa; Futamura, Akinori; Kawamura, Mitsuru

    2011-10-01

    Progressive visual agnosia was discovered in the 20th century following the discovery of classical non-progressive visual agnosia. In contrast to the classical type, which is caused by cerebral vascular disease or traumatic injury, progressive visual agnosia is a symptom of neurological degeneration. The condition of progressive visual loss, including visual agnosia, and posterior cerebral atrophy was named posterior cortical atrophy (PCA) by Benson et al. (1988). Progressive visual agnosia is also observed in semantic dementia (SD) and other degenerative diseases, but there is a difference in the subtype of visual agnosia associated with these diseases. Lissauer (1890) classified visual agnosia into apperceptive and associative types, and it in most cases, PCA is associated with the apperceptive type. However, SD patients exhibit symptoms of associative visual agnosia before changing to those of semantic memory disorder. Insights into progressive visual agnosia have helped us understand the visual system and discover how we "perceive" the outer world neuronally, with regard to consciousness. Although PCA is a type of atypical dementia, its diagnosis is important to enable patients to live better lives with appropriate functional support.

  9. Working Memory Training Does Not Improve Intelligence in Healthy Young Adults

    Science.gov (United States)

    Chooi, Weng-Tink; Thompson, Lee A.

    2012-01-01

    Jaeggi and her colleagues claimed that they were able to improve fluid intelligence by training working memory. Subjects who trained their working memory on a dual n-back task for a period of time showed significant improvements in working memory span tasks and fluid intelligence tests such as the Raven's Progressive Matrices and the Bochumer…

  10. Die-stacking architecture

    CERN Document Server

    Xie, Yuan

    2015-01-01

    The emerging three-dimensional (3D) chip architectures, with their intrinsic capability of reducing the wire length, promise attractive solutions to reduce the delay of interconnects in future microprocessors. 3D memory stacking enables much higher memory bandwidth for future chip-multiprocessor design, mitigating the ""memory wall"" problem. In addition, heterogenous integration enabled by 3D technology can also result in innovative designs for future microprocessors. This book first provides a brief introduction to this emerging technology, and then presents a variety of approaches to design

  11. Towards realising high-speed large-bandwidth quantum memory

    Institute of Scientific and Technical Information of China (English)

    SHI BaoSen; DING DongSheng

    2016-01-01

    Indispensable for quantum communication and quantum computation,quantum memory executes on demand storage and retrieval of quantum states such as those of a single photon,an entangled pair or squeezed states.Among the various forms of quantum memory,Raman quantum memory has advantages forits broadband and high-speed characteristics,which results in a huge potential for applications in quantum networks and quantum computation.However,realising Raman quantum memory with true single photons and photonic entanglementis challenging.In this review,after briefly introducing the main benchmarks in the development of quantum memory and describing the state of the art,we focus on our recent experimental progress inquantum memorystorage of quantum states using the Raman scheme.

  12. Role of adult neurogenesis in hippocampal-cortical memory consolidation

    Science.gov (United States)

    2014-01-01

    Acquired memory is initially dependent on the hippocampus (HPC) for permanent memory formation. This hippocampal dependency of memory recall progressively decays with time, a process that is associated with a gradual increase in dependency upon cortical structures. This process is commonly referred to as systems consolidation theory. In this paper, we first review how memory becomes hippocampal dependent to cortical dependent with an emphasis on the interactions that occur between the HPC and cortex during systems consolidation. We also review the mechanisms underlying the gradual decay of HPC dependency during systems consolidation from the perspective of memory erasures by adult hippocampal neurogenesis. Finally, we discuss the relationship between systems consolidation and memory precision. PMID:24552281

  13. Models of Verbal Working Memory Capacity: What Does It Take to Make Them Work?

    Science.gov (United States)

    Cowan, Nelson; Rouder, Jeffrey N.; Blume, Christopher L.; Saults, J. Scott

    2012-01-01

    Theories of working memory (WM) capacity limits will be more useful when we know what aspects of performance are governed by the limits and what aspects are governed by other memory mechanisms. Whereas considerable progress has been made on models of WM capacity limits for visual arrays of separate objects, less progress has been made in…

  14. Everyday episodic memory in amnestic mild cognitive impairment: a preliminary investigation.

    Science.gov (United States)

    Irish, Muireann; Lawlor, Brian A; Coen, Robert F; O'Mara, Shane M

    2011-08-04

    Decline in episodic memory is one of the hallmark features of Alzheimer's disease (AD) and is also a defining feature of amnestic Mild Cognitive Impairment (MCI), which is posited as a potential prodrome of AD. While deficits in episodic memory are well documented in MCI, the nature of this impairment remains relatively under-researched, particularly for those domains with direct relevance and meaning for the patient's daily life. In order to fully explore the impact of disruption to the episodic memory system on everyday memory in MCI, we examined participants' episodic memory capacity using a battery of experimental tasks with real-world relevance. We investigated episodic acquisition and delayed recall (story-memory), associative memory (face-name pairings), spatial memory (route learning and recall), and memory for everyday mundane events in 16 amnestic MCI and 18 control participants. Furthermore, we followed MCI participants longitudinally to gain preliminary evidence regarding the possible predictive efficacy of these real-world episodic memory tasks for subsequent conversion to AD. The most discriminating tests at baseline were measures of acquisition, delayed recall, and associative memory, followed by everyday memory, and spatial memory tasks, with MCI patients scoring significantly lower than controls. At follow-up (mean time elapsed: 22.4 months), 6 MCI cases had progressed to clinically probable AD. Exploratory logistic regression analyses revealed that delayed associative memory performance at baseline was a potential predictor of subsequent conversion to AD. As a preliminary study, our findings suggest that simple associative memory paradigms with real-world relevance represent an important line of enquiry in future longitudinal studies charting MCI progression over time.

  15. Everyday episodic memory in amnestic mild cognitive impairment: a preliminary investigation

    Directory of Open Access Journals (Sweden)

    Lawlor Brian A

    2011-08-01

    Full Text Available Abstract Background Decline in episodic memory is one of the hallmark features of Alzheimer's disease (AD and is also a defining feature of amnestic Mild Cognitive Impairment (MCI, which is posited as a potential prodrome of AD. While deficits in episodic memory are well documented in MCI, the nature of this impairment remains relatively under-researched, particularly for those domains with direct relevance and meaning for the patient's daily life. In order to fully explore the impact of disruption to the episodic memory system on everyday memory in MCI, we examined participants' episodic memory capacity using a battery of experimental tasks with real-world relevance. We investigated episodic acquisition and delayed recall (story-memory, associative memory (face-name pairings, spatial memory (route learning and recall, and memory for everyday mundane events in 16 amnestic MCI and 18 control participants. Furthermore, we followed MCI participants longitudinally to gain preliminary evidence regarding the possible predictive efficacy of these real-world episodic memory tasks for subsequent conversion to AD. Results The most discriminating tests at baseline were measures of acquisition, delayed recall, and associative memory, followed by everyday memory, and spatial memory tasks, with MCI patients scoring significantly lower than controls. At follow-up (mean time elapsed: 22.4 months, 6 MCI cases had progressed to clinically probable AD. Exploratory logistic regression analyses revealed that delayed associative memory performance at baseline was a potential predictor of subsequent conversion to AD. Conclusions As a preliminary study, our findings suggest that simple associative memory paradigms with real-world relevance represent an important line of enquiry in future longitudinal studies charting MCI progression over time.

  16. Everyday episodic memory in amnestic Mild Cognitive Impairment: a preliminary investigation

    LENUS (Irish Health Repository)

    Irish, Muireann

    2011-08-04

    Abstract Background Decline in episodic memory is one of the hallmark features of Alzheimer\\'s disease (AD) and is also a defining feature of amnestic Mild Cognitive Impairment (MCI), which is posited as a potential prodrome of AD. While deficits in episodic memory are well documented in MCI, the nature of this impairment remains relatively under-researched, particularly for those domains with direct relevance and meaning for the patient\\'s daily life. In order to fully explore the impact of disruption to the episodic memory system on everyday memory in MCI, we examined participants\\' episodic memory capacity using a battery of experimental tasks with real-world relevance. We investigated episodic acquisition and delayed recall (story-memory), associative memory (face-name pairings), spatial memory (route learning and recall), and memory for everyday mundane events in 16 amnestic MCI and 18 control participants. Furthermore, we followed MCI participants longitudinally to gain preliminary evidence regarding the possible predictive efficacy of these real-world episodic memory tasks for subsequent conversion to AD. Results The most discriminating tests at baseline were measures of acquisition, delayed recall, and associative memory, followed by everyday memory, and spatial memory tasks, with MCI patients scoring significantly lower than controls. At follow-up (mean time elapsed: 22.4 months), 6 MCI cases had progressed to clinically probable AD. Exploratory logistic regression analyses revealed that delayed associative memory performance at baseline was a potential predictor of subsequent conversion to AD. Conclusions As a preliminary study, our findings suggest that simple associative memory paradigms with real-world relevance represent an important line of enquiry in future longitudinal studies charting MCI progression over time.

  17. Memory NK cells: why do they reside in the liver?

    Science.gov (United States)

    Jiang, Xiaojun; Chen, Yonglin; Peng, Hui; Tian, Zhigang

    2013-05-01

    Immune memory is the hallmark of adaptive immunity. However, recent studies have shown that natural killer (NK) cells, key components of the innate immune system, also mediate memory responses in mice and humans. Strikingly, memory NK cells were liver-resident in some models, raising the question as to whether the liver is a special organ for the acquisition of NK cell memory. Here, we review the characteristics of NK cell memory by summarizing recent progress and discuss how the liver may generate both the initiation and the recall phase of memory. We propose that the liver may have unique precursors for memory NK cells, which are developmentally distinct from NK cells derived from bone marrow.

  18. A single standard for memory: the case for reconsolidation.

    Science.gov (United States)

    Nader, Karim; Hardt, Oliver

    2009-03-01

    Consolidated memories can re-enter states of transient instability following reactivation, from which they must again stabilize in order to persist, contradicting the previously dominant view that memory and its associated plasticity mechanisms progressively and irreversibly decline with time. We witness exciting times, as neuroscience begins embracing a position, long-held in cognitive psychology, that recognizes memory as a principally dynamic process. In light of remaining controversy, we here establish that the same operational definitions and types of evidence underpin the deduction of both reconsolidation and consolidation, thus validating the extrapolation that post-retrieval memory plasticity reflects processes akin to those that stabilized the memory following acquisition.

  19. The heterogeneity and natural history of mild cognitive impairment of visual memory predominant type.

    Science.gov (United States)

    Ye, Byoung Seok; Chin, Juhee; Kim, Seong Yoon; Lee, Jung-Sun; Kim, Eun-Joo; Lee, Yunhwan; Hong, Chang Hyung; Choi, Seong Hye; Park, Kyung Won; Ku, Bon D; Moon, So Young; Kim, SangYun; Han, Seol-Hee; Lee, Jae-Hong; Cheong, Hae-Kwan; Park, Sun Ah; Jeong, Jee Hyang; Na, Duk L; Seo, Sang Won

    2015-01-01

    We evaluate the longitudinal outcomes of amnestic mild cognitive impairment (aMCI) according to the modality of memory impairment involved. We recruited 788 aMCI patients and followed them up. aMCI patients were categorized into three groups according to the modality of memory impairment: Visual-aMCI, only visual memory impaired; Verbal-aMCI, only verbal memory impaired; and Both-aMCI, both visual and verbal memory impaired. Each aMCI group was further categorized according to the presence or absence of recognition failure. Risk of progression to dementia was compared with pooled logistic regression analyses while controlling for age, gender, education, and interval from baseline. Of the sample, 219 (27.8%) aMCI patients progressed to dementia. Compared to the Visual-aMCI group, Verbal-aMCI (OR = 1.98, 95% CI = 1.19-3.28, p = 0.009) and Both-aMCI (OR = 3.05, 95% CI = 1.97-4.71, p Memory recognition failure was associated with increased risk of progression to dementia only in the Visual-aMCI group, but not in the Verbal-aMCI and Both-aMCI groups. The Visual-aMCI without recognition failure group were subcategorized into aMCI with depression, small vessel disease, or accelerated aging, and these subgroups showed a variety of progression rates. Our findings underlined the importance of heterogeneous longitudinal outcomes of aMCI, especially Visual-aMCI, for designing and interpreting future treatment trials in aMCI.

  20. The FORCE: A highly portable parallel programming language

    Science.gov (United States)

    Jordan, Harry F.; Benten, Muhammad S.; Alaghband, Gita; Jakob, Ruediger

    1989-01-01

    Here, it is explained why the FORCE parallel programming language is easily portable among six different shared-memory microprocessors, and how a two-level macro preprocessor makes it possible to hide low level machine dependencies and to build machine-independent high level constructs on top of them. These FORCE constructs make it possible to write portable parallel programs largely independent of the number of processes and the specific shared memory multiprocessor executing them.

  1. Conversational assessment in memory clinic encounters: interactional profiling for differentiating dementia from functional memory disorders.

    Science.gov (United States)

    Jones, Danielle; Drew, Paul; Elsey, Christopher; Blackburn, Daniel; Wakefield, Sarah; Harkness, Kirsty; Reuber, Markus

    2016-01-01

    In the UK dementia is under-diagnosed, there is limited access to specialist memory clinics, and many of the patients referred to such clinics are ultimately found to have functional (non-progressive) memory disorders (FMD), rather than a neurodegenerative disorder. Government initiatives on 'timely diagnosis' aim to improve the rate and quality of diagnosis for those with dementia. This study seeks to improve the screening and diagnostic process by analysing communication between clinicians and patients during initial specialist clinic visits. Establishing differential conversational profiles could help the timely differential diagnosis of memory complaints. This study is based on video- and audio recordings of 25 initial consultations between neurologists and patients referred to a UK memory clinic. Conversation analysis was used to explore recurrent communicative practices associated with each diagnostic group. Two discrete conversational profiles began to emerge, to help differentiate between patients with dementia and functional memory complaints, based on (1) whether the patient is able to answer questions about personal information; (2) whether they can display working memory in interaction; (3) whether they are able to respond to compound questions; (4) the time taken to respond to questions; and (5) the level of detail they offer when providing an account of their memory failure experiences. The distinctive conversational profiles observed in patients with functional memory complaints on the one hand and neurodegenerative memory conditions on the other suggest that conversational profiling can support the differential diagnosis of functional and neurodegenerative memory disorders.

  2. Some neglected contributions of Wilhelm Wundt to the psychology of memory.

    Science.gov (United States)

    Carpenter, Shana K

    2005-08-01

    Wilhelm Wundt, whose name is rarely associated with the scientific study of memory, conducted a number of memory experiments that appear to have escaped the awareness of modern cognitive psychologists. Aspects of Wundt's system are reviewed, particularly with respect to his experimental work on memory. Wundt investigated phenomena that would fall under the modern headings of iconic memory, short-term memory, and the enactment and generation effects, but this research has been neglected. Revisiting the Wundtian perspective may provide insight into some of the reasons behind the historical course of memory research and in general into the progress of science in psychology.

  3. Individual differences in false memory from misinformation: cognitive factors.

    Science.gov (United States)

    Zhu, Bi; Chen, Chuansheng; Loftus, Elizabeth F; Lin, Chongde; He, Qinghua; Chen, Chunhui; Li, He; Xue, Gui; Lu, Zhonglin; Dong, Qi

    2010-07-01

    This research investigated the cognitive correlates of false memories that are induced by the misinformation paradigm. A large sample of Chinese college students (N=436) participated in a misinformation procedure and also took a battery of cognitive tests. Results revealed sizable and systematic individual differences in false memory arising from exposure to misinformation. False memories were significantly and negatively correlated with measures of intelligence (measured with Raven's Advanced Progressive Matrices and Wechsler Adult Intelligence Scale), perception (Motor-Free Visual Perception Test, Change Blindness, and Tone Discrimination), memory (Wechsler Memory Scales and 2-back Working Memory tasks), and face judgement (Face Recognition and Facial Expression Recognition). These findings suggest that people with relatively low intelligence and poor perceptual abilities might be more susceptible to the misinformation effect.

  4. Molecular mechanisms of memory in imprinting.

    Science.gov (United States)

    Solomonia, Revaz O; McCabe, Brian J

    2015-03-01

    Converging evidence implicates the intermediate and medial mesopallium (IMM) of the domestic chick forebrain in memory for a visual imprinting stimulus. During and after imprinting training, neuronal responsiveness in the IMM to the familiar stimulus exhibits a distinct temporal profile, suggesting several memory phases. We discuss the temporal progression of learning-related biochemical changes in the IMM, relative to the start of this electrophysiological profile. c-fos gene expression increases <15 min after training onset, followed by a learning-related increase in Fos expression, in neurons immunopositive for GABA, taurine and parvalbumin (not calbindin). Approximately simultaneously or shortly after, there are increases in phosphorylation level of glutamate (AMPA) receptor subunits and in releasable neurotransmitter pools of GABA and taurine. Later, the mean area of spine synapse post-synaptic densities, N-methyl-D-aspartate receptor number and phosphorylation level of further synaptic proteins are elevated. After ∼ 15 h, learning-related changes in amounts of several synaptic proteins are observed. The results indicate progression from transient/labile to trophic synaptic modification, culminating in stable recognition memory. Crown Copyright © 2014. Published by Elsevier Ltd. All rights reserved.

  5. Overview of emerging nonvolatile memory technologies.

    Science.gov (United States)

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    class of memory technologies and scaling of scientific procedures based on an investigation of recent progress in advanced Flash memory devices.

  6. Overview of emerging nonvolatile memory technologies

    Science.gov (United States)

    2014-01-01

    class of memory technologies and scaling of scientific procedures based on an investigation of recent progress in advanced Flash memory devices. PMID:25278820

  7. Apparatus for multiprocessor-based control of a multiagent robot

    Science.gov (United States)

    Peters, II, Richard Alan (Inventor)

    2009-01-01

    An architecture for robot intelligence enables a robot to learn new behaviors and create new behavior sequences autonomously and interact with a dynamically changing environment. Sensory information is mapped onto a Sensory Ego-Sphere (SES) that rapidly identifies important changes in the environment and functions much like short term memory. Behaviors are stored in a DBAM that creates an active map from the robot's current state to a goal state and functions much like long term memory. A dream state converts recent activities stored in the SES and creates or modifies behaviors in the DBAM.

  8. Transformation-Induced Creep and Creep Recovery of Shape Memory Alloy.

    Science.gov (United States)

    Takeda, Kohei; Tobushi, Hisaaki; Pieczyska, Elzbieta A

    2012-05-22

    If the shape memory alloy is subjected to the subloop loading under the stress-controlled condition, creep and creep recovery can appear based on the martensitic transformation. In the design of shape memory alloy elements, these deformation properties are important since the deflection of shape memory alloy elements can change under constant stress. The conditions for the progress of the martensitic transformation are discussed based on the kinetics of the martensitic transformation for the shape memory alloy. During loading under constant stress rate, temperature increases due to the stress-induced martensitic transformation. If stress is held constant during the martensitic transformation stage in the loading process, temperature decreases and the condition for the progress of the martensitic transformation is satisfied, resulting in the transformation-induced creep deformation. If stress is held constant during the reverse transformation stage in the unloading process, creep recovery appears due to the reverse transformation. The details for these thermomechanical properties are investigated experimentally for TiNi shape memory alloy, which is most widely used in practical applications. The volume fraction of the martensitic phase increases in proportion to an increase in creep strain.

  9. Age-Related Neurodegeneration and Memory Loss in Down Syndrome

    Directory of Open Access Journals (Sweden)

    Jason P. Lockrow

    2012-01-01

    Full Text Available Down syndrome (DS is a condition where a complete or segmental chromosome 21 trisomy causes variable intellectual disability, and progressive memory loss and neurodegeneration with age. Many research groups have examined development of the brain in DS individuals, but studies on age-related changes should also be considered, with the increased lifespan observed in DS. DS leads to pathological hallmarks of Alzheimer's disease (AD by 40 or 50 years of age. Progressive age-related memory deficits occurring in both AD and in DS have been connected to degeneration of several neuronal populations, but mechanisms are not fully elucidated. Inflammation and oxidative stress are early events in DS pathology, and focusing on these pathways may lead to development of successful intervention strategies for AD associated with DS. Here we discuss recent findings and potential treatment avenues regarding development of AD neuropathology and memory loss in DS.

  10. Estimating Performance of Single Bus, Shared Memory Multiprocessors

    Science.gov (United States)

    1987-05-01

    Chandy78] K.M. Chandy, C.M. Sauer, "Approximate methods for analyzing queuing network models of computing systems," Computing Surveys, vol10 , no 3...Denning78] P. Denning, J. Buzen, "The operational analysis of queueing network models", Computing Sur- veys, vol10 , no 3, September 1978, pp 225-261

  11. Cache Performance Optimization for SoC Vedio Applications

    OpenAIRE

    Lei Li; Wei Zhang; HuiYao An; Xing Zhang; HuaiQi Zhu

    2014-01-01

    Chip Multiprocessors (CMPs) are adopted by industry to deal with the speed limit of the single-processor. But memory access has become the bottleneck of the performance, especially in multimedia applications. In this paper, a set of management policies is proposed to improve the cache performance for a SoC platform of video application. By analyzing the behavior of Vedio Engine, the memory-friendly writeback and efficient prefetch policies are adopted. The experiment platform is simulated by ...

  12. Composable local memory organisation for streaming applications on embedded MPSoCs

    NARCIS (Netherlands)

    Ambrose, J.; Molnos, A.; Nelson, A.; Cotofana, S.; Goossens, K.G.W.; Juurlink, B.

    2011-01-01

    Multi-Processor Systems on a Chip (MPSoCs) are suitable platforms for the implementation of complex embedded applications. An MPSoC is composable if the functional and temporal behaviour of each application is independent of the absence or presence of other applications. Composability is required

  13. Coaching positively influences the effects of working memory training on visual working memory as well as mathematical ability.

    Science.gov (United States)

    Nelwan, Michel; Vissers, Constance; Kroesbergen, Evelyn H

    2018-05-01

    The goal of the present study was to test whether the amount of coaching influenced the results of working memory training on both visual and verbal working memory. Additionally, the effects of the working memory training on the amount of progress after specific training in mathematics were evaluated. In this study, 23 children between 9 and 12 years of age with both attentional and mathematical difficulties participated in a working memory training program with a high amount of coaching, while another 25 children received no working memory training. Results of these groups were compared to 21 children who completed the training with a lower amount of coaching. The quality of working memory, as well as mathematic skills, were measured three times using untrained transfer tasks. Bayesian statistics were used to test informative hypotheses. After receiving working memory training, the highly coached group performed better than the group that received less coaching on visual working memory and mathematics, but not on verbal working memory. The highly coached group retained their advantage in mathematics, even though the effect on visual working memory decreased. However, no added effect of working memory training was found on the learning curve during mathematical training. Moreover, the less-coached group was outperformed by the group that did not receive working memory training, both in visual working memory and mathematics. These results suggest that motivation and proper coaching might be crucial for ensuring compliance and effects of working memory training, and that far transfer might be possible. Copyright © 2018 Elsevier Ltd. All rights reserved.

  14. Spatial memory and animal movement.

    Science.gov (United States)

    Fagan, William F; Lewis, Mark A; Auger-Méthé, Marie; Avgar, Tal; Benhamou, Simon; Breed, Greg; LaDage, Lara; Schlägel, Ulrike E; Tang, Wen-wu; Papastamatiou, Yannis P; Forester, James; Mueller, Thomas

    2013-10-01

    Memory is critical to understanding animal movement but has proven challenging to study. Advances in animal tracking technology, theoretical movement models and cognitive sciences have facilitated research in each of these fields, but also created a need for synthetic examination of the linkages between memory and animal movement. Here, we draw together research from several disciplines to understand the relationship between animal memory and movement processes. First, we frame the problem in terms of the characteristics, costs and benefits of memory as outlined in psychology and neuroscience. Next, we provide an overview of the theories and conceptual frameworks that have emerged from behavioural ecology and animal cognition. Third, we turn to movement ecology and summarise recent, rapid developments in the types and quantities of available movement data, and in the statistical measures applicable to such data. Fourth, we discuss the advantages and interrelationships of diverse modelling approaches that have been used to explore the memory-movement interface. Finally, we outline key research challenges for the memory and movement communities, focusing on data needs and mathematical and computational challenges. We conclude with a roadmap for future work in this area, outlining axes along which focused research should yield rapid progress. © 2013 John Wiley & Sons Ltd/CNRS.

  15. Working-memory training improves developmental dyslexia in Chinese children

    Institute of Scientific and Technical Information of China (English)

    Yan Luo; Jing Wang; Hanrong Wu; Dongmei Zhu; Yu Zhang

    2013-01-01

    Although plasticity in the neural system underlies working memory, and working memory can be improved by training, there is thus far no evidence that children with developmental dyslexia can were recruited from an elementary school in Wuhan, China. They received working-memory training, including training in visuospatial memory, verbal memory, and central executive tasks. The difficulty of the tasks was adjusted based on the performance of each subject, and the training sessions lasted 40 minutes per day, for 5 weeks. The results showed that working-memory training significantly enhanced performance on the nontrained working memory tasks such as the visuospatial, the verbal domains, and central executive tasks in children with developmental dyslexia. More importantly, the visual rhyming task and reading fluency task were also significantly improved by training. Progress on working memory measures was related to changes in reading skills. These experimental findings indicate that working memory is a pivotal factor in reading development among children with developmental dyslexia, and interventions to improve working memory may help dyslexic children to become more proficient in reading.

  16. Perspectives on Episodic-Like and Episodic Memory

    Science.gov (United States)

    Pause, Bettina M.; Zlomuzica, Armin; Kinugawa, Kiyoka; Mariani, Jean; Pietrowsky, Reinhard; Dere, Ekrem

    2013-01-01

    Episodic memory refers to the conscious recollection of a personal experience that contains information on what has happened and also where and when it happened. Recollection from episodic memory also implies a kind of first-person subjectivity that has been termed autonoetic consciousness. Episodic memory is extremely sensitive to cerebral aging and neurodegenerative diseases. In Alzheimer’s disease deficits in episodic memory function are among the first cognitive symptoms observed. Furthermore, impaired episodic memory function is also observed in a variety of other neuropsychiatric diseases including dissociative disorders, schizophrenia, and Parkinson disease. Unfortunately, it is quite difficult to induce and measure episodic memories in the laboratory and it is even more difficult to measure it in clinical populations. Presently, the tests used to assess episodic memory function do not comply with even down-sized definitions of episodic-like memory as a memory for what happened, where, and when. They also require sophisticated verbal competences and are difficult to apply to patient populations. In this review, we will summarize the progress made in defining behavioral criteria of episodic-like memory in animals (and humans) as well as the perspectives in developing novel tests of human episodic memory which can also account for phenomenological aspects of episodic memory such as autonoetic awareness. We will also define basic behavioral, procedural, and phenomenological criteria which might be helpful for the development of a valid and reliable clinical test of human episodic memory. PMID:23616754

  17. Silicon spintronics: Progress and challenges

    Energy Technology Data Exchange (ETDEWEB)

    Sverdlov, Viktor; Selberherr, Siegfried, E-mail: Selberherr@TUWien.ac.at

    2015-07-14

    Electron spin attracts much attention as an alternative to the electron charge degree of freedom for low-power reprogrammable logic and non-volatile memory applications. Silicon appears to be the perfect material for spin-driven applications. Recent progress and challenges regarding spin-based devices are reviewed. An order of magnitude enhancement of the electron spin lifetime in silicon thin films by shear strain is predicted and its impact on spin transport in SpinFETs is discussed. A relatively weak coupling between spin and effective electric field in silicon allows magnetoresistance modulation at room temperature, however, for long channel lengths. Due to tunneling magnetoresistance and spin transfer torque effects, a much stronger coupling between the spin (magnetization) orientation and charge current is achieved in magnetic tunnel junctions. Magnetic random access memory (MRAM) built on magnetic tunnel junctions is CMOS compatible and possesses all properties needed for future universal memory. Designs of spin-based non-volatile MRAM cells are presented. By means of micromagnetic simulations it is demonstrated that a substantial reduction of the switching time can be achieved. Finally, it is shown that any two arbitrary memory cells from an MRAM array can be used to perform a logic operation. Thus, an intrinsic non-volatile logic-in-memory architecture can be realized.

  18. Silicon spintronics: Progress and challenges

    International Nuclear Information System (INIS)

    Sverdlov, Viktor; Selberherr, Siegfried

    2015-01-01

    Electron spin attracts much attention as an alternative to the electron charge degree of freedom for low-power reprogrammable logic and non-volatile memory applications. Silicon appears to be the perfect material for spin-driven applications. Recent progress and challenges regarding spin-based devices are reviewed. An order of magnitude enhancement of the electron spin lifetime in silicon thin films by shear strain is predicted and its impact on spin transport in SpinFETs is discussed. A relatively weak coupling between spin and effective electric field in silicon allows magnetoresistance modulation at room temperature, however, for long channel lengths. Due to tunneling magnetoresistance and spin transfer torque effects, a much stronger coupling between the spin (magnetization) orientation and charge current is achieved in magnetic tunnel junctions. Magnetic random access memory (MRAM) built on magnetic tunnel junctions is CMOS compatible and possesses all properties needed for future universal memory. Designs of spin-based non-volatile MRAM cells are presented. By means of micromagnetic simulations it is demonstrated that a substantial reduction of the switching time can be achieved. Finally, it is shown that any two arbitrary memory cells from an MRAM array can be used to perform a logic operation. Thus, an intrinsic non-volatile logic-in-memory architecture can be realized

  19. How aging affects sleep-dependent memory consolidation?

    Directory of Open Access Journals (Sweden)

    Caroline eHarand

    2012-02-01

    Full Text Available Sleep plays multiple functions among which energy conservation or recuperative processes. Besides, growing evidence indicate that sleep plays also a major role in memory consolidation, a process by which recently acquired and labile memory traces are progressively strengthened into more permanent and/or enhanced forms. Indeed, memories are not stored as they were initially encoded but rather undergo a gradual reorganization process, which is favoured by the neurochemical environment and the electrophysiological activity observed during sleep. Two putative, probably not exclusive, models (hippocampo-neocortical dialogue and synaptic homeostasis hypothesis have been proposed to explain the beneficial effect of sleep on memory processes. It is worth noting that all data gathered until now emerged from studies conducted in young subjects. The investigation of the relationships between sleep and memory in older adults has sparked off little interest until recently. Though, aging is characterized by memory impairment, changes in sleep architecture, as well as brain and neurochemical alterations. All these elements suggest that sleep-dependent memory consolidation may be impaired or occurs differently in older adults.Here, we give an overview of the mechanisms governing sleep-dependent memory consolidation, and the crucial points of this complex process that may dysfunction and result in impaired memory consolidation in aging.

  20. System Consolidation of Spatial Memories in Mice: Effects of Enriched Environment

    Directory of Open Access Journals (Sweden)

    Joyce Bonaccorsi

    2013-01-01

    Full Text Available Environmental enrichment (EE is known to enhance learning and memory. Declarative memories are thought to undergo a first rapid and local consolidation process, followed by a prolonged process of system consolidation, which consist in a time-dependent gradual reorganization of brain regions supporting remote memory storage and crucial for the formation of enduring memories. At present, it is not known whether EE can affect the process of declarative memory system consolidation. We characterized the time course of hippocampal and cortical activation following recall of progressively more remote spatial memories. Wild-type mice either exposed to EE for 40 days or left in standard environment were subjected to spatial learning in the Morris water maze and to the probe test 1, 10, 20, 30, and 50 days after learning. Following the probe test, regional expression of the inducible immediate early gene c-Fos was mapped by immunohistochemistry, as an indicator of neuronal activity. We found that activation of the medial prefrontal cortex (mPFC, suggested to have a privileged role in processing remote spatial memories, was evident at shorter time intervals after learning in EE mice; in addition, EE induced the progressive activation of a distributed cortical network not activated in non-EE mice. This suggests that EE not only accelerates the process of mPFC recruitment but also recruits additional cortical areas into the network supporting remote spatial memories.

  1. The neurobiological bases of memory formation: from physiological conditions to psychopathology.

    Science.gov (United States)

    Bisaz, Reto; Travaglia, Alessio; Alberini, Cristina M

    2014-01-01

    The formation of long-term memories is a function necessary for an adaptive survival. In the last two decades, great progress has been made in the understanding of the biological bases of memory formation. The identification of mechanisms necessary for memory consolidation and reconsolidation, the processes by which the posttraining and postretrieval fragile memory traces become stronger and insensitive to disruption, has indicated new approaches for investigating and treating psychopathologies. In this review, we will discuss some key biological mechanisms found to be critical for memory consolidation and strengthening, the role/s and mechanisms of memory reconsolidation, and how the interference with consolidation and/or reconsolidation can modulate the retention and/or storage of memories that are linked to psychopathologies. © 2014 S. Karger AG, Basel.

  2. A heterogeneous multiprocessor architecture for low-power audio signal processing applications

    DEFF Research Database (Denmark)

    Paker, Ozgun; Sparsø, Jens; Haandbæk, Niels

    2001-01-01

    . The processors are tailored for different classes of filtering algorithms (FIR, IIR, N-LMS etc.), and in a typical system the communication among processors occurs at the sampling rate only. The processors are parameterized in word-size, memory-size, etc. and can be instantiated according to the needs...... of the application at hand using a normal synthesis based ASIC design flow. To give an impression of the size of a processor we mention that one of the FIR processors in a prototype design has 16 instructions, a 32 word×16 bit program memory, a 64 word×16 bit data memory and a 25 word×16 bit coefficient memory....... Early results obtained from the design of a prototype chip containing filter processors for a hearing aid application, indicate a power consumption that is an order of magnitude better than current state of the art low-power audio DSPs implemented using full-custom techniques. This is due to: (1...

  3. Hardware for Accelerating N-Modular Redundant Systems for High-Reliability Computing

    Science.gov (United States)

    Dobbs, Carl, Sr.

    2012-01-01

    A hardware unit has been designed that reduces the cost, in terms of performance and power consumption, for implementing N-modular redundancy (NMR) in a multiprocessor device. The innovation monitors transactions to memory, and calculates a form of sumcheck on-the-fly, thereby relieving the processors of calculating the sumcheck in software

  4. MULTITASKER, Multitasking Kernel for C and FORTRAN Under UNIX

    International Nuclear Information System (INIS)

    Brooks, E.D. III

    1988-01-01

    1 - Description of program or function: MULTITASKER implements a multitasking kernel for the C and FORTRAN programming languages that runs under UNIX. The kernel provides a multitasking environment which serves two purposes. The first is to provide an efficient portable environment for the development, debugging, and execution of production multiprocessor programs. The second is to provide a means of evaluating the performance of a multitasking program on model multiprocessor hardware. The performance evaluation features require no changes in the application program source and are implemented as a set of compile- and run-time options in the kernel. 2 - Method of solution: The FORTRAN interface to the kernel is identical in function to the CRI multitasking package provided for the Cray XMP. This provides a migration path to high speed (but small N) multiprocessors once the application has been coded and debugged. With use of the UNIX m4 macro preprocessor, source compatibility can be achieved between the UNIX code development system and the target Cray multiprocessor. The kernel also provides a means of evaluating a program's performance on model multiprocessors. Execution traces may be obtained which allow the user to determine kernel overhead, memory conflicts between various tasks, and the average concurrency being exploited. The kernel may also be made to switch tasks every cpu instruction with a random execution ordering. This allows the user to look for unprotected critical regions in the program. These features, implemented as a set of compile- and run-time options, cause extra execution overhead which is not present in the standard production version of the kernel

  5. GSK-3β and Memory Formation

    Directory of Open Access Journals (Sweden)

    Akihiko eTakashima

    2012-04-01

    Full Text Available In Alzheimer’s disease (AD, tau hyperphosphorylation and neurofibrillary tangle (NFT formation are strongly associated with dementia. Memory impairment is a characteristic, early symptom of AD. Glycogen synthase kinase 3 β (GSK-3β, which is activated in response to amyloid β (Aβ formation, and the normal process of aging, hyperphosphorylates tau present in the NFTs. Furthermore, activation of GSK-3β inhibits synaptic long-term potentiation (LTP through tau. It is therefore likely, that activation of GSK-3β is responsible for the memory problems seen in both advanced age, and AD. Indeed, inhibition of GSK-3 by lithium halts the progression of symptoms in patients with mild cognitive impairment (MCI. However, long-term treatment of lithium increases the risk of dementia in old age, in bipolar patients. To understand the role of GSK-3β in brain function, we analyzed memory formation in GSK-3β heterozygote, knockout mice. Results indicate that these mice show impaired memory reconsolidation. It would seem that activation of GSK-3β is required for memory maintenance, with a higher requirement as animals age, and the volume of memory increases. This in turn causes exaggerated activation of GSK-3β, leading to memory problems, and the formation of NFTs.

  6. Research by design: honouring the Stolen Generation a theoretical anti-memorial

    OpenAIRE

    Sue-Anne Ware

    1999-01-01

    This paper discusses theoretical design frameworks and research methods for contemporary memorial design. It is a case study for research by design, an expanding area of design discourse, which offers an alternative to quantitative research practices and 'scientific' methodologies. It expands upon a design research studio, which explored formal design outcomes for an anti-memorial to The Stolen Generation. This essay focuses on ideas about progressive memorial design which prompts multiple re...

  7. Compiling for Application Specific Computational Acceleration in Reconfigurable Architectures Final Report CRADA No. TSB-2033-01

    Energy Technology Data Exchange (ETDEWEB)

    De Supinski, B. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Caliga, D. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2017-09-28

    The primary objective of this project was to develop memory optimization technology to efficiently deliver data to, and distribute data within, the SRC-6's Field Programmable Gate Array- ("FPGA") based Multi-Adaptive Processors (MAPs). The hardware/software approach was to explore efficient MAP configurations and generate the compiler technology to exploit those configurations. This memory accessing technology represents an important step towards making reconfigurable symmetric multi-processor (SMP) architectures that will be a costeffective solution for large-scale scientific computing.

  8. A parallel row-based algorithm with error control for standard-cell replacement on a hypercube multiprocessor

    Science.gov (United States)

    Sargent, Jeff Scott

    1988-01-01

    A new row-based parallel algorithm for standard-cell placement targeted for execution on a hypercube multiprocessor is presented. Key features of this implementation include a dynamic simulated-annealing schedule, row-partitioning of the VLSI chip image, and two novel new approaches to controlling error in parallel cell-placement algorithms; Heuristic Cell-Coloring and Adaptive (Parallel Move) Sequence Control. Heuristic Cell-Coloring identifies sets of noninteracting cells that can be moved repeatedly, and in parallel, with no buildup of error in the placement cost. Adaptive Sequence Control allows multiple parallel cell moves to take place between global cell-position updates. This feedback mechanism is based on an error bound derived analytically from the traditional annealing move-acceptance profile. Placement results are presented for real industry circuits and the performance is summarized of an implementation on the Intel iPSC/2 Hypercube. The runtime of this algorithm is 5 to 16 times faster than a previous program developed for the Hypercube, while producing equivalent quality placement. An integrated place and route program for the Intel iPSC/2 Hypercube is currently being developed.

  9. Randomized controlled trial evaluating the temporal effects of high-intensity exercise on learning, short-term and long-term memory, and prospective memory.

    Science.gov (United States)

    Frith, Emily; Sng, Eveleen; Loprinzi, Paul D

    2017-11-01

    The broader purpose of this study was to examine the temporal effects of high-intensity exercise on learning, short-term and long-term retrospective memory and prospective memory. Among a sample of 88 young adult participants, 22 were randomized into one of four different groups: exercise before learning, control group, exercise during learning, and exercise after learning. The retrospective assessments (learning, short-term and long-term memory) were assessed using the Rey Auditory Verbal Learning Test. Long-term memory including a 20-min and 24-hr follow-up assessment. Prospective memory was assessed using a time-based procedure by having participants contact (via phone) the researchers at a follow-up time period. The exercise stimulus included a 15-min bout of progressive maximal exertion treadmill exercise. High-intensity exercise prior to memory encoding (vs. exercise during memory encoding or consolidation) was effective in enhancing long-term memory (for both 20-min and 24-h follow-up assessments). We did not observe a differential temporal effect of high-intensity exercise on short-term memory (immediate post-memory encoding), learning or prospective memory. The timing of high-intensity exercise may play an important role in facilitating long-term memory. © 2017 Federation of European Neuroscience Societies and John Wiley & Sons Ltd.

  10. Parallel implementations of 2D explicit Euler solvers

    International Nuclear Information System (INIS)

    Giraud, L.; Manzini, G.

    1996-01-01

    In this work we present a subdomain partitioning strategy applied to an explicit high-resolution Euler solver. We describe the design of a portable parallel multi-domain code suitable for parallel environments. We present several implementations on a representative range of MlMD computers that include shared memory multiprocessors, distributed virtual shared memory computers, as well as networks of workstations. Computational results are given to illustrate the efficiency, the scalability, and the limitations of the different approaches. We discuss also the effect of the communication protocol on the optimal domain partitioning strategy for the distributed memory computers

  11. Short-term memory coding in children with intellectual disabilities.

    Science.gov (United States)

    Henry, Lucy

    2008-05-01

    To examine visual and verbal coding strategies, I asked children with intellectual disabilities and peers matched for MA and CA to perform picture memory span tasks with phonologically similar, visually similar, long, or nonsimilar named items. The CA group showed effects consistent with advanced verbal memory coding (phonological similarity and word length effects). Neither the intellectual disabilities nor MA groups showed evidence for memory coding strategies. However, children in these groups with MAs above 6 years showed significant visual similarity and word length effects, broadly consistent with an intermediate stage of dual visual and verbal coding. These results suggest that developmental progressions in memory coding strategies are independent of intellectual disabilities status and consistent with MA.

  12. Phenomenological characterization of memory complaints in preclinical and prodromal Alzheimer's disease.

    Science.gov (United States)

    Buckley, Rachel F; Ellis, Kathryn A; Ames, David; Rowe, Christopher C; Lautenschlager, Nicola T; Maruff, Paul; Villemagne, Victor L; Macaulay, S Lance; Szoeke, Cassandra; Martins, Ralph N; Masters, Colin L; Savage, Greg; Rainey-Smith, Stephanie R; Rembach, Alan; Saling, Michael M

    2015-07-01

    To explore the subjective experience of memory change in groups at risk of dementia (those with mild cognitive impairment MCI or high β-amyloid (Aβ+) burden) to determine the existence of potential phenomenological typologies. We recruited 123 healthy controls (HC) and individuals with MCI from the Australian Imaging, Biomarker and Lifestyle (AIBL) study. Sixty-7 (HC = 47,MCI = 20) had Aβ scans available for analysis. Semistructured interviews were administered, transcribed, and meaningful phrases extracted from transcripts. Twelve themes were defined and compared across diagnostic status and Aβ status. MCI endorsed more complaints of burdensome coping strategies, increasing frequency, sense of predomination, poor contextualization, progression, dependency, impact on affect, and dismissive attitudes. HCAβ+ acknowledged a progressive memory decline compared to HCAβ-, while MCIAβ+ expressed more burdensome coping strategies, dismissive attitudes, and dependency comparative to either healthy group. Depression was more likely to be related to complaint themes in HCs, while complaint themes were associated with poorer list-learning performance in individuals with MCI. Complaint themes in those with MCI align with the MCI symptom complex, particularly when accompanied with high Aβ load. Healthy Aβ+ individuals acknowledged progressive memory change, suggesting they are aware of memory changes not yet detectable via neuropsychological measures. Depressive symptomatology associated with HC complaints, suggesting certain themes are affect-driven, while complaints in MCI are associated with organically driven functional impairment. Qualitative analysis of SMCs can inform the earliest clinical manifestations of Alzheimer's disease. Our findings can inform diagnostic approaches to the clinical evaluation of memory complaints in the nondemented elderly. (c) 2015 APA, all rights reserved).

  13. IEEE P1596, a scalable coherent interface for GigaByte/sec multiprocessor applications

    International Nuclear Information System (INIS)

    Gustavson, D.B.

    1988-11-01

    IEEE P1596, the Scalable Coherent Interface (formerly known as SuperBus) is based on experience gained during the development of Fastbus (IEEE 960), Futurebus (IEEE 896.1) and other modern 32-bit buses. SCI goals include a minimum bandwidth of 1 GByte/sec per processor; efficient support of a coherent distributed-cache image of shared memory; and support for segmentation, bus repeaters and general switched interconnections like Banyan, Omega, or full crossbar networks. To achieve these ambitious goals, SCI must sacrifice the immediate handshake characteristic of the present generation of buses in favor of a packet-like split-cycle protocol. Wire-ORs, broadcasts, and even ordinary passive bus structures are to be avoided. However, a lower performance (1 GByte/sec per backplane instead of per processor) implementation using a register insertion ring architecture on a passive ''backplane'' appears to be possible using the same interface as for the more costly switch networks. This paper presents a summary of current directions, and reports the status of the work in progress

  14. Memory performance on the story recall test and prediction of cognitive dysfunction progression in mild cognitive impairment and Alzheimer's dementia.

    Science.gov (United States)

    Park, Jong-Hwan; Park, Hyuntae; Sohn, Sang Wuk; Kim, Sungjae; Park, Kyung Won

    2017-10-01

    To determine the factors that influence diagnosis and differentiation of patients with mild cognitive impairment (MCI) and Alzheimer's dementia (AD) by comparing memory test results at baseline with those at 1-2-year follow up. We consecutively recruited 23 healthy participants, 44 MCI patients and 27 patients with very mild AD according to the National Institute of Neurological and Communicative Diseases and Stroke/Alzheimer's Disease and Related Disorder Association criteria for probable Alzheimer's disease and Petersen's clinical diagnostic criteria. We carried out detailed neuropsychological tests, including the Story Recall Test (SRT) and the Seoul Verbal Learning Test, for all participants. We defined study participants as the "progression group" as follows: (i) participants who showed conversion to dementia from the MCI state; and (ii) those with dementia who showed more than a three-point decrement in their Mini-Mental State Examination scores with accompanying functional decline from baseline status, which were ascertained by physician's clinical judgment. The SRT delayed recall scores were significantly lower in the patients with mild AD than in those with MCI and after progression. Lower (relative risk 1.1, 95% confidence interval 0.1-1.6) and higher SRT delayed recall scores (relative risk 2.1, confidence interval 1.0-2.8), and two-test combined immediate and delayed recall scores (relative risk 2.0, confidence interval 0.9-2.3; and relative risk 2.8, confidence interval 1.1-4.2, respectively) were independent predictors of progression in a stepwise multiple adjusted Cox proportional hazards model, with age, sex, depression and educational level forced into the model. The present study suggests that the SRT delayed recall score independently predicts progression to dementia in patients with MCI. Geriatr Gerontol Int 2017; 17: 1603-1609. © 2016 Japan Geriatrics Society.

  15. A biased competition account of attention and memory in Alzheimer's disease

    OpenAIRE

    Finke, Kathrin; Myers, Nicholas; Bublak, Peter; Sorg, Christian

    2013-01-01

    The common view of Alzheimer's disease (AD) is that of an age-related memory disorder, i.e. declarative memory deficits are the first signs of the disease and associated with progressive brain changes in the medial temporal lobes and the default mode network. However, two findings challenge this view. First, new model-based tools of attention research have revealed that impaired selective attention accompanies memory deficits from early pre-dementia AD stages on. Second, very early distribute...

  16. Comparing Normal and Multiple Sclerotic Patients Short Term Memory

    Directory of Open Access Journals (Sweden)

    Mahboubeh Parsaeian

    2006-07-01

    Full Text Available Objective: Multiple sclerosis (MS is a disease of the central nervous system. The main pattern of neuropsychological impairment in M.S. patients characterized with deficits of attention and memory. Memory problem are known to occur in approximately 50% to 60% of people with M.S. The purpose of the present study is to asses memory function in M.S. patients. Materials & Methods: 40 M.S. patients (30 patients suffering from as relapsing – remitting and 10 patients are chronic progressive M.S. assessed using Luria – Nebraska memory scale. Results: All of multiple sclerosis patients (without sever depresive state evaluated by BDI exhibited significant impairments in all of memory veriable (verbal , non - verbal , delayed and whole memory performance as compared with control groups (normal subjects. Difference of memory performance between the patients with two type of M.S. were not significant. Furthermore no significant relation was found between memory loss and MRI lesions.  Conclusion: This study is guidedas such one can lead to a better understanding of memory deficits in M.S. patients. In addition, specific rehabilitation strategies can be planed on the patterns of memory impairment in M.S. patients.

  17. Parallel iterative solution of the Hermite Collocation equations on GPUs II

    International Nuclear Information System (INIS)

    Vilanakis, N; Mathioudakis, E

    2014-01-01

    Hermite Collocation is a high order finite element method for Boundary Value Problems modelling applications in several fields of science and engineering. Application of this integration free numerical solver for the solution of linear BVPs results in a large and sparse general system of algebraic equations, suggesting the usage of an efficient iterative solver especially for realistic simulations. In part I of this work an efficient parallel algorithm of the Schur complement method coupled with Bi-Conjugate Gradient Stabilized (BiCGSTAB) iterative solver has been designed for multicore computing architectures with a Graphics Processing Unit (GPU). In the present work the proposed algorithm has been extended for high performance computing environments consisting of multiprocessor machines with multiple GPUs. Since this is a distributed GPU and shared CPU memory parallel architecture, a hybrid memory treatment is needed for the development of the parallel algorithm. The realization of the algorithm took place on a multiprocessor machine HP SL390 with Tesla M2070 GPUs using the OpenMP and OpenACC standards. Execution time measurements reveal the efficiency of the parallel implementation

  18. Generation of memory B cells and their reactivation.

    Science.gov (United States)

    Inoue, Takeshi; Moran, Imogen; Shinnakasu, Ryo; Phan, Tri Giang; Kurosaki, Tomohiro

    2018-05-01

    The successful establishment of humoral memory response depends on at least two layers of defense. Pre-existing protective antibodies secreted by long-lived plasma cells act as a first line of defense against reinfection ("constitutive humoral memory"). Previously, a second line of defense in which pathogen-experienced memory B cells are rapidly reactivated to produce antibodies ("reactive humoral memory"), was considered as simply a back-up system for the first line (particularly for re-infection with homologous viruses). However, in the case of re-infection with similar but different strains of viruses, or in response to viral escape mutants, the reactive humoral memory plays a crucial role. Here, we review recent progress in our understanding of how memory B cells are generated in the pre-GC stage and during the GC reaction, and how these memory B cells are robustly reactivated with the help of memory Tfh cells to generate the secondary antibody response. In addition, we discuss how these advances may be relevant to the quest for a vaccine that can induce broadly reactive antibodies against influenza and HIV. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  19. Electroconvulsive therapy and memory loss: a personal journey.

    Science.gov (United States)

    Donahue, A B

    2000-06-01

    The cause for the significant gap between research and anecdotal evidence regarding the extent of some memory loss after electroconvulsive therapy (ECT) has never been adequately explained. A patient's development of awareness and self-education about her severe side effects from ECT raises questions regarding many current assumptions about memory loss. ECT-specific studies, which conclude that side effects are short term and narrow in scope, have serious limitations, including the fact that they do not take into account broader scientific knowledge about memory function. Because of the potential for devastating and permanent memory loss with ECT, informed consent needs significant enhancement until advancing research on both improved techniques and on better predictive knowledge regarding memory loss progresses to making a greater impact on clinical applications. Follow-up care and education in coping skills need to be a regular part of ECT practice when patients do experience severe effects.

  20. Late-onset Alzheimer's risk variants in memory decline, incident mild cognitive impairment, and Alzheimer's disease.

    Science.gov (United States)

    Carrasquillo, Minerva M; Crook, Julia E; Pedraza, Otto; Thomas, Colleen S; Pankratz, V Shane; Allen, Mariet; Nguyen, Thuy; Malphrus, Kimberly G; Ma, Li; Bisceglio, Gina D; Roberts, Rosebud O; Lucas, John A; Smith, Glenn E; Ivnik, Robert J; Machulda, Mary M; Graff-Radford, Neill R; Petersen, Ronald C; Younkin, Steven G; Ertekin-Taner, Nilüfer

    2015-01-01

    We tested association of nine late-onset Alzheimer's disease (LOAD) risk variants from genome-wide association studies (GWAS) with memory and progression to mild cognitive impairment (MCI) or LOAD (MCI/LOAD) in older Caucasians, cognitively normal at baseline and longitudinally evaluated at Mayo Clinic Rochester and Jacksonville (n>2000). Each variant was tested both individually and collectively using a weighted risk score. APOE-e4 associated with worse baseline memory and increased decline with highly significant overall effect on memory. CLU-rs11136000-G associated with worse baseline memory and incident MCI/LOAD. MS4A6A-rs610932-C associated with increased incident MCI/LOAD and suggestively with lower baseline memory. ABCA7-rs3764650-C and EPHA1-rs11767557-A associated with increased rates of memory decline in subjects with a final diagnosis of MCI/LOAD. PICALM-rs3851179-G had an unexpected protective effect on incident MCI/LOAD. Only APOE-inclusive risk scores associated with worse memory and incident MCI/LOAD. The collective influence of the nine top LOAD GWAS variants on memory decline and progression to MCI/LOAD appears limited. Discovery of biologically functional variants at these loci may uncover stronger effects on memory and incident disease. Copyright © 2015 Elsevier Inc. All rights reserved.

  1. Mobile Thread Task Manager

    Science.gov (United States)

    Clement, Bradley J.; Estlin, Tara A.; Bornstein, Benjamin J.

    2013-01-01

    The Mobile Thread Task Manager (MTTM) is being applied to parallelizing existing flight software to understand the benefits and to develop new techniques and architectural concepts for adapting software to multicore architectures. It allocates and load-balances tasks for a group of threads that migrate across processors to improve cache performance. In order to balance-load across threads, the MTTM augments a basic map-reduce strategy to draw jobs from a global queue. In a multicore processor, memory may be "homed" to the cache of a specific processor and must be accessed from that processor. The MTTB architecture wraps access to data with thread management to move threads to the home processor for that data so that the computation follows the data in an attempt to avoid L2 cache misses. Cache homing is also handled by a memory manager that translates identifiers to processor IDs where the data will be homed (according to rules defined by the user). The user can also specify the number of threads and processors separately, which is important for tuning performance for different patterns of computation and memory access. MTTM efficiently processes tasks in parallel on a multiprocessor computer. It also provides an interface to make it easier to adapt existing software to a multiprocessor environment.

  2. Portable programming on parallel/networked computers using the Application Portable Parallel Library (APPL)

    Science.gov (United States)

    Quealy, Angela; Cole, Gary L.; Blech, Richard A.

    1993-01-01

    The Application Portable Parallel Library (APPL) is a subroutine-based library of communication primitives that is callable from applications written in FORTRAN or C. APPL provides a consistent programmer interface to a variety of distributed and shared-memory multiprocessor MIMD machines. The objective of APPL is to minimize the effort required to move parallel applications from one machine to another, or to a network of homogeneous machines. APPL encompasses many of the message-passing primitives that are currently available on commercial multiprocessor systems. This paper describes APPL (version 2.3.1) and its usage, reports the status of the APPL project, and indicates possible directions for the future. Several applications using APPL are discussed, as well as performance and overhead results.

  3. Ferroelectric-gate field effect transistor memories device physics and applications

    CERN Document Server

    Ishiwara, Hiroshi; Okuyama, Masanori; Sakai, Shigeki; Yoon, Sung-Min

    2016-01-01

    This book provides comprehensive coverage of the materials characteristics, process technologies, and device operations for memory field-effect transistors employing inorganic or organic ferroelectric thin films. This transistor-type ferroelectric memory has interesting fundamental device physics and potentially large industrial impact. Among the various applications of ferroelectric thin films, the development of nonvolatile ferroelectric random access memory (FeRAM) has progressed most actively since the late 1980s and has achieved modest mass production levels for specific applications since 1995. There are two types of memory cells in ferroelectric nonvolatile memories. One is the capacitor-type FeRAM and the other is the field-effect transistor (FET)-type FeRAM. Although the FET-type FeRAM claims ultimate scalability and nondestructive readout characteristics, the capacitor-type FeRAMs have been the main interest for the major semiconductor memory companies, because the ferroelectric FET has fatal handic...

  4. Applications of shape memory alloys in Japan

    International Nuclear Information System (INIS)

    Asai, M.; Suzuki, Y.

    2000-01-01

    In Japan, a first application of shape memory TiNi alloy was a moving flap in an air-conditioner which was developed as sensing function of shape memory alloy at Matsushista Electric Industrial Co. Then, shape memory utilized in a coffee maker, an electric rice-cooker, a thermal mixing valve and etc. were commercialized in Japan. And brassiere wires, a guide wire for medical treatment, an antenna for portable telephone and others were commercialized utilizing superelasticity. At the same time with these commercial products, there was not only progress in fabrication technology to effect accurate transformation temperature, but also the discovery of small hysteresis alloy such as R-phase or TiNiCu alloy and low transformation temperature alloy such as TiNiFe, TiNiV and TiNiCo alloys. Therefore the shape memory alloy market has expanded widely to electric appliances, automobile, residence, medical care and other field today. (orig.)

  5. History and the future perspective of the ferroelectric memory; Kyoyudentai memory no rekishiteki haikei to tenbo

    Energy Technology Data Exchange (ETDEWEB)

    Tarui, Y [Waseda University, Tokyo (Japan)

    1998-10-01

    Development work is in progress on ferroelectric memory. The memory is a most suitable non-volatile memory which can be incorporated into IC cards, with its higher speed, lower voltage operation, smaller power consumption, and greater number of rewriting times than EEPROM, DRAM and SRAM. Taking as an opportunity the announcement on an experiment as performed by the authors to control semiconductor charge by using electric depolarization of ferroelectric materials, reports have been made one after another on experiments on thin metal films on TGS or BaTiO3, and experiments on semiconductor films formed on ferroelectric crystals or ceramics substrates by using vacuum deposition. In order to solve problems in ferroelectric materials, thin films of PZT and PLZT have emerged, whose good hysteresis characteristics have also been reported. Thereafter, an announcement was made on a material with bismuth layer like perovskite structure. The material is characterized with having very little film fatigue degradation after rewriting of about 10 {sup 12} times. In scaling a ferroelectric memory, if voltage is decreased in proportion with the size, the operation can be reduced proportionately according to the voltage reduction. This paper introduces a method to constitute a ferroelectric memory. 22 refs., 11 figs., 2 tabs.

  6. Overview of the Scalable Coherent Interface, IEEE STD 1596 (SCI)

    International Nuclear Information System (INIS)

    Gustavson, D.B.; James, D.V.; Wiggers, H.A.

    1992-10-01

    The Scalable Coherent Interface standard defines a new generation of interconnection that spans the full range from supercomputer memory 'bus' to campus-wide network. SCI provides bus-like services and a shared-memory software model while using an underlying, packet protocol on many independent communication links. Initially these links are 1 GByte/s (wires) and 1 GBit/s (fiber), but the protocol scales well to future faster or lower-cost technologies. The interconnect may use switches, meshes, and rings. The SCI distributed-shared-memory model is simple and versatile, enabling for the first time a smooth integration of highly parallel multiprocessors, workstations, personal computers, I/O, networking and data acquisition

  7. The fast Amsterdam multiprocessor (FAMP) operation system

    International Nuclear Information System (INIS)

    Gosman, D.; Hertzberger, L.O.; Holthuizen, D.J.; Por, G.J.A.; Schoorel, M.

    1981-01-01

    The Fast Amsterdam Multi Processor system (FAMP system) is developed for on-line filtering and second stage triggering. The system is based on the MC 68000 microprocessor from MOTOROLA. In this report we will describe: The FAMP operating system software, the features of the slaves and supervisor in the FAMP operating system, the communication between supervisor and slaves using the dual port memories, the communication between user programs and the operating system. The hardware as well as the application of the system will be described elsewhere. (orig.)

  8. Memory for conversation and the development of common ground.

    Science.gov (United States)

    McKinley, Geoffrey L; Brown-Schmidt, Sarah; Benjamin, Aaron S

    2017-11-01

    Efficient conversation is guided by the mutual knowledge, or common ground, that interlocutors form as a conversation progresses. Characterized from the perspective of commonly used measures of memory, efficient conversation should be closely associated with item memory-what was said-and context memory-who said what to whom. However, few studies have explicitly probed memory to evaluate what type of information is maintained following a communicative exchange. The current study examined how item and context memory relate to the development of common ground over the course of a conversation, and how these forms of memory vary as a function of one's role in a conversation as speaker or listener. The process of developing common ground was positively related to both item and context memory. In addition, content that was spoken was remembered better than content that was heard. Our findings illustrate how memory assessments can complement language measures by revealing the impact that basic conversational processes have on memory for what has been discussed. By taking this approach, we show that not only does the process of forming common ground facilitate communication in the present, but it also promotes an enduring record of that event, facilitating conversation into the future.

  9. A pipelined architecture for real time correction of non-uniformity in infrared focal plane arrays imaging system using multiprocessors

    Science.gov (United States)

    Zou, Liang; Fu, Zhuang; Zhao, YanZheng; Yang, JunYan

    2010-07-01

    This paper proposes a kind of pipelined electric circuit architecture implemented in FPGA, a very large scale integrated circuit (VLSI), which efficiently deals with the real time non-uniformity correction (NUC) algorithm for infrared focal plane arrays (IRFPA). Dual Nios II soft-core processors and a DSP with a 64+ core together constitute this image system. Each processor undertakes own systematic task, coordinating its work with each other's. The system on programmable chip (SOPC) in FPGA works steadily under the global clock frequency of 96Mhz. Adequate time allowance makes FPGA perform NUC image pre-processing algorithm with ease, which has offered favorable guarantee for the work of post image processing in DSP. And at the meantime, this paper presents a hardware (HW) and software (SW) co-design in FPGA. Thus, this systematic architecture yields an image processing system with multiprocessor, and a smart solution to the satisfaction with the performance of the system.

  10. On the impact of communication complexity in the design of parallel numerical algorithms

    Science.gov (United States)

    Gannon, D.; Vanrosendale, J.

    1984-01-01

    This paper describes two models of the cost of data movement in parallel numerical algorithms. One model is a generalization of an approach due to Hockney, and is suitable for shared memory multiprocessors where each processor has vector capabilities. The other model is applicable to highly parallel nonshared memory MIMD systems. In the second model, algorithm performance is characterized in terms of the communication network design. Techniques used in VLSI complexity theory are also brought in, and algorithm independent upper bounds on system performance are derived for several problems that are important to scientific computation.

  11. A multi-microcomputer system for Monte Carlo calculations

    International Nuclear Information System (INIS)

    Hertzberger, L.O.; Berg, B.; Krasemann, H.

    1981-01-01

    We propose a microcomputer system which allows parallel processing for Monte Carlo calculations in lattice gauge theories, simulations of high energy physics experiments and presumably many other fields of current interest. The master-n-slave multiprocessor system is based on the Motorola MC 68000 microprocessor. One attraction if this processor is that it allows up to 16 M Byte random access memory. (orig.)

  12. Hybrid Josephson-CMOS Memory in Advanced Technologies and Larger Sizes

    International Nuclear Information System (INIS)

    Liu, Q; Van Duzer, T; Fujiwara, K; Yoshikawa, N

    2006-01-01

    Recent progress on demonstrating components of the 64 kb Josephson-CMOS hybrid memory has encouraged exploration of the advancement possible with use of advanced technologies for both the Josephson and CMOS parts of the memory, as well as considerations of the effect of memory size on access time and power dissipation. The simulations to be reported depend on the use of an approximate model for 90 nm CMOS at 4 K. This model is an extension of the one we developed for 0.25 μm CMOS and have already verified. For the Josephson parts, we have chosen 20 kA/cm 2 technology, which was recently demonstrated. The calculations show that power dissipation and access time increase rather slowly with increasing size of the memory

  13. The dynamics of sensory buffers: geometric, spatial, and experience-dependent shaping of iconic memory.

    Science.gov (United States)

    Graziano, Martin; Sigman, Mariano

    2008-05-23

    When a stimulus is presented, its sensory trace decays rapidly, lasting for approximately 1000 ms. This brief and labile memory, referred as iconic memory, serves as a buffer before information is transferred to working memory and executive control. Here we explored the effect of different factors--geometric, spatial, and experience--with respect to the access and the maintenance of information in iconic memory and the progressive distortion of this memory. We studied performance in a partial report paradigm, a design wherein recall of only part of a stimulus array is required. Subjects had to report the identity of a letter in a location that was cued in a variable delay after the stimulus onset. Performance decayed exponentially with time, and we studied the different parameters (time constant, zero-delay value, and decay amplitude) as a function of the different factors. We observed that experience (determined by letter frequency) affected the access to iconic memory but not the temporal decay constant. On the contrary, spatial position affected the temporal course of delay. The entropy of the error distribution increased with time reflecting a progressive morphological distortion of the iconic buffer. We discuss our results on the context of a model of information access to executive control and how it is affected by learning and attention.

  14. Controlling memory impairment in elderly adults using virtual reality memory training: a randomized controlled pilot study.

    Science.gov (United States)

    Optale, Gabriele; Urgesi, Cosimo; Busato, Valentina; Marin, Silvia; Piron, Lamberto; Priftis, Konstantinos; Gamberini, Luciano; Capodieci, Salvatore; Bordin, Adalberto

    2010-05-01

    Memory decline is a prevalent aspect of aging but may also be the first sign of cognitive pathology. Virtual reality (VR) using immersion and interaction may provide new approaches to the treatment of memory deficits in elderly individuals. The authors implemented a VR training intervention to try to lessen cognitive decline and improve memory functions. The authors randomly assigned 36 elderly residents of a rest care facility (median age 80 years) who were impaired on the Verbal Story Recall Test either to the experimental group (EG) or the control group (CG). The EG underwent 6 months of VR memory training (VRMT) that involved auditory stimulation and VR experiences in path finding. The initial training phase lasted 3 months (3 auditory and 3 VR sessions every 2 weeks), and there was a booster training phase during the following 3 months (1 auditory and 1 VR session per week). The CG underwent equivalent face-to-face training sessions using music therapy. Both groups participated in social and creative and assisted-mobility activities. Neuropsychological and functional evaluations were performed at baseline, after the initial training phase, and after the booster training phase. The EG showed significant improvements in memory tests, especially in long-term recall with an effect size of 0.7 and in several other aspects of cognition. In contrast, the CG showed progressive decline. The authors suggest that VRMT may improve memory function in elderly adults by enhancing focused attention.

  15. Embodied memory: effective and stable perception by combining optic flow and image structure.

    Science.gov (United States)

    Pan, Jing Samantha; Bingham, Ned; Bingham, Geoffrey P

    2013-12-01

    Visual perception studies typically focus either on optic flow structure or image structure, but not on the combination and interaction of these two sources of information. Each offers unique strengths in contrast to the other's weaknesses. Optic flow yields intrinsically powerful information about 3D structure, but is ephemeral. It ceases when motion stops. Image structure is less powerful in specifying 3D structure, but is stable. It remains when motion stops. Optic flow and image structure are intrinsically related in vision because the optic flow carries one image to the next. This relation is especially important in the context of progressive occlusion, in which optic flow provides information about the location of targets hidden in subsequent image structure. In four experiments, we investigated the role of image structure in "embodied memory" in contrast to memory that is only in the head. We found that either optic flow (Experiment 1) or image structure (Experiment 2) alone were relatively ineffective, whereas the combination was effective and, in contrast to conditions requiring reliance on memory-in-the-head, much more stable over extended time (Experiments 2 through 4). Limits well documented for visual short memory (that is, memory-in-the-head) were strongly exceeded by embodied memory. The findings support J. J. Gibson's (1979/1986, The Ecological Approach to Visual Perception, Boston, MA, Houghton Mifflin) insights about progressive occlusion and the embodied nature of perception and memory.

  16. Memory as the "whole brain work": a large-scale model based on "oscillations in super-synergy".

    Science.gov (United States)

    Başar, Erol

    2005-01-01

    According to recent trends, memory depends on several brain structures working in concert across many levels of neural organization; "memory is a constant work-in progress." The proposition of a brain theory based on super-synergy in neural populations is most pertinent for the understanding of this constant work in progress. This report introduces a new model on memory basing on the processes of EEG oscillations and Brain Dynamics. This model is shaped by the following conceptual and experimental steps: 1. The machineries of super-synergy in the whole brain are responsible for formation of sensory-cognitive percepts. 2. The expression "dynamic memory" is used for memory processes that evoke relevant changes in alpha, gamma, theta and delta activities. The concerted action of distributed multiple oscillatory processes provides a major key for understanding of distributed memory. It comprehends also the phyletic memory and reflexes. 3. The evolving memory, which incorporates reciprocal actions or reverberations in the APLR alliance and during working memory processes, is especially emphasized. 4. A new model related to "hierarchy of memories as a continuum" is introduced. 5. The notions of "longer activated memory" and "persistent memory" are proposed instead of long-term memory. 6. The new analysis to recognize faces emphasizes the importance of EEG oscillations in neurophysiology and Gestalt analysis. 7. The proposed basic framework called "Memory in the Whole Brain Work" emphasizes that memory and all brain functions are inseparable and are acting as a "whole" in the whole brain. 8. The role of genetic factors is fundamental in living system settings and oscillations and accordingly in memory, according to recent publications. 9. A link from the "whole brain" to "whole body," and incorporation of vegetative and neurological system, is proposed, EEG oscillations and ultraslow oscillations being a control parameter.

  17. Self-perceived memory complaints predict progression to Alzheimer disease. The LADIS study

    DEFF Research Database (Denmark)

    Verdelho, Ana; Madureira, Sofia; Moleiro, Carla

    2011-01-01

    of follow-up, 90 patients were demented (vascular dementia, 54; Alzheimer's disease (AD) and AD with vascular component, 34; frontotemporal dementia, 2). Using Cox regression analysis, we found that self perceived memory complaints were a strong predictor of AD and AD with vascular component during...

  18. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation.

    Science.gov (United States)

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D; Weninger, Wolfgang

    2015-02-24

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8(+) T cells. During influenza virus infection in vivo, naive T cells enter a CD62L(intermediate) state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62L(hi) central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62L(hi) memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways.

  19. Randomized Controlled Trial Considering Varied Exercises for Reducing Proactive Memory Interference

    Directory of Open Access Journals (Sweden)

    Emily Frith

    2018-06-01

    Full Text Available We evaluated the effects of exercise on proactive memory interference. Study 1 (n = 88 employed a 15-min treadmill walking protocol, while Study 2 (n = 88 included a 15-min bout of progressive maximal exertion treadmill exercise. Each study included four distinct groups, in which groups of 22 participants each were randomly assigned to: (a exercise before memory encoding, (b a control group with no exercise, (c exercise during memory encoding, and (d exercise after memory encoding (i.e., during memory consolidation. We used the Rey Auditory Verbal Learning Test (RAVLT to assess proactive memory interference. In both studies, the group that exercised prior to memory encoding recalled the most words from list B (distractor list of the RAVLT, though group differences were not statistically significant for Study 1 (walking exercise (p = 0.521 or Study 2 (high-intensity exercise (p = 0.068. In this sample of young adults, high intensity exercise prior to memory encoding showed a non-significant tendency to attenuate impairments in recall attributable to proactive memory interference. Thus, future work with larger samples is needed to clarify potential beneficial effects of exercise for reducing proactive memory interference.

  20. Randomized Controlled Trial Considering Varied Exercises for Reducing Proactive Memory Interference.

    Science.gov (United States)

    Frith, Emily; Sng, Eveleen; Loprinzi, Paul D

    2018-06-11

    We evaluated the effects of exercise on proactive memory interference. Study 1 ( n = 88) employed a 15-min treadmill walking protocol, while Study 2 ( n = 88) included a 15-min bout of progressive maximal exertion treadmill exercise. Each study included four distinct groups, in which groups of 22 participants each were randomly assigned to: (a) exercise before memory encoding, (b) a control group with no exercise, (c) exercise during memory encoding, and (d) exercise after memory encoding (i.e., during memory consolidation). We used the Rey Auditory Verbal Learning Test (RAVLT) to assess proactive memory interference. In both studies, the group that exercised prior to memory encoding recalled the most words from list B (distractor list) of the RAVLT, though group differences were not statistically significant for Study 1 (walking exercise) ( p = 0.521) or Study 2 (high-intensity exercise) ( p = 0.068). In this sample of young adults, high intensity exercise prior to memory encoding showed a non-significant tendency to attenuate impairments in recall attributable to proactive memory interference. Thus, future work with larger samples is needed to clarify potential beneficial effects of exercise for reducing proactive memory interference.

  1. Working Memory Capacity and Fluid Abilities: Examining the Correlation between Operation Span and Raven

    Science.gov (United States)

    Unsworth, N.; Engle, R.W.

    2005-01-01

    The correlation between a measure of working memory capacity (WMC) (Operation Span) and a measure of fluid abilities (Raven Advanced Progressive Matrices) was examined. Specifically, performance on Raven problems was decomposed by difficulty, memory load, and rule type. The results suggest that the relation between Operation Span and Raven is…

  2. Performance modeling of parallel algorithms for solving neutron diffusion problems

    International Nuclear Information System (INIS)

    Azmy, Y.Y.; Kirk, B.L.

    1995-01-01

    Neutron diffusion calculations are the most common computational methods used in the design, analysis, and operation of nuclear reactors and related activities. Here, mathematical performance models are developed for the parallel algorithm used to solve the neutron diffusion equation on message passing and shared memory multiprocessors represented by the Intel iPSC/860 and the Sequent Balance 8000, respectively. The performance models are validated through several test problems, and these models are used to estimate the performance of each of the two considered architectures in situations typical of practical applications, such as fine meshes and a large number of participating processors. While message passing computers are capable of producing speedup, the parallel efficiency deteriorates rapidly as the number of processors increases. Furthermore, the speedup fails to improve appreciably for massively parallel computers so that only small- to medium-sized message passing multiprocessors offer a reasonable platform for this algorithm. In contrast, the performance model for the shared memory architecture predicts very high efficiency over a wide range of number of processors reasonable for this architecture. Furthermore, the model efficiency of the Sequent remains superior to that of the hypercube if its model parameters are adjusted to make its processors as fast as those of the iPSC/860. It is concluded that shared memory computers are better suited for this parallel algorithm than message passing computers

  3. An Adaptive Insertion and Promotion Policy for Partitioned Shared Caches

    Science.gov (United States)

    Mahrom, Norfadila; Liebelt, Michael; Raof, Rafikha Aliana A.; Daud, Shuhaizar; Hafizah Ghazali, Nur

    2018-03-01

    Cache replacement policies in chip multiprocessors (CMP) have been investigated extensively and proven able to enhance shared cache management. However, competition among multiple processors executing different threads that require simultaneous access to a shared memory may cause cache contention and memory coherence problems on the chip. These issues also exist due to some drawbacks of the commonly used Least Recently Used (LRU) policy employed in multiprocessor systems, which are because of the cache lines residing in the cache longer than required. In image processing analysis of for example extra pulmonary tuberculosis (TB), an accurate diagnosis for tissue specimen is required. Therefore, a fast and reliable shared memory management system to execute algorithms for processing vast amount of specimen image is needed. In this paper, the effects of the cache replacement policy in a partitioned shared cache are investigated. The goal is to quantify whether better performance can be achieved by using less complex replacement strategies. This paper proposes a Middle Insertion 2 Positions Promotion (MI2PP) policy to eliminate cache misses that could adversely affect the access patterns and the throughput of the processors in the system. The policy employs a static predefined insertion point, near distance promotion, and the concept of ownership in the eviction policy to effectively improve cache thrashing and to avoid resource stealing among the processors.

  4. Abnormal-induced theta activity supports early directed-attention network deficits in progressive MCI.

    Science.gov (United States)

    Deiber, Marie-Pierre; Ibañez, Vicente; Missonnier, Pascal; Herrmann, François; Fazio-Costa, Lara; Gold, Gabriel; Giannakopoulos, Panteleimon

    2009-09-01

    The electroencephalography (EEG) theta frequency band reacts to memory and selective attention paradigms. Global theta oscillatory activity includes a posterior phase-locked component related to stimulus processing and a frontal-induced component modulated by directed attention. To investigate the presence of early deficits in the directed attention-related network in elderly individuals with mild cognitive impairment (MCI), time-frequency analysis at baseline was used to assess global and induced theta oscillatory activity (4-6Hz) during n-back working memory tasks in 29 individuals with MCI and 24 elderly controls (EC). At 1-year follow-up, 13 MCI patients were still stable and 16 had progressed. Baseline task performance was similar in stable and progressive MCI cases. Induced theta activity at baseline was significantly reduced in progressive MCI as compared to EC and stable MCI in all n-back tasks, which were similar in terms of directed attention requirements. While performance is maintained, the decrease of induced theta activity suggests early deficits in the directed-attention network in progressive MCI, whereas this network is functionally preserved in stable MCI.

  5. 3-dimensional magnetotelluric inversion including topography using deformed hexahedral edge finite elements and direct solvers parallelized on symmetric multiprocessor computers - Part II: direct data-space inverse solution

    Science.gov (United States)

    Kordy, M.; Wannamaker, P.; Maris, V.; Cherkaev, E.; Hill, G.

    2016-01-01

    Following the creation described in Part I of a deformable edge finite-element simulator for 3-D magnetotelluric (MT) responses using direct solvers, in Part II we develop an algorithm named HexMT for 3-D regularized inversion of MT data including topography. Direct solvers parallelized on large-RAM, symmetric multiprocessor (SMP) workstations are used also for the Gauss-Newton model update. By exploiting the data-space approach, the computational cost of the model update becomes much less in both time and computer memory than the cost of the forward simulation. In order to regularize using the second norm of the gradient, we factor the matrix related to the regularization term and apply its inverse to the Jacobian, which is done using the MKL PARDISO library. For dense matrix multiplication and factorization related to the model update, we use the PLASMA library which shows very good scalability across processor cores. A synthetic test inversion using a simple hill model shows that including topography can be important; in this case depression of the electric field by the hill can cause false conductors at depth or mask the presence of resistive structure. With a simple model of two buried bricks, a uniform spatial weighting for the norm of model smoothing recovered more accurate locations for the tomographic images compared to weightings which were a function of parameter Jacobians. We implement joint inversion for static distortion matrices tested using the Dublin secret model 2, for which we are able to reduce nRMS to ˜1.1 while avoiding oscillatory convergence. Finally we test the code on field data by inverting full impedance and tipper MT responses collected around Mount St Helens in the Cascade volcanic chain. Among several prominent structures, the north-south trending, eruption-controlling shear zone is clearly imaged in the inversion.

  6. Parallel hierarchical global illumination

    Energy Technology Data Exchange (ETDEWEB)

    Snell, Quinn O. [Iowa State Univ., Ames, IA (United States)

    1997-10-08

    Solving the global illumination problem is equivalent to determining the intensity of every wavelength of light in all directions at every point in a given scene. The complexity of the problem has led researchers to use approximation methods for solving the problem on serial computers. Rather than using an approximation method, such as backward ray tracing or radiosity, the authors have chosen to solve the Rendering Equation by direct simulation of light transport from the light sources. This paper presents an algorithm that solves the Rendering Equation to any desired accuracy, and can be run in parallel on distributed memory or shared memory computer systems with excellent scaling properties. It appears superior in both speed and physical correctness to recent published methods involving bidirectional ray tracing or hybrid treatments of diffuse and specular surfaces. Like progressive radiosity methods, it dynamically refines the geometry decomposition where required, but does so without the excessive storage requirements for ray histories. The algorithm, called Photon, produces a scene which converges to the global illumination solution. This amounts to a huge task for a 1997-vintage serial computer, but using the power of a parallel supercomputer significantly reduces the time required to generate a solution. Currently, Photon can be run on most parallel environments from a shared memory multiprocessor to a parallel supercomputer, as well as on clusters of heterogeneous workstations.

  7. Short-Term Memory and Aphasia: From Theory to Treatment.

    Science.gov (United States)

    Minkina, Irene; Rosenberg, Samantha; Kalinyak-Fliszar, Michelene; Martin, Nadine

    2017-02-01

    This article reviews existing research on the interactions between verbal short-term memory and language processing impairments in aphasia. Theoretical models of short-term memory are reviewed, starting with a model assuming a separation between short-term memory and language, and progressing to models that view verbal short-term memory as a cognitive requirement of language processing. The review highlights a verbal short-term memory model derived from an interactive activation model of word retrieval. This model holds that verbal short-term memory encompasses the temporary activation of linguistic knowledge (e.g., semantic, lexical, and phonological features) during language production and comprehension tasks. Empirical evidence supporting this model, which views short-term memory in the context of the processes it subserves, is outlined. Studies that use a classic measure of verbal short-term memory (i.e., number of words/digits correctly recalled in immediate serial recall) as well as those that use more intricate measures (e.g., serial position effects in immediate serial recall) are discussed. Treatment research that uses verbal short-term memory tasks in an attempt to improve language processing is then summarized, with a particular focus on word retrieval. A discussion of the limitations of current research and possible future directions concludes the review. Thieme Medical Publishers 333 Seventh Avenue, New York, NY 10001, USA.

  8. Shape Memory Alloys for Monitoring Minor Over-Heating/Cooling Based on the Temperature Memory Effect via Differential Scanning Calorimetry: A Review of Recent Progress

    Science.gov (United States)

    Wang, T. X.; Huang, W. M.

    2017-12-01

    The recent development in the temperature memory effect (TME) via differential scanning calorimetry in shape memory alloys is briefly discussed. This phenomenon was also called the thermal arrest memory effect in the literature. However, these names do not explicitly reveal the potential application of this phenomenon in temperature monitoring. On the other hand, the standard testing process of the TME has great limitation. Hence, it cannot be directly applied for temperature monitoring in most of the real engineering applications in which temperature fluctuation occurs mostly in a random manner within a certain range. However, as shown here, after proper modification, we are able to monitor the maximum or minimum temperature in either over-heating or over-cooling with reasonable accuracy.

  9. Stress and Memory: A Selective Review on Recent Developments in the Understanding of Stress Hormone Effects on Memory and Their Clinical Relevance.

    Science.gov (United States)

    Wolf, O T; Atsak, P; de Quervain, D J; Roozendaal, B; Wingenfeld, K

    2016-08-01

    Stress causes a neuroendocrine response cascade, leading to the release of catecholamines and glucocorticoids (GCs). GCs influence learning and memory by acting on mineralocorticoid (MR) and glucocorticoid (GR) receptors. Typically, GCs enhance the consolidation of memory processing at the same time as impairing the retrieval of memory of emotionally arousing experiences. The present selective review addresses four recent developments in this area. First, the role of the endocannabinoid system in mediating the rapid, nongenomic effects of GCs on memory is illustrated in rodents. Subsequently, studies on the impact of the selective stimulation of MRs on different memory processes in humans are summarised. Next, a series of human experiments on the impact of stress or GC treatment on fear extinction and fear reconsolidation is presented. Finally, the clinical relevance of the effects of exogenous GC administration is highlighted by the description of patients with anxiety disorders who demonstrate an enhancement of extinction-based therapies by GC treatment. The review highlights the substantial progress made in our mechanistic understanding of the memory-modulating properties of GCs, as well as their clinical potential. © 2015 British Society for Neuroendocrinology.

  10. Recent progress in tungsten oxides based memristors and their neuromorphological applications

    Science.gov (United States)

    Qu, Bo; Younis, Adnan; Chu, Dewei

    2016-09-01

    The advance in conventional silicon based semiconductor industry is now becoming indeterminacy as it still along the road of Moore's Law and concomitant problems associated with it are the emergence of a number of practical issues such as short channel effect. In terms of memory applications, it is generally believed that transistors based memory devices will approach to their scaling limits up to 2018. Therefore, one of the most prominent challenges today in semiconductor industry is the need of a new memory technology which is able to combine the best characterises of current devices. The resistive switching memories which are regarded as "memristors" thus gain great attentions thanks to their specific nonlinear electrical properties. More importantly, their behaviour resembles with the transmission characteristic of synapse in biology. Therefore, the research of synapses biomimetic devices based on memristor will certainly bring a great research prospect in studying synapse emulation as well as building artificial neural networks. Tungsten oxides (WO x ) exhibits many essential characteristics as a great candidate for memristive devices including: accredited endurance (over 105 cycles), stoichiometric flexibility, complimentary metal-oxide-semiconductor (CMOS) process compatibility and configurable properties including non-volatile rectification, memorization and learning functions. Herein, recent progress on Tungsten oxide based materials and its associating memory devices had been reviewed. The possible implementation of this material as a bio-inspired artificial synapse is also highlighted. The penultimate section summaries the current research progress for tungsten oxide based biological synapses and end up with several proposals that have been suggested for possible future developments.

  11. Proactive Interference and Item Similarity in Working Memory

    Science.gov (United States)

    Bunting, Michael

    2006-01-01

    Proactive interference (PI) may influence the predictive utility of working memory span tasks. Participants in one experiment (N=70) completed Ravens Advanced Progressive Matrices (RAPM) and multiple versions of operation span and probed recall, modified for the type of memoranda (digits or words). Changing memoranda within- or across-trials…

  12. Effects of selective phosphodiesterases-4 inhibitors on learning and memory: a review of recent research.

    Science.gov (United States)

    Peng, Sheng; Sun, Haiyan; Zhang, Xiaoqing; Liu, Gongjian; Wang, Guanglei

    2014-09-01

    Phosphodiesterase-4 (PDE-4) regulates the intracellular level of cyclic adenosine monophosphate. Recent studies demonstrated that PDE-4 inhibitors can counteract deficits in long-term memory caused by aging or increased expression of mutant forms of human amyloid precursor proteins, and can influence the process of memory function and cognitive enhancement. Therapeutics, such as ketamine, a drug used in clinical anesthesia, can also cause memory deficits as adverse effects. Targeting PDE-4 with selective inhibitors may offer a novel therapeutic strategy to prevent, slow the progress, and, eventually, treat memory deficits.

  13. Memory Training for Individuals with Alzheimer’s Disease Improves Name Recall

    OpenAIRE

    Kesslak, J. P.; Nackoul, K.; Sandman, C. A.

    1997-01-01

    Alzheimer’s disease is clinically characterized by a variety of progressive cognitive deficits, most notably an impaired ability to acquire new information, such as name recall. Eleven demented patients and 11 controls participated in a 4 week memory program that included training in name–face recall. Individuals were taught strategies for name–face rehearsal, and administered task specific and standardized tests to assess the intervention efficacy. During the memory training patients improve...

  14. AMD's 64-bit Opteron processor

    CERN Multimedia

    CERN. Geneva

    2003-01-01

    This talk concentrates on issues that relate to obtaining peak performance from the Opteron processor. Compiler options, memory layout, MPI issues in multi-processor configurations and the use of a NUMA kernel will be covered. A discussion of recent benchmarking projects and results will also be included.BiographiesDavid RichDavid directs AMD's efforts in high performance computing and also in the use of Opteron processors...

  15. ePRO-MP: A Tool for Profiling and Optimizing Energy and Performance of Mobile Multiprocessor Applications

    Directory of Open Access Journals (Sweden)

    Wonil Choi

    2009-01-01

    Full Text Available For mobile multiprocessor applications, achieving high performance with low energy consumption is a challenging task. In order to help programmers to meet these design requirements, system development tools play an important role. In this paper, we describe one such development tool, ePRO-MP, which profiles and optimizes both performance and energy consumption of multi-threaded applications running on top of Linux for ARM11 MPCore-based embedded systems. One of the key features of ePRO-MP is that it can accurately estimate the energy consumption of multi-threaded applications without requiring a power measurement equipment, using a regression-based energy model. We also describe another key benefit of ePRO-MP, an automatic optimization function, using two example problems. Using the automatic optimization function, ePRO-MP can achieve high performance and low power consumption without programmer intervention. Our experimental results show that ePRO-MP can improve the performance and energy consumption by 6.1% and 4.1%, respectively, over a baseline version for the co-running applications optimization example. For the producer-consumer application optimization example, ePRO-MP improves the performance and energy consumption by 60.5% and 43.3%, respectively over a baseline version.

  16. The progress of Chinese burn medicine from the Third Military Medical University-in memory of its pioneer, Professor Li Ao.

    Science.gov (United States)

    Li, Haisheng; Zhou, Junyi; Peng, Yizhi; Zhang, Jiaping; Peng, Xi; Luo, Qizhi; Yuan, Zhiqiang; Yan, Hong; Peng, Daizhi; He, Weifeng; Wang, Fengjun; Liang, Guangping; Huang, Yuesheng; Wu, Jun; Luo, Gaoxing

    2017-01-01

    Professor Li Ao was one of the founders of Chinese burn medicine and one of the most renowned doctors and researchers of burns in China. He established one of the Chinese earliest special departments for burns at Third Military Medical University (TMMU) in 1958. To memorialize Professor Li Ao on his 100th birthday in 2017 and introduce our extensive experience, it is our honor to briefly review the development and achievement of the Chinese burn medicine from TMMU. The epidemiology and outcomes of admitted burn patients since 1958 were reviewed. Furthermore, main achievements of basic and clinical research for the past roughly 60 years were presented. These achievements mainly included the Chinese Rule of Nine, fluid resuscitation protocol, experience in inhalation injury, wound treatment strategies, prevention and treatment of burn infections, nutrition therapy, organ support therapies, and rehabilitation. The progress shaped and enriched modern Chinese burn medicine and promoted the development of world burn medicine.

  17. Working memory capacity and fluid abilities: The more difficult the item, the more more is better

    OpenAIRE

    Daniel R Little; Stephan eLewandowsky; Stephan eLewandowsky; Stewart eCraig

    2014-01-01

    The relationship between fluid intelligence and working memory is of fundamental importance to understanding how capacity-limited structures such as working memory interact with inference abilities to determine intelligent behaviour. Recent evidence has suggested that the relationship between a fluid abilities test, Raven's Progressive Matrices, and working memory capacity (WMC) may be invariant across difficulty levels of the Raven's items. We show that this invariance can only be observed i...

  18. Portable Instrumented Communication Library

    International Nuclear Information System (INIS)

    Geist, G.A.; Heath, M.T.; Peyton, B.W.; Worley, P.H.

    2001-01-01

    1 - Description of program or function: PICL is a subroutine library that can be used to develop parallel programs that are portable across several distributed-memory multi-processors. PICL provides a portable syntax for key communication primitives and related system calls. It also provides portable routines to perform certain widely- used, high-level communication operations, such as global broadcast and global summation. PICL provides execution tracing that can be used to monitor performance or to aid in debugging. 2 - Restrictions on the complexity of the problem: PICL is a compatibility library built on top of the native multiprocessor operating system and message passing primitives. Thus, the portability of PICL programs is not guaranteed, being a function of idiosyncrasies of the different platforms. Predictable differences are captured with standard error trapping routines. PICL is a research tool, not a production software system

  19. Prospective memory, working memory, retrospective memory and self-rated memory performance in persons with intellectual disability

    OpenAIRE

    Levén, Anna; Lyxell, Björn; Andersson, Jan; Danielsson, Henrik; Rönnberg, Jerker

    2008-01-01

    The purpose of the present study was to examine the relationship between prospective memory, working memory, retrospective memory and self-rated memory capacity in adults with and without intellectual disability. Prospective memory was investigated by means of a picture-based task. Working memory was measured as performance on span tasks. Retrospective memory was scored as recall of subject performed tasks. Self-ratings of memory performance were based on the prospective and retrospective mem...

  20. Improving Outcome of Psychosocial Treatments by Enhancing Memory and Learning

    Science.gov (United States)

    Harvey, Allison G.; Lee, Jason; Williams, Joseph; Hollon, Steven D.; Walker, Matthew P.; Thompson, Monique A.; Smith, Rita

    2014-01-01

    Mental disorders are prevalent and lead to significant impairment. Progress toward establishing treatments has been good. However, effect sizes are small to moderate, gains may not persist, and many patients derive no benefit. Our goal is to highlight the potential for empirically-supported psychosocial treatments to be improved by incorporating insights from cognitive psychology and research on education. Our central question is: If it were possible to improve memory for content of sessions of psychosocial treatments, would outcome substantially improve? This question arises from five lines of evidence: (a) mental illness is often characterized by memory impairment, (b) memory impairment is modifiable, (c) psychosocial treatments often involve the activation of emotion, (d) emotion can bias memory and (e) memory for psychosocial treatment sessions is poor. Insights from scientific knowledge on learning and memory are leveraged to derive strategies for a transdiagnostic and transtreatment cognitive support intervention. These strategies can be applied within and between sessions and to interventions delivered via computer, the internet and text message. Additional novel pathways to improving memory include improving sleep, engaging in exercise and imagery. Given that memory processes change across the lifespan, services to children and older adults may benefit from cognitive support. PMID:25544856

  1. More than a feeling: Pervasive influences of memory without awareness of retrieval

    Science.gov (United States)

    Voss, Joel L.; Lucas, Heather D.; Paller, Ken A.

    2015-01-01

    The subjective experiences of recollection and familiarity have featured prominently in the search for neurocognitive mechanisms of memory. However, these two explicit expressions of memory, which involve conscious awareness of memory retrieval, are distinct from an entire category of implicit expressions of memory that do not entail such awareness. This review summarizes recent evidence showing that neurocognitive processing related to implicit memory can powerfully influence the behavioral and neural measures typically associated with explicit memory. Although there are striking distinctions between the neurocognitive processing responsible for implicit versus explicit memory, tests designed to measure only explicit memory nonetheless often capture implicit memory processing as well. In particular, the evidence described here suggests that investigations of familiarity memory are prone to the accidental capture of implicit memory processing. These findings have considerable implications for neurocognitive accounts of memory, as they suggest that many neural and behavioral measures often accepted as signals of explicit memory instead reflect the distinct operation of implicit memory mechanisms that are only sometimes related to explicit memory expressions. Proper identification of the explicit and implicit mechanisms for memory is vital to understanding the normal operation of memory, in addition to the disrupted memory capabilities associated with many neurological disorders and mental illnesses. We suggest that future progress requires utilizing neural, behavioral, and subjective evidence to dissociate implicit and explicit memory processing so as to better understand their distinct mechanisms as well as their potential relationships. When searching for the neurocognitive mechanisms of memory, it is important to keep in mind that memory involves more than a feeling. PMID:24171735

  2. Primary Progressive Aphasia in the Network of French Alzheimer Plan Memory Centers.

    Science.gov (United States)

    Magnin, Eloi; Démonet, Jean-François; Wallon, David; Dumurgier, Julien; Troussière, Anne-Cécile; Jager, Alain; Duron, Emmanuelle; Gabelle, Audrey; de la Sayette, Vincent; Volpe-Gillot, Lisette; Tio, Gregory; Evain, Sarah; Boutoleau-Bretonnière, Claire; Enderle, Adeline; Mouton-Liger, François; Robert, Philippe; Hannequin, Didier; Pasquier, Florence; Hugon, Jacques; Paquet, Claire

    2016-10-18

    Few demographical data about primary progressive aphasia (PPA) are available, and most knowledge regarding PPA is based on tertiary centers' results. Our aims were to describe demographical characteristics of the PPA population in a large sample of PPA patients from the network of French Alzheimer plan memory centers (Sample 1), and to describe the stratification of cerebrospinal fluid (CSF) biomarkers in two different samples of PPA patients (Samples 2 and 3). All registered PPA patients in the French Alzheimer's disease (AD) databank (Sample 1: n = 2,035) and a subsample (Sample 2: n = 65) derived from a multicentric prospective cohort with CSF biomarker analysis were analyzed. A multicentric retrospective cohort from language expert tertiary centers (Sample 3: n = 97) with CSF biomarker analysis was added. Sample 3 was added to replicate the CSF results of the Sample 2 and to evaluate repartition of AD pathology in the three variant of PPA according to the latest classification. Non-Fluent/Agrammatic, Logopenic, and Unclassifiable PPA patients (NF/A-Logo-Unclass PPA) were older and more frequent than Semantic PPA patients (2.2 versus 0.8/100,000 inhabitants; p < 0.00001). Male predominance occurred after the age of 80 (p < 0.00001). A higher level of education was observed in the PPA population compared to a typical amnesic AD group. No demographical significant difference between PPA due to AD and not due to AD was observed. The Logopenic variant was most frequent with 85% of AD CSF biomarker profiles (35% in NF/A PPA; 20% in Semantic PPA). PPA occurs also in an elderly population, especially in male patients over 80. CSF biomarkers are useful to stratify PPA. The epidemiology of PPA should be further investigated to confirm gender and cognitive reserve role in PPA to better understand the factors and mechanisms leading to this language-predominant deficit during neurodegenerative diseases.

  3. Progression to dementia in memory clinic patients without dementia: a latent profile analysis

    NARCIS (Netherlands)

    Kohler, S.; Hamel, R.; Sistermans, N.; Koene, T.; Pijnenburg, Y.A.L.; van der Flier, W.M.; Scheltens, P.; Visser, P.J.; Aalten, P.; Verhey, F. R. J.; Ramakers, I.

    2013-01-01

    Objective: To identify the existence of discrete cognitive subtypes among memory clinic patients without dementia and test their prognostic values. Methods: In a retrospective cohort study of 635 patients without dementia visiting the Alzheimer centers in Maastricht or Amsterdam, latent profile

  4. Language Constructs for Data Partitioning and Distribution

    Directory of Open Access Journals (Sweden)

    P. Crooks

    1995-01-01

    Full Text Available This article presents a survey of language features for distributed memory multiprocessor systems (DMMs, in particular, systems that provide features for data partitioning and distribution. In these systems the programmer is freed from consideration of the low-level details of the target architecture in that there is no need to program explicit processes or specify interprocess communication. Programs are written according to the shared memory programming paradigm but the programmer is required to specify, by means of directives, additional syntax or interactive methods, how the data of the program are decomposed and distributed.

  5. Primary progressive aphasia: A dementia of the language network

    Directory of Open Access Journals (Sweden)

    Marsel Mesulam

    Full Text Available ABSTRACT Primary progressive aphasia (PPA is a clinical syndrome diagnosed when three core criteria are met. First, there should be a language impairment (i.e., aphasia that interferes with the usage or comprehension of words. Second, the neurological work-up should determine that the disease is neurodegenerative, and therefore progressive. Third, the aphasia should arise in relative isolation, without equivalent deficits of comportment or episodic memory. The language impairment can be fluent or non-fluent and may or may not interfere with word comprehension. Memory for recent events is preserved although memory scores obtained in verbally mediated tests may be abnormal. Minor changes in personality and behavior may be present but are not the leading factors that bring the patient to medical attention or that limit daily living activities. This distinctive clinical pattern is most conspicuous in the initial stages of the disease, and reflects a relatively selective atrophy of the language network, usually located in the left hemisphere. There are different clinical variants of PPA, each with a characteristic pattern of atrophy. The underlying neuropathological diseases are heterogeneous and can include Alzheimer's disease as well as frontotemporal lobar degeneration. The clinician's task is to recognize PPA and differentiate it from other neurodegenerative phenotypes, use biomarkers to surmise the nature of the underlying neuropathology, and institute the most fitting multimodal interventions.

  6. Improvement of autobiographic memory recovery by means of sad music in Alzheimer's Disease type dementia.

    Science.gov (United States)

    Meilán García, Juan José; Iodice, Rosario; Carro, Juan; Sánchez, José Antonio; Palmero, Francisco; Mateos, Ana María

    2012-06-01

    Autobiographic memory undergoes progressive deterioration during the evolution of Alzheimer's disease (AD). The aim of this study was to analyze mechanisms which facilitate recovery of autobiographic memories. We used a repeatedly employed mechanism, music, with the addition of an emotional factor. Autobiographic memory provoked by a variety of sounds (music which was happy, sad, lacking emotion, ambient noise in a coffee bar and no sound) was analyzed in a sample of 25 patients with AD. Emotional music, especially sad music for remote memories, was found to be the most effective kind for recall of autobiographic experiences. The factor evoking the memory is not the music itself, but rather the emotion associated with it, and is useful for semantic rather than episodic memory.

  7. Artsimovich memorial lecture

    International Nuclear Information System (INIS)

    Pellat, R.

    2003-01-01

    After half a century of work, mastering on earth thermonuclear fusion to produce energy is becoming a realistic challenge: despite its scientific and technological complexity, considerable progress has been obtained without encountering insurmountable roadblocks. Such progress is due for a great part to all the pioneers, as Academician Lev Andreevich Artsimovich, who, with their talents and a visionary mind, internationally promoted the civil use of thermonuclear fusion, a source which could help to face the long term energy demand. To honour their faith and their investment in this challenge which would solve humankind energy needs on a millenary scale, I will try in this Artsimovich Memorial Lecture to: situate the fusion contribution in the future energy mix contemplated today ; survey the state of the art of fusion physics and technology fields, giving some examples; underline the next priority, to study a burning plasma, launching the construction of the International Thermonuclear Experimental Reactor (ITER) as soon as possible

  8. The ecological study of memory.

    OpenAIRE

    Neisser, U

    1997-01-01

    The study of memory has long been dominated by the structural tradition, and especially by the experimental analysis of mechanisms of information processing. That dominance may soon be brought to an end by the progress of neuroscience, which offers more direct ways of studying the mechanisms in question. At that point functional issues may move to centre stage. Those issues include the act of remembering and its social functions, the skills and presuppositions of the remembered, the interacti...

  9. Learning, working memory, and intelligence revisited.

    Science.gov (United States)

    Tamez, Elaine; Myerson, Joel; Hale, Sandra

    2008-06-01

    Based on early findings showing low correlations between intelligence test scores and learning on laboratory tasks, psychologists typically have dismissed the role of learning in intelligence and emphasized the role of working memory instead. In 2006, however, B.A. Williams developed a verbal learning task inspired by three-term reinforcement contingencies and reported unexpectedly high correlations between this task and Raven's Advanced Progressive Matrices (RAPM) scores [Williams, B.A., Pearlberg, S.L., 2006. Learning of three-term contingencies correlates with Raven scores, but not with measures of cognitive processing. Intelligence 34, 177-191]. The present study replicated this finding: Performance on the three-term learning task explained almost 25% of the variance in RAPM scores. Adding complex verbal working memory span, measured using the operation span task, did not improve prediction. Notably, this was not due to a lack of correlation between complex working memory span and RAPM scores. Rather, it occurred because most of the variance captured by the complex working memory span was already accounted for by the three-term learning task. Taken together with the findings of Williams and Pearlberg, the present results make a strong case for the role of learning in performance on intelligence tests.

  10. Quantum memory Quantum memory

    Science.gov (United States)

    Le Gouët, Jean-Louis; Moiseev, Sergey

    2012-06-01

    Interaction of quantum radiation with multi-particle ensembles has sparked off intense research efforts during the past decade. Emblematic of this field is the quantum memory scheme, where a quantum state of light is mapped onto an ensemble of atoms and then recovered in its original shape. While opening new access to the basics of light-atom interaction, quantum memory also appears as a key element for information processing applications, such as linear optics quantum computation and long-distance quantum communication via quantum repeaters. Not surprisingly, it is far from trivial to practically recover a stored quantum state of light and, although impressive progress has already been accomplished, researchers are still struggling to reach this ambitious objective. This special issue provides an account of the state-of-the-art in a fast-moving research area that makes physicists, engineers and chemists work together at the forefront of their discipline, involving quantum fields and atoms in different media, magnetic resonance techniques and material science. Various strategies have been considered to store and retrieve quantum light. The explored designs belong to three main—while still overlapping—classes. In architectures derived from photon echo, information is mapped over the spectral components of inhomogeneously broadened absorption bands, such as those encountered in rare earth ion doped crystals and atomic gases in external gradient magnetic field. Protocols based on electromagnetic induced transparency also rely on resonant excitation and are ideally suited to the homogeneous absorption lines offered by laser cooled atomic clouds or ion Coulomb crystals. Finally off-resonance approaches are illustrated by Faraday and Raman processes. Coupling with an optical cavity may enhance the storage process, even for negligibly small atom number. Multiple scattering is also proposed as a way to enlarge the quantum interaction distance of light with matter. The

  11. Different effects of executive and visuospatial working memory on visual consciousness.

    Science.gov (United States)

    De Loof, Esther; Poppe, Louise; Cleeremans, Axel; Gevers, Wim; Van Opstal, Filip

    2015-11-01

    Consciousness and working memory are two widely studied cognitive phenomena. Although they have been closely tied on a theoretical and neural level, empirical work that investigates their relation is largely lacking. In this study, the relationship between visual consciousness and different working memory components is investigated by using a dual-task paradigm. More specifically, while participants were performing a visual detection task to measure their visual awareness threshold, they had to concurrently perform either an executive or visuospatial working memory task. We hypothesized that visual consciousness would be hindered depending on the type and the size of the load in working memory. Results showed that maintaining visuospatial content in working memory hinders visual awareness, irrespective of the amount of information maintained. By contrast, the detection threshold was progressively affected under increasing executive load. Interestingly, increasing executive load had a generic effect on detection speed, calling into question whether its obstructing effect is specific to the visual awareness threshold. Together, these results indicate that visual consciousness depends differently on executive and visuospatial working memory.

  12. Memory Training for Individuals with Alzheimer’s Disease Improves Name Recall

    Directory of Open Access Journals (Sweden)

    J. P. Kesslak

    1997-01-01

    Full Text Available Alzheimer’s disease is clinically characterized by a variety of progressive cognitive deficits, most notably an impaired ability to acquire new information, such as name recall. Eleven demented patients and 11 controls participated in a 4 week memory program that included training in name–face recall. Individuals were taught strategies for name–face rehearsal, and administered task specific and standardized tests to assess the intervention efficacy. During the memory training patients improved recall of names and faces (p

  13. Realtime Audio with Garbage Collection

    OpenAIRE

    Matheussen, Kjetil Svalastog

    2010-01-01

    Two non-moving concurrent garbage collectors tailored for realtime audio processing are described. Both collectors work on copies of the heap to avoid cache misses and audio-disruptive synchronizations. Both collectors are targeted at multiprocessor personal computers. The first garbage collector works in uncooperative environments, and can replace Hans Boehm's conservative garbage collector for C and C++. The collector does not access the virtual memory system. Neither doe...

  14. CELLFS: TAKING THE "DMA" OUT OF CELL PROGRAMMING

    Energy Technology Data Exchange (ETDEWEB)

    IONKOV, LATCHESAR A. [Los Alamos National Laboratory; MIRTCHOVSKI, ANDREY A. [Los Alamos National Laboratory; NYRHINEN, AKI M. [Los Alamos National Laboratory

    2007-01-09

    In this paper we present a new programming model for the Cell BE architecture of scalar multiprocessors. They call this programming model CellFS. CellFS aims at simplifying the task of managing I/O between the local store of the processing units and main memory. The CellFS support library provides the means for transferring data via simple file I/O operations between the PPU and the SPU.

  15. High-performance computing — an overview

    Science.gov (United States)

    Marksteiner, Peter

    1996-08-01

    An overview of high-performance computing (HPC) is given. Different types of computer architectures used in HPC are discussed: vector supercomputers, high-performance RISC processors, various parallel computers like symmetric multiprocessors, workstation clusters, massively parallel processors. Software tools and programming techniques used in HPC are reviewed: vectorizing compilers, optimization and vector tuning, optimization for RISC processors; parallel programming techniques like shared-memory parallelism, message passing and data parallelism; and numerical libraries.

  16. Laser memory (hologram) and coincident redundant multiplex memory (CRM-memory)

    International Nuclear Information System (INIS)

    Ostojic, Branko

    1975-01-01

    It is shown that besides the memory which remembers the object by memorising of the phases of the interferenting waves of the light (i.e. hologram) it is possible to construct the memory which remembers the object by memorising of the phases of the interferenting impulses (CFM-memory). It is given the mathematical description of the memory, based on the experimental model. Although in the paper only the technical aspect of CRM memory is given. It is mentioned the possibility that the human memory has the same principle and that the invention of CRM memory is due to cybernetical analysis of the system human eye-visual cortex

  17. Repeated labilization-reconsolidation processes strengthen declarative memory in humans.

    Directory of Open Access Journals (Sweden)

    Cecilia Forcato

    Full Text Available The idea that memories are immutable after consolidation has been challenged. Several reports have shown that after the presentation of a specific reminder, reactivated old memories become labile and again susceptible to amnesic agents. Such vulnerability diminishes with the progress of time and implies a re-stabilization phase, usually referred to as reconsolidation. To date, the main findings describe the mechanisms associated with the labilization-reconsolidation process, but little is known about its functionality from a biological standpoint. Indeed, two functions have been proposed. One suggests that destabilization of the original memory after the reminder allows the integration of new information into the background of the original memory (memory updating, and the other suggests that the labilization-reconsolidation process strengthens the original memory (memory strengthening. We have previously reported the reconsolidation of human declarative memories, demonstrating memory updating in the framework of reconsolidation. Here we deal with the strengthening function attributed to the reconsolidation process. We triggered labilization-reconsolidation processes successively by repeated presentations of the proper reminder. Participants learned an association between five cue-syllables and their respective response-syllables. Twenty-four hours later, the paired-associate verbal memory was labilized by exposing the subjects to one, two or four reminders. The List-memory was evaluated on Day 3 showing that the memory was improved when at least a second reminder was presented in the time window of the first labilization-reconsolidation process prompted by the earlier reminder. However, the improvement effect was revealed on Day 3, only when at least two reminders were presented on Day 2 and not as a consequence of only retrieval. Therefore, we propose central concepts for the reconsolidation process, emphasizing its biological role and the

  18. Repeated Labilization-Reconsolidation Processes Strengthen Declarative Memory in Humans

    Science.gov (United States)

    Forcato, Cecilia; Rodríguez, María L. C.; Pedreira, María E.

    2011-01-01

    The idea that memories are immutable after consolidation has been challenged. Several reports have shown that after the presentation of a specific reminder, reactivated old memories become labile and again susceptible to amnesic agents. Such vulnerability diminishes with the progress of time and implies a re-stabilization phase, usually referred to as reconsolidation. To date, the main findings describe the mechanisms associated with the labilization-reconsolidation process, but little is known about its functionality from a biological standpoint. Indeed, two functions have been proposed. One suggests that destabilization of the original memory after the reminder allows the integration of new information into the background of the original memory (memory updating), and the other suggests that the labilization-reconsolidation process strengthens the original memory (memory strengthening). We have previously reported the reconsolidation of human declarative memories, demonstrating memory updating in the framework of reconsolidation. Here we deal with the strengthening function attributed to the reconsolidation process. We triggered labilization-reconsolidation processes successively by repeated presentations of the proper reminder. Participants learned an association between five cue-syllables and their respective response-syllables. Twenty-four hours later, the paired-associate verbal memory was labilized by exposing the subjects to one, two or four reminders. The List-memory was evaluated on Day 3 showing that the memory was improved when at least a second reminder was presented in the time window of the first labilization-reconsolidation process prompted by the earlier reminder. However, the improvement effect was revealed on Day 3, only when at least two reminders were presented on Day2 and not as a consequence of only retrieval. Therefore, we propose central concepts for the reconsolidation process, emphasizing its biological role and the parametrical constrains

  19. Neural correlates of memory retrieval in the prefrontal cortex.

    Science.gov (United States)

    Nácher, Verónica; Ojeda, Sabiela; Cadarso-Suárez, Carmen; Roca-Pardiñas, Javier; Acuña, Carlos

    2006-08-01

    Working memory includes short-term representations of information that were recently experienced or retrieved from long-term representations of sensory stimuli. Evidence is presented here that working memory activates the same dorsolateral prefrontal cortex neurons that: (a) maintained recently perceived visual stimuli; and (b) retrieved visual stimuli from long-term memory (LTM). Single neuron activity was recorded in the dorsolateral prefrontal cortex while trained monkeys discriminated between two orientated lines shown sequentially, separated by a fixed interstimulus interval. This visual task required the monkey to compare the orientation of the second line with the memory trace of the first and to decide the relative orientation of the second. When the behavioural task required the monkey to maintain in working memory a first stimulus that continually changed from trial to trial, the discharge in these cells was related to the parameters--the orientation--of the memorized item. Then, what the monkey had to recall from memory was manipulated by switching to another task in which the first stimulus was not shown, and had to be retrieved from LTM. The discharge rates of the same neurons also varied depending on the parameters of the memorized stimuli, and their response was progressively delayed as the monkey performed the task. These results suggest that working memory activates dorsolateral prefrontal cortex neurons that maintain parametrical visual information in short-term and LTM, and that the contents of working memory cannot be limited to what has recently happened in the sensory environment.

  20. Episodic memory for natural and transformed food.

    Science.gov (United States)

    Aiello, Marilena; Vignando, Miriam; Foroni, Francesco; Pergola, Giulio; Rossi, Paola; Silveri, Maria Caterina; Rumiati, Raffaella I

    2018-05-10

    It has been proposed that the conceptual knowledge of food and its putative subdivision into natural (i.e., fruit/vegetables) and transformed (i.e., food that underwent thermic or non-thermic processing) may follow the living/non-living distinction. In the present study, we investigated whether the advantage for living things compared to non-living things observed in episodic memory (the so-called animacy effect) extends to natural foods and transformed foods respectively. We pursued this issue in two experiments. In Experiment 1, we measured episodic memory for natural and transformed foods in young participants. In Experiment 2, we enrolled dementia-free centenarians, patients with Alzheimer's disease (DAT), Progressive primary aphasia (PPA), and healthy controls whose episodic memory was also tested for living/non-living things. Results showed that young participants had better recognition memory for transformed foods compared to natural foods. This difference disappeared in centenarians and patients. However, centenarians and PPA exhibited enhanced levels of false alarms (FA) with natural food, and DAT patients with both natural and transformed food. As far as the living/non-living distinction is concerned, the episodic memory for the living category appears more resilient to the decline compared to the non-living category in patients, particularly those with PPA. In conclusion, our study shows that transformed food is better remembered than natural food, suggesting that it is more salient and possibly relevant from an evolutionary perspective. The natural/transformed distinction appears susceptible to erosion only in the presence of a high degree of episodic memory impairment. These results offer novel insight on episodic memory of food, and also extend the current knowledge on the animacy effect in episodic memory. Copyright © 2018 Elsevier Ltd. All rights reserved.

  1. Effects of Transcranial Direct Current Stimulation (tDCS) on Human Memory.

    Energy Technology Data Exchange (ETDEWEB)

    Matzen, Laura E.; Trumbo, Michael Christopher Stefan

    2014-10-01

    Training a person in a new knowledge base or skill set is extremely time consuming and costly, particularly in highly specialized domains such as the military and the intelligence community. Recent research in cognitive neuroscience has suggested that a technique called transcranial direct current stimulation (tDCS) has the potential to revolutionize training by enabling learners to acquire new skills faster, more efficiently, and more robustly (Bullard et al., 2011). In this project, we tested the effects of tDCS on two types of memory performance that are critical for learning new skills: associative memory and working memory. Associative memory is memory for the relationship between two items or events. It forms the foundation of all episodic memories, so enhancing associative memory could provide substantial benefits to the speed and robustness of learning new information. We tested the effects of tDCS on associative memory, using a real-world associative memory task: remembering the links between faces and names. Working memory refers to the amount of information that can be held in mind and processed at one time, and it forms the basis for all higher-level cognitive processing. We investigated the degree of transfer between various working memory tasks (the N-back task as a measure of verbal working memory, the rotation-span task as a measure of visuospatial working memory, and Raven's progressive matrices as a measure of fluid intelligence) in order to determine if tDCS-induced facilitation of performance is task-specific or general.

  2. Two memory associated genes regulated by amyloid precursor protein intracellular domain ovel insights into the pathogenesis of learning and memory impairment in Alzheimer's disease

    Institute of Scientific and Technical Information of China (English)

    Chuandong Zheng; Xi Gu; Zhimei Zhong; Rui Zhu; Tianming Gao; Fang Wang

    2012-01-01

    In this study, we employed chromatin immunoprecipitation, a useful method for studying the locations of transcription factors bound to specific DNA regions in specific cells, to investigate amyloid precursor protein intracellular domain binding sites in chromatin DNA from hippocampal neurons of rats, and to screen out five putative genes associated with the learning and memory functions. The promoter regions of the calcium/calmodulin-dependent protein kinase II alpha and glutamate receptor-2 genes were amplified by PCR from DNA products immunoprecipitated by amyloid precursor protein intracellular domain. An electrophoretic mobility shift assay and western blot analysis suggested that the promoter regions of these two genes associated with learning and memory were bound by amyloid precursor protein intracellular domain (in complex form). Our experimental findings indicate that the amyloid precursor protein intracellular domain is involved in the transcriptional regulation of learning- and memory-associated genes in hippocampal neurons. These data may provide new insights into the molecular mechanism underlying the symptoms of progressive memory loss in Alzheimer's disease.

  3. Is there a relation between EEG-slow waves and memory dysfunction in epilepsy? A critical appraisal.

    Directory of Open Access Journals (Sweden)

    Höller eYvonne

    2015-06-01

    Full Text Available Is there a relationship between peri-ictal slow waves, loss of consciousness, memory, and slow wave sleep, in patients with different forms of epilepsy? We hypothesize that mechanisms which result in peri-ictal slow wave activity as detected by the electroencephalogram could negatively affect memory processes.Slow waves (≤ 4 Hz can be found in seizures with impairment of consciousness and also occur in focal seizures without impairment of consciousness but with inhibited access to memory functions. Peri-ictal slow waves are regarded as dysfunctional and are probably caused by mechanisms which are essential to disturb the consolidation of memory entries in these patients. This is in strong contrast to physiological slow wave activity during deep sleep, which is thought to group memory-consolidating fast oscillatory activity.In patients with epilepsy, slow waves may not only correlate with the peri-ictal clouding of consciousness, but could be the epiphenomenon of mechanisms which interfere with normal brain function in a wider range. These mechanisms may have transient impacts on memory, such as temporary inhibition of memory systems, altered patterns of hippocampal-neocortical interactions during slow wave sleep, or disturbed cross-frequency coupling of slow and fast oscillations. In addition, repeated tonic-clonic seizures over the years in uncontrolled chronic epilepsy may cause a progressive cognitive decline.This hypothesis can only be assessed in long term prospective studies. These studies could disentangle the reversible short-term impacts of seizures, and the impacts of chronic uncontrolled seizures. Chronic uncontrolled seizures lead to irreversible memory impairment. In contrast, short term impacts do not necessarily lead to a progressive cognitive decline but result in significantly impaired peri-ictal memory performance.

  4. Analysis and modeling of resistive switching mechanism oriented to fault tolerance of resistive memory based on memristor

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2014-01-01

    With the progress of the semiconductor industry, resistive memories, especially the memristor, have drawn increasing attention. The resistive memory based on memrsitor has not been commercialized mainly because of data error. Currently, there are more studies focused on fault tolerance of resistive memory. This paper studies the resistive switching mechanism which may have time-varying characteristics. Resistive switching mechanism is analyzed and its respective circuit model is established based on the memristor Spice model

  5. Memory, reasoning, and categorization: parallels and common mechanisms.

    Science.gov (United States)

    Hayes, Brett K; Heit, Evan; Rotello, Caren M

    2014-01-01

    Traditionally, memory, reasoning, and categorization have been treated as separate components of human cognition. We challenge this distinction, arguing that there is broad scope for crossover between the methods and theories developed for each task. The links between memory and reasoning are illustrated in a review of two lines of research. The first takes theoretical ideas (two-process accounts) and methodological tools (signal detection analysis, receiver operating characteristic curves) from memory research and applies them to important issues in reasoning research: relations between induction and deduction, and the belief bias effect. The second line of research introduces a task in which subjects make either memory or reasoning judgments for the same set of stimuli. Other than broader generalization for reasoning than memory, the results were similar for the two tasks, across a variety of experimental stimuli and manipulations. It was possible to simultaneously explain performance on both tasks within a single cognitive architecture, based on exemplar-based comparisons of similarity. The final sections explore evidence for empirical and processing links between inductive reasoning and categorization and between categorization and recognition. An important implication is that progress in all three of these fields will be expedited by further investigation of the many commonalities between these tasks.

  6. Memory, reasoning and categorization: Parallels and common mechanisms

    Directory of Open Access Journals (Sweden)

    BRETT eHAYES

    2014-06-01

    Full Text Available Traditionally, memory, reasoning and categorization have been treated as separate components of human cognition. We challenge this distinction, arguing that there is broad scope for crossover between the methods and theories developed for each task. The links between memory and reasoning are illustrated in a review of two lines of research. The first takes theoretical ideas (two-process accounts and methodological tools (signal detection analysis, receiver operating characteristic curves from memory research and applies them to important issues in reasoning research: relations between induction and deduction, and the belief bias effect. The second line of research introduces a task in which subjects make either memory or reasoning judgments for the same set of stimuli. Other than broader generalization for reasoning than memory, the results were similar for the two tasks, across a variety of experimental stimuli and manipulations. It was possible to simultaneously explain performance on both tasks within a single cognitive architecture, based on exemplar-based comparisons of similarity. The final sections explore evidence for empirical and processing links between inductive reasoning and categorization and between categorization and recognition. An important implication is that progress in all three of these fields will be expedited by further investigation of the many commonalities between these tasks.

  7. Multiprocessor systems for real-time data acquisition on the Asdex upgrade and future plasma experiments

    International Nuclear Information System (INIS)

    Zilker, M.; Hallatschek, K.; Heimann, P.; Hertweck, F.

    1999-01-01

    In this paper we present our transputer-based multitop multiprocessor systems for data acquisition, which are currently used on the Asdex upgrade experiment. The bandwidth of these systems goes from low-speed like the calorimetry diagnostic up to highspeed and large data volume systems like the soft-X-ray and Mirnov diagnostics, which collect several hundreds of megabytes of data during a plasma discharge of ∼8 s. Further, we present the multitop-MX, a newly developed system based on transputers and powerPCs, which provides real-time facilities for analysing the acquired data, to generate necessary information for the dynamic adaptation of sample rates, and to deliver triggers when certain events in the plasma are detected. The algorithm running on the powerPCs performs a wavelet like time-frequency transform. In the last part we give an outlook how to build the next generation of data acquisition systems to be used on the future plasma experiments W7-X and ITER, but also on Asdex upgrade. The hardware of these new distributed systems should be mainly based on established industry standards like the VME-bus, PCI-bus and FiberChannel, but also emerging technologies like SCI (scalable coherent interconnect) should be considered. The systems software should be well designed with object oriented methods to simplify the maintenance process and to enable further expansions and adaptations to new problems in an easy way. (orig.)

  8. Episodic memory, semantic memory, and amnesia.

    Science.gov (United States)

    Squire, L R; Zola, S M

    1998-01-01

    Episodic memory and semantic memory are two types of declarative memory. There have been two principal views about how this distinction might be reflected in the organization of memory functions in the brain. One view, that episodic memory and semantic memory are both dependent on the integrity of medial temporal lobe and midline diencephalic structures, predicts that amnesic patients with medial temporal lobe/diencephalic damage should be proportionately impaired in both episodic and semantic memory. An alternative view is that the capacity for semantic memory is spared, or partially spared, in amnesia relative to episodic memory ability. This article reviews two kinds of relevant data: 1) case studies where amnesia has occurred early in childhood, before much of an individual's semantic knowledge has been acquired, and 2) experimental studies with amnesic patients of fact and event learning, remembering and knowing, and remote memory. The data provide no compelling support for the view that episodic and semantic memory are affected differently in medial temporal lobe/diencephalic amnesia. However, episodic and semantic memory may be dissociable in those amnesic patients who additionally have severe frontal lobe damage.

  9. Role of the hippocampus in memory functioning: modern view

    Directory of Open Access Journals (Sweden)

    D. O. Assonov

    2017-12-01

    Full Text Available The purpose of this review was to develop the comprehensive conception of the hippocampus role in the functioning of human memory, based on data obtained by analysis of the latest scientific literature on the topic and make recommendations for further ways of researches in this topic. The scientific literature of the last 5 years on the role of the hippocampus in memory functioning was analyzed. Based on the reviewed literature, we made the next conclusions: the hippocampus is an extremely important for memory structure with various connections for different types of memory; the hippocampus is affected by a variety of substances, most studied now are glucocorticosteroids, whose effect on memory differs depending on the start time of action; the hippocampus volume in mental disorders affecting memory is less than normal, which makes it an important diagnostic criterion; at the moment, various promising methods that can help in the therapy of PTSD, depression, phobias and other disorders associated with memory impairment and based on the knowledge of the hippocampus for the treatment of memory disorders are being developed. Based on these conclusions and data, which were analyzed, we offered the following recommendations: to implement the hippocampal function examination in the diagnostics of mental disorders, which are accompanied by a violation of its work; to use the size of the hippocampus as one of the prognostic factors for the severity of the memory-associated disorders and the therapy progress; to carefully investigate the difference in the effect of various psychotherapies and pharmacotherapies on the hippocampus to determine exactly which of the therapies is the most morphologically reasonable; to find out how significant the decrease in the hippocampal volume is for the memory functioning; to use pathogenetically and morphologically based methods to improve the function of the hippocampus in the treatment of disorders that are

  10. pn: A Tool for Improved Derivation of Process Networks

    Directory of Open Access Journals (Sweden)

    Sven Verdoolaege

    2007-04-01

    Full Text Available Current emerging embedded System-on-Chip platforms are increasingly becoming multiprocessor architectures. System designers experience significant difficulties in programming these platforms. The applications are typically specified as sequential programs that do not reveal the available parallelism in an application, thereby hindering the efficient mapping of an application onto a parallel multiprocessor platform. In this paper, we present our compiler techniques for facilitating the migration from a sequential application specification to a parallel application specification using the process network model of computation. Our work is inspired by a previous research project called Compaan. With our techniques we address optimization issues such as the generation of process networks with simplified topology and communication without sacrificing the process networks' performance. Moreover, we describe a technique for compile-time memory requirement estimation which we consider as an important contribution of this paper. We demonstrate the usefulness of our techniques on several examples.

  11. The Scalable Coherent Interface and related standards projects

    International Nuclear Information System (INIS)

    Gustavson, D.B.

    1991-09-01

    The Scalable Coherent Interface (SCI) project (IEEE P1596) found a way to avoid the limits that are inherent in bus technology. SCI provides bus-like services by transmitting packets on a collection of point-to-point unidirectional links. The SCI protocols support cache coherence in a distributed-shared-memory multiprocessor model, message passing, I/O, and local-area-network-like communication over fiber optic or wire links. VLSI circuits that operate parallel links at 1000 MByte/s and serial links at 1000 Mbit/s will be available early in 1992. Several ongoing SCI-related projects are applying the SCI technology to new areas or extending it to more difficult problems. P1596.1 defines the architecture of a bridge between SCI and VME; P1596.2 compatibly extends the cache coherence mechanism for efficient operation with kiloprocessor systems; P1596.3 defines new low-voltage (about 0.25 V) differential signals suitable for low power interfaces for CMOS or GaAs VLSI implementations of SCI; P1596.4 defines a high performance memory chip interface using these signals; P1596.5 defines data transfer formats for efficient interprocessor communication in heterogeneous multiprocessor systems. This paper reports the current status of SCI, related standards, and new projects. 16 refs

  12. Pthreads vs MPI Parallel Performance of Angular-Domain Decomposed S

    International Nuclear Information System (INIS)

    Azmy, Y.Y.; Barnett, D.A.

    2000-01-01

    Two programming models for parallelizing the Angular Domain Decomposition (ADD) of the discrete ordinates (S n ) approximation of the neutron transport equation are examined. These are the shared memory model based on the POSIX threads (Pthreads) standard, and the message passing model based on the Message Passing Interface (MPI) standard. These standard libraries are available on most multiprocessor platforms thus making the resulting parallel codes widely portable. The question is: on a fixed platform, and for a particular code solving a given test problem, which of the two programming models delivers better parallel performance? Such comparison is possible on Symmetric Multi-Processors (SMP) architectures in which several CPUs physically share a common memory, and in addition are capable of emulating message passing functionality. Implementation of the two-dimensional,(S n ), Arbitrarily High Order Transport (AHOT) code for solving neutron transport problems using these two parallelization models is described. Measured parallel performance of each model on the COMPAQ AlphaServer 8400 and the SGI Origin 2000 platforms is described, and comparison of the observed speedup for the two programming models is reported. For the case presented in this paper it appears that the MPI implementation scales better than the Pthreads implementation on both platforms

  13. [Involvement of aquaporin-4 in synaptic plasticity, learning and memory].

    Science.gov (United States)

    Wu, Xin; Gao, Jian-Feng

    2017-06-25

    Aquaporin-4 (AQP-4) is the predominant water channel in the central nervous system (CNS) and primarily expressed in astrocytes. Astrocytes have been generally believed to play important roles in regulating synaptic plasticity and information processing. However, the role of AQP-4 in regulating synaptic plasticity, learning and memory, cognitive function is only beginning to be investigated. It is well known that synaptic plasticity is the prime candidate for mediating of learning and memory. Long term potentiation (LTP) and long term depression (LTD) are two forms of synaptic plasticity, and they share some but not all the properties and mechanisms. Hippocampus is a part of limbic system that is particularly important in regulation of learning and memory. This article is to review some research progresses of the function of AQP-4 in synaptic plasticity, learning and memory, and propose the possible role of AQP-4 as a new target in the treatment of cognitive dysfunction.

  14. Dynamically prioritized progressive transmission

    Science.gov (United States)

    Blanford, Ronald

    1992-04-01

    Retrieval of image data from a centralized database may be subject to bandwidth limitations, whether due to a low-bandwidth communications link or to contention from simultaneous accesses over a high-bandwidth link. Progressive transmission can alleviate this problem by encoding image data so that any prefix of the data stream approximates the complete image at a coarse level of resolution. The longer the prefix, the finer the resolution. In many cases, as little at 1 percent of the image data may be sufficient to decide whether to discard the image, to permit the retrieval to continue, or to restrict retrieval to a subsection of the image. Our approach treats resolution not as a fixed attribute of the image, but rather as a resource which may be allocated to portions of the image at the direction of a user-specified priority function. The default priority function minimizes error by allocating more resolution to regions of high variance. The user may also point to regions of interest requesting priority transmission. More advanced target recognition strategies may be incorporated at the user's discretion. Multispectral imagery is supported. The user engineering implications are profounded. There is immediate response to a query that might otherwise take minutes to complete. The data is transmitted in small increments so that no single user dominates the communications bandwidth. The user-directed improvement means that bandwidth is focused on interesting information. The user may continue working with the first coarse approximations while further image data is still arriving. The algorithm has been implemented in C on Sun, Silicon Graphics, and NeXT workstations, and in Lisp on a Symbolics. Transmission speeds reach as high as 60,000 baud using a Sparc or 68040 processor when storing data to memory; somewhat less if also updating a graphical display. The memory requirements are roughly five bytes per image pixel. Both computational and memory costs may be reduced

  15. Optimal data replication: A new approach to optimizing parallel EM algorithms on a mesh-connected multiprocessor for 3D PET image reconstruction

    International Nuclear Information System (INIS)

    Chen, C.M.; Lee, S.Y.

    1995-01-01

    The EM algorithm promises an estimated image with the maximal likelihood for 3D PET image reconstruction. However, due to its long computation time, the EM algorithm has not been widely used in practice. While several parallel implementations of the EM algorithm have been developed to make the EM algorithm feasible, they do not guarantee an optimal parallelization efficiency. In this paper, the authors propose a new parallel EM algorithm which maximizes the performance by optimizing data replication on a mesh-connected message-passing multiprocessor. To optimize data replication, the authors have formally derived the optimal allocation of shared data, group sizes, integration and broadcasting of replicated data as well as the scheduling of shared data accesses. The proposed parallel EM algorithm has been implemented on an iPSC/860 with 16 PEs. The experimental and theoretical results, which are consistent with each other, have shown that the proposed parallel EM algorithm could improve performance substantially over those using unoptimized data replication

  16. Spatial working memory in aging and mild cognitive impairment: effects of task load and contextual cueing.

    Science.gov (United States)

    Kessels, Roy P C; Meulenbroek, Olga; Fernández, Guillén; Olde Rikkert, Marcel G M

    2010-09-01

    Mild Cognitive Impairment (MCI) is characterized by episodic memory deficits, while aspects of working memory may also be implicated, but studies into this latter domain are scarce and results are inconclusive. Using a computerized search paradigm, this study compares 25 young adults, 25 typically aging older adults and 15 amnestic MCI patients as to their working-memory capacities for object-location information and potential differential effects of memory load and additional context cues. An age-related deficit in visuospatial working-memory maintenance was found that became more pronounced with increasing task demands. The MCI group additionally showed reduced maintenance of bound information, i.e., object-location associations, again especially at elevated memory load. No effects of contextual cueing were found. The current findings indicate that working memory should be considered when screening patients for suspected MCI and monitoring its progression.

  17. Sleep-dependent memory consolidation in healthy aging and mild cognitive impairment.

    Science.gov (United States)

    Pace-Schott, Edward F; Spencer, Rebecca M C

    2015-01-01

    Sleep quality and architecture as well as sleep's homeostatic and circadian controls change with healthy aging. Changes include reductions in slow-wave sleep's (SWS) percent and spectral power in the sleep electroencephalogram (EEG), number and amplitude of sleep spindles, rapid eye movement (REM) density and the amplitude of circadian rhythms, as well as a phase advance (moved earlier in time) of the brain's circadian clock. With mild cognitive impairment (MCI) there are further reductions of sleep quality, SWS, spindles, and percent REM, all of which further diminish, along with a profound disruption of circadian rhythmicity, with the conversion to Alzheimer's disease (AD). Sleep disorders may represent risk factors for dementias (e.g., REM Behavior Disorder presages Parkinson's disease) and sleep disorders are themselves extremely prevalent in neurodegenerative diseases. Working memory , formation of new episodic memories, and processing speed all decline with healthy aging whereas semantic, recognition, and emotional declarative memory are spared. In MCI, episodic and working memory further decline along with declines in semantic memory. In young adults, sleep-dependent memory consolidation (SDC) is widely observed for both declarative and procedural memory tasks. However, with healthy aging, although SDC for declarative memory is preserved, certain procedural tasks, such as motor-sequence learning, do not show SDC. In younger adults, fragmentation of sleep can reduce SDC, and a normative increase in sleep fragmentation may account for reduced SDC with healthy aging. Whereas sleep disorders such as insomnia, obstructive sleep apnea, and narcolepsy can impair SDC in the absence of neurodegenerative changes, the incidence of sleep disorders increases both with normal aging and, further, with neurodegenerative disease. Specific features of sleep architecture, such as sleep spindles and SWS are strongly linked to SDC. Diminution of these features with healthy aging

  18. A program for undergraduate research into the mechanisms of sensory coding and memory decay

    Energy Technology Data Exchange (ETDEWEB)

    Calin-Jageman, R J

    2010-09-28

    This is the final technical report for this DOE project, entitltled "A program for undergraduate research into the mechanisms of sensory coding and memory decay". The report summarizes progress on the three research aims: 1) to identify phyisological and genetic correlates of long-term habituation, 2) to understand mechanisms of olfactory coding, and 3) to foster a world-class undergraduate neuroscience program. Progress on the first aim has enabled comparison of learning-regulated transcripts across closely related learning paradigms and species, and results suggest that only a small core of transcripts serve truly general roles in long-term memory. Progress on the second aim has enabled testing of several mutant phenotypes for olfactory behaviors, and results show that responses are not fully consistent with the combinitoral coding hypothesis. Finally, 14 undergraduate students participated in this research, the neuroscience program attracted extramural funding, and we completed a successful summer program to enhance transitions for community-college students into 4-year colleges to persue STEM fields.

  19. When the Wedding March becomes sad: Semantic memory impairment for music in the semantic variant of primary progressive aphasia.

    Science.gov (United States)

    Macoir, Joël; Berubé-Lalancette, Sarah; Wilson, Maximiliano A; Laforce, Robert; Hudon, Carol; Gravel, Pierre; Potvin, Olivier; Duchesne, Simon; Monetta, Laura

    2016-12-01

    Music can induce particular emotions and activate semantic knowledge. In the semantic variant of primary progressive aphasia (svPPA), semantic memory is impaired as a result of anterior temporal lobe (ATL) atrophy. Semantics is responsible for the encoding and retrieval of factual knowledge about music, including associative and emotional attributes. In the present study, we report the performance of two individuals with svPPA in three experiments. NG with bilateral ATL atrophy and ND with atrophy largely restricted to the left ATL. Experiment 1 assessed the recognition of musical excerpts and both patients were unimpaired. Experiment 2 studied the emotions conveyed by music and only NG showed impaired performance. Experiment 3 tested the association of semantic concepts to musical excerpts and both patients were impaired. These results suggest that the right ATL seems essential for the recognition of emotions conveyed by music and that the left ATL is involved in binding music to semantics. They are in line with the notion that the ATLs are devoted to the binding of different modality-specific properties and suggest that they are also differentially involved in the processing of factual and emotional knowledge associated with music.

  20. Aberrant Learning and Memory in Addiction

    Science.gov (United States)

    Torregrossa, Mary M.; Corlett, Philip R.; Taylor, Jane R.

    2011-01-01

    Over the past several years, drug addiction has increasingly been accepted to be a disease of the brain as opposed to simply being due to a lack of willpower or personality flaw. Exposure to addictive substances has been shown to create enduring changes in brain structure and function that are thought to underlie the transition to addiction. Specific genetic and environmental vulnerability factors also influence the impact of drugs of abuse on the brain and can enhance the likelihood of becoming an addict. Long-lasting alterations in brain function have been found in neural circuits that are known to be responsible for normal appetitive learning and memory processes and it has been hypothesized that drugs of abuse enhance positive learning and memory about the drug while inhibiting learning about the negative consequences of drug use. Therefore, the addict's behavior becomes increasingly directed towards obtaining and using drugs of abuse, while at the same time developing a poorer ability to stop using, even when the drug is less rewarding or interferes with functioning in other facets of life. In this review we will discuss the clinical evidence that addicted individuals have altered learning and memory and describe the possible neural substrates of this dysfunction. In addition, we will explore the preclinical evidence that drugs of abuse cause a progressive disorder of learning and memory, review the molecular and neurobiological changes that may underlie this disorder, determine the genetic and environmental factors that may increase vulnerability to addiction, and suggest potential strategies for treating addiction through manipulations of learning and memory. PMID:21376820

  1. Simulation of Particulate Flows Multi-Processor Machines with Distributed Memory

    Energy Technology Data Exchange (ETDEWEB)

    Uhlmann, M.

    2004-07-01

    We presented a method for the parallelization of an immersed boundary algorithm for particulate flows using the MPI standard of communication. The treatment of the fluid phase used the domain decomposition technique over a Cartesian processor grid. The solution of the Helmholtz problem is approximately factorized an relies upon apparel tri-diagonal solver the Poisson problem is solved by means of a parallel multi-grid technique similar to MUDPACK. for the solid phase we employ a master-slaves technique where one processor handles all the particles contained in its Eulerian fluid sub-domain and zero or more neighbor processors collaborate in the computation of particle-related quantities whenever a particle position over laps the boundary of a sub-domain. the parallel efficiency for some preliminary computations is presented. (Author) 9 refs.

  2. Memory blindness: Altered memory reports lead to distortion in eyewitness memory.

    Science.gov (United States)

    Cochran, Kevin J; Greenspan, Rachel L; Bogart, Daniel F; Loftus, Elizabeth F

    2016-07-01

    Choice blindness refers to the finding that people can often be misled about their own self-reported choices. However, little research has investigated the more long-term effects of choice blindness. We examined whether people would detect alterations to their own memory reports, and whether such alterations could influence participants' memories. Participants viewed slideshows depicting crimes, and then either reported their memories for episodic details of the event (Exp. 1) or identified a suspect from a lineup (Exp. 2). Then we exposed participants to manipulated versions of their memory reports, and later tested their memories a second time. The results indicated that the majority of participants failed to detect the misinformation, and that exposing witnesses to misleading versions of their own memory reports caused their memories to change to be consistent with those reports. These experiments have implications for eyewitness memory.

  3. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  4. Working Memory Integration Processes in Benign Childhood Epilepsy with Centrotemporal Spikes.

    Science.gov (United States)

    Kárpáti, Judit; Donauer, Nándor; Somogyi, Eszter; Kónya, Anikó

    2015-12-01

    Benign epilepsy of childhood with centrotemporal spikes (BECTS) is the most frequent focal epilepsy in children; however, the pattern of affected memory processes remains controversial. Previous studies in BECTS imply deficits in complex working memory tasks, but not in simple modality-specific tasks. We studied working memory processes in children with BECTS by comparing performance in memory binding tasks of different complexities. We compared 17 children with BECTS (aged 6 to 13 years) to 17 healthy children matched for age, sex, and intelligence quotient. We measured spatial and verbal memory components separately and jointly on three single-binding tasks (binding of what and where; what and when; and where and when) and a combined-binding task (integration of what, where, and when). We also evaluated basic visuospatial memory functions with subtests of the Children's Memory Scale, and intellectual abilities with verbal tasks of the Wechsler Intelligence Scale for Children-Fourth Edition and the Raven Progressive Matrices. We found no difference between the BECTS and control groups in single-binding tasks; however, the children with BECTS performed significantly worse on the combined task, which included integration of spatial, verbal, and temporal information. We found no deficits in their intellectual abilities or basic visuospatial memory functions. Children with BECTS may have intact simple maintenance processes of working memory, but difficulty with high-level functions requiring attentional and executive resources. Our findings imply no specific memory dysfunction in BECTS, but suggest difficulties in integrating information within working memory, and possible frontal lobe disturbances.

  5. Human memory retrieval as Lévy foraging

    Science.gov (United States)

    Rhodes, Theo; Turvey, Michael T.

    2007-11-01

    When people attempt to recall as many words as possible from a specific category (e.g., animal names) their retrievals occur sporadically over an extended temporal period. Retrievals decline as recall progresses, but short retrieval bursts can occur even after tens of minutes of performing the task. To date, efforts to gain insight into the nature of retrieval from this fundamental phenomenon of semantic memory have focused primarily upon the exponential growth rate of cumulative recall. Here we focus upon the time intervals between retrievals. We expected and found that, for each participant in our experiment, these intervals conformed to a Lévy distribution suggesting that the Lévy flight dynamics that characterize foraging behavior may also characterize retrieval from semantic memory. The closer the exponent on the inverse square power-law distribution of retrieval intervals approximated the optimal foraging value of 2, the more efficient was the retrieval. At an abstract dynamical level, foraging for particular foods in one's niche and searching for particular words in one's memory must be similar processes if particular foods and particular words are randomly and sparsely located in their respective spaces at sites that are not known a priori. We discuss whether Lévy dynamics imply that memory processes, like foraging, are optimized in an ecological way.

  6. The neural basis of monitoring goal progress

    Directory of Open Access Journals (Sweden)

    Yael eBenn

    2014-09-01

    Full Text Available The neural basis of progress monitoring has received relatively little attention compared to other sub-processes that are involved in goal directed behavior such as motor control and response inhibition. Studies of error-monitoring have identified the dorsal anterior cingulate cortex (dACC as a structure that is sensitive to conflict detection, and triggers corrective action. However, monitoring goal progress involves monitoring correct as well as erroneous events over a period of time. In the present research, 20 healthy participants underwent fMRI while playing a game that involved monitoring progress towards either a numerical or a visuo-spatial target. The findings confirmed the role of the dACC in detecting situations in which the current state may conflict with the desired state, but also revealed activations in the frontal and parietal regions, pointing to the involvement of processes such as attention and working memory in monitoring progress over time. In addition, activation of the cuneus was associated with monitoring progress towards a specific target presented in the visual modality. This is the first time that activation in this region has been linked to higher-order processing of goal-relevant information, rather than low-level anticipation of visual stimuli. Taken together, these findings identify the neural substrates involved in monitoring progress over time, and how these extend beyond activations observed in conflict and error monitoring.

  7. Automatic code generation for distributed robotic systems

    International Nuclear Information System (INIS)

    Jones, J.P.

    1993-01-01

    Hetero Helix is a software environment which supports relatively large robotic system development projects. The environment supports a heterogeneous set of message-passing LAN-connected common-bus multiprocessors, but the programming model seen by software developers is a simple shared memory. The conceptual simplicity of shared memory makes it an extremely attractive programming model, especially in large projects where coordinating a large number of people can itself become a significant source of complexity. We present results from three system development efforts conducted at Oak Ridge National Laboratory over the past several years. Each of these efforts used automatic software generation to create 10 to 20 percent of the system

  8. A review study on medicinal plants used in the treatment of learning and memory impairments

    Institute of Scientific and Technical Information of China (English)

    Nahid Jivad; Zahra Rabiei

    2014-01-01

    Alzheimer's disease (AD) is a progressive brain disorder that gradually impairs the person's memory and ability to learn, reasoning, judgment, communication and daily activities. AD is characterized clinically by cognitive impairment and pathologically by the deposition of β amyloid plaques and neurofibrillary tangles, and the degeneration of the cholinergic basal forebrain. During the progression of AD patients may produce changes in personality and behavior, such as anxiety, paranoia, confusion, hallucinations and also to experience delusions and fantasies. The first neurotransmitter defect discovered in AD involved acetylcholine as cholinergic function is required for short-term memory. Oxidative stress may underlie the progressive neurodegeneration characteristic of AD. Brain structures supporting memory are uniquely sensitive to oxidative stress due to their elevated demand for oxygen. The neurodegenerative process in AD may involveβ amyloid toxicity. Neurotoxicity of β amyloid appears to involve oxidative stress. Currently, there is no cure for this disease but in new treatments, reveals a new horizon on the biology of this disease. This paper reviews the effects of a number of commonly used types of herbal medicines for the treatment of AD. The objective of this article was to review evidences from controlled studies in order to determine whether herbs can be useful in the treatment of cognitive disorders in the elderly.

  9. A review study on medicinal plants used in the treatment of learning and memory impairments

    Institute of Scientific and Technical Information of China (English)

    Nahid; Jivad; Zahra; Rabiei

    2014-01-01

    Alzheimer′s disease(AD) is a progressive brain disorder thai gradual!) impairs the person’s memory and ability to learn,reasoning.judgment,communication and daily activities.All is characterized clinically by cognitive impairment and pathologically by the deposition of β amyloid plaques and neurofibrillary tangles,and the degeneration of the cholinergic basal forebrain.During the progression of AD patients may produce changes in personality and behavior,such as anxiety,paranoia,confusion,hallucinations and also to experience delusions and lanlasies.The first neurotransmitter defect discovered in Al) involved acetylcholine as cholinergic function is required for short—term memory.Oxidative stress may underlie the progressive neurodegeneration characteristic of AD.Brain structures supporting memory are uniquely sensitive to oxidative stress due to their elevated demand for oxygen.The neurodegenerative process in AD may involveβ amyloid toxicity.Neurotoxicity of β amyloid appears to involve oxidative stress.Currently,there is no cure for this disease but in new treatments,reveals a new horizon on the biology of this disease.This paper reviews the effects of a number of commonly used types of herbal medicines for the Irealment of AD.The objective of this article was to review evidences from controlled studies in order to determine whether herbs can be useful in the treatment of cognitive disorders in the elderly.

  10. Are subjective memory problems related to suggestibility, compliance, false memories, and objective memory performance?

    Science.gov (United States)

    Van Bergen, Saskia; Jelicic, Marko; Merckelbach, Harald

    2009-01-01

    The relationship between subjective memory beliefs and suggestibility, compliance, false memories, and objective memory performance was studied in a community sample of young and middle-aged people (N = 142). We hypothesized that people with subjective memory problems would exhibit higher suggestibility and compliance levels and would be more susceptible to false recollections than those who are optimistic about their memory. In addition, we expected a discrepancy between subjective memory judgments and objective memory performance. We found that subjective memory judgments correlated significantly with compliance, with more negative memory judgments accompanying higher levels of compliance. Contrary to our expectation, subjective memory problems did not correlate with suggestibility or false recollections. Furthermore, participants were accurate in estimating their objective memory performance.

  11. PIMS: Memristor-Based Processing-in-Memory-and-Storage.

    Energy Technology Data Exchange (ETDEWEB)

    Cook, Jeanine

    2018-02-01

    Continued progress in computing has augmented the quest for higher performance with a new quest for higher energy efficiency. This has led to the re-emergence of Processing-In-Memory (PIM) ar- chitectures that offer higher density and performance with some boost in energy efficiency. Past PIM work either integrated a standard CPU with a conventional DRAM to improve the CPU- memory link, or used a bit-level processor with Single Instruction Multiple Data (SIMD) control, but neither matched the energy consumption of the memory to the computation. We originally proposed to develop a new architecture derived from PIM that more effectively addressed energy efficiency for high performance scientific, data analytics, and neuromorphic applications. We also originally planned to implement a von Neumann architecture with arithmetic/logic units (ALUs) that matched the power consumption of an advanced storage array to maximize energy efficiency. Implementing this architecture in storage was our original idea, since by augmenting storage (in- stead of memory), the system could address both in-memory computation and applications that accessed larger data sets directly from storage, hence Processing-in-Memory-and-Storage (PIMS). However, as our research matured, we discovered several things that changed our original direc- tion, the most important being that a PIM that implements a standard von Neumann-type archi- tecture results in significant energy efficiency improvement, but only about a O(10) performance improvement. In addition to this, the emergence of new memory technologies moved us to propos- ing a non-von Neumann architecture, called Superstrider, implemented not in storage, but in a new DRAM technology called High Bandwidth Memory (HBM). HBM is a stacked DRAM tech- nology that includes a logic layer where an architecture such as Superstrider could potentially be implemented.

  12. Requirement for nuclear calcium signaling in Drosophila long-term memory.

    Science.gov (United States)

    Weislogel, Jan-Marek; Bengtson, C Peter; Müller, Michaela K; Hörtzsch, Jan N; Bujard, Martina; Schuster, Christoph M; Bading, Hilmar

    2013-05-07

    Calcium is used throughout evolution as an intracellular signal transducer. In the mammalian central nervous system, calcium mediates the dialogue between the synapse and the nucleus that is required for transcription-dependent persistent neuronal adaptations. A role for nuclear calcium signaling in similar processes in the invertebrate brain has yet to be investigated. Here, we show by in vivo calcium imaging of adult brain neurons of the fruit fly Drosophila melanogaster, that electrical foot shocks used in olfactory avoidance conditioning evoked transient increases in cytosolic and nuclear calcium concentrations in neurons. These calcium signals were detected in Kenyon cells of the flies' mushroom bodies, which are sites of learning and memory related to smell. Acute blockade of nuclear calcium signaling during conditioning selectively and reversibly abolished the formation of long-term olfactory avoidance memory, whereas short-term, middle-term, or anesthesia-resistant olfactory memory remained unaffected. Thus, nuclear calcium signaling is required in flies for the progression of memories from labile to transcription-dependent long-lasting forms. These results identify nuclear calcium as an evolutionarily conserved signal needed in both invertebrate and vertebrate brains for transcription-dependent memory consolidation.

  13. Why is working memory capacity related to matrix reasoning tasks?

    Science.gov (United States)

    Harrison, Tyler L; Shipstead, Zach; Engle, Randall W

    2015-04-01

    One of the reasons why working memory capacity is so widely researched is its substantial relationship with fluid intelligence. Although this relationship has been found in numerous studies, researchers have been unable to provide a conclusive answer as to why the two constructs are related. In a recent study, researchers examined which attributes of Raven's Progressive Matrices were most strongly linked with working memory capacity (Wiley, Jarosz, Cushen, & Colflesh, Journal of Experimental Psychology: Learning, Memory, and Cognition, 37, 256-263, 2011). In that study, Raven's problems that required a novel combination of rules to solve were more strongly correlated with working memory capacity than were problems that did not. In the present study, we wanted to conceptually replicate the Wiley et al. results while controlling for a few potential confounds. Thus, we experimentally manipulated whether a problem required a novel combination of rules and found that repeated-rule-combination problems were more strongly related to working memory capacity than were novel-rule-combination problems. The relationship to other measures of fluid intelligence did not change based on whether the problem required a novel rule combination.

  14. Novelty-Sensitive Dopaminergic Neurons in the Human Substantia Nigra Predict Success of Declarative Memory Formation.

    Science.gov (United States)

    Kamiński, Jan; Mamelak, Adam N; Birch, Kurtis; Mosher, Clayton P; Tagliati, Michele; Rutishauser, Ueli

    2018-04-12

    The encoding of information into long-term declarative memory is facilitated by dopamine. This process depends on hippocampal novelty signals, but it remains unknown how midbrain dopaminergic neurons are modulated by declarative-memory-based information. We recorded individual substantia nigra (SN) neurons and cortical field potentials in human patients performing a recognition memory task. We found that 25% of SN neurons were modulated by stimulus novelty. Extracellular waveform shape and anatomical location indicated that these memory-selective neurons were putatively dopaminergic. The responses of memory-selective neurons appeared 527 ms after stimulus onset, changed after a single trial, and were indicative of recognition accuracy. SN neurons phase locked to frontal cortical theta-frequency oscillations, and the extent of this coordination predicted successful memory formation. These data reveal that dopaminergic neurons in the human SN are modulated by memory signals and demonstrate a progression of information flow in the hippocampal-basal ganglia-frontal cortex loop for memory encoding. Copyright © 2018 The Author(s). Published by Elsevier Ltd.. All rights reserved.

  15. Peripheral inflammation acutely impairs human spatial memory via actions on medial temporal lobe glucose metabolism.

    Science.gov (United States)

    Harrison, Neil A; Doeller, Christian F; Voon, Valerie; Burgess, Neil; Critchley, Hugo D

    2014-10-01

    Inflammation impairs cognitive performance and is implicated in the progression of neurodegenerative disorders. Rodent studies demonstrated key roles for inflammatory mediators in many processes critical to memory, including long-term potentiation, synaptic plasticity, and neurogenesis. They also demonstrated functional impairment of medial temporal lobe (MTL) structures by systemic inflammation. However, human data to support this position are limited. Sequential fluorodeoxyglucose positron emission tomography together with experimentally induced inflammation was used to investigate effects of a systemic inflammatory challenge on human MTL function. Fluorodeoxyglucose positron emission tomography scanning was performed in 20 healthy participants before and after typhoid vaccination and saline control injection. After each scanning session, participants performed a virtual reality spatial memory task analogous to the Morris water maze and a mirror-tracing procedural memory control task. Fluorodeoxyglucose positron emission tomography data demonstrated an acute reduction in human MTL glucose metabolism after inflammation. The inflammatory challenge also selectively compromised human spatial, but not procedural, memory; this effect that was independent of actions on motivation or psychomotor response. Effects of inflammation on parahippocampal and rhinal glucose metabolism directly mediated actions of inflammation on spatial memory. These data demonstrate acute sensitivity of human MTL to mild peripheral inflammation, giving rise to associated functional impairment in the form of reduced spatial memory performance. Our findings suggest a mechanism for the observed epidemiologic link between inflammation and risk of age-related cognitive decline and progression of neurodegenerative disorders including Alzheimer's disease. Copyright © 2014 Society of Biological Psychiatry. Published by Elsevier Inc. All rights reserved.

  16. Optimizing survivability of multi-state systems with multi-level protection by multi-processor genetic algorithm

    International Nuclear Information System (INIS)

    Levitin, Gregory; Dai Yuanshun; Xie Min; Leng Poh, Kim

    2003-01-01

    In this paper we consider vulnerable systems which can have different states corresponding to different combinations of available elements composing the system. Each state can be characterized by a performance rate, which is the quantitative measure of a system's ability to perform its task. Both the impact of external factors (stress) and internal causes (failures) affect system survivability, which is determined as probability of meeting a given demand. In order to increase the survivability of the system, a multi-level protection is applied to its subsystems. This means that a subsystem and its inner level of protection are in their turn protected by the protection of an outer level. This double-protected subsystem has its outer protection and so forth. In such systems, the protected subsystems can be destroyed only if all of the levels of their protection are destroyed. Each level of protection can be destroyed only if all of the outer levels of protection are destroyed. We formulate the problem of finding the structure of series-parallel multi-state system (including choice of system elements, choice of structure of multi-level protection and choice of protection methods) in order to achieve a desired level of system survivability by the minimal cost. An algorithm based on the universal generating function method is used for determination of the system survivability. A multi-processor version of genetic algorithm is used as optimization tool in order to solve the structure optimization problem. An application example is presented to illustrate the procedure presented in this paper

  17. Severity of explicit memory impairment due to Alzheimer's disease improves effectiveness of implicit learning.

    Science.gov (United States)

    Klimkowicz-Mrowiec, Aleksandra; Slowik, Agnieszka; Krzywoszanski, Lukasz; Herzog-Krzywoszanska, Radosława; Szczudlik, Andrzej

    2008-04-01

    Consistent evidence from human and experimental animals studies indicates that memory is organized into two relatively independent systems with different functions and brain mechanisms. The explicit memory system, dependent on the hippocampus and adjacent medial temporal lobe structures, refers to conscious knowledge acquisition and intentional recollection of previous experiences. The implicit memory system, dependent on the striatum, refers to learning of complex information without awareness or intention. The functioning of implicit memory can be observed in progressive, gradual improvement across many trials in performance on implicit learning tasks. The influence of explicit memory on implicit memory has not been precisely identified yet. According to data from some studies, explicit memory seems to exhibit no influence on implicit memory,whereas the other studies indicate that explicit memory may inhibit or facilitate implicit memory. The analysis of performance on implicit learning tasks in patients with different severity of explicit memory impairment due to Alzheimer's disease allows one to identify the potential influence of the explicit memory system on the implicit memory system. 51 patients with explicit memory impairment due to Alzheimer's disease (AD) and 36 healthy controls were tested. Explicit memory was examined by means of a battery of neuropsychological tests. Implicit habit learning was examined on probabilistic classification task (weather prediction task). Patients with moderate explicit memory impairment performed the implicit task significantly better than those with mild AD and controls. Results of our study support the hypothesis of competition between the implicit and explicit memory systems in humans.

  18. A comparison of three types of autobiographical memories in old-old age: first memories, pivotal memories and traumatic memories.

    Science.gov (United States)

    Cohen-Mansfield, Jiska; Shmotkin, Dov; Eyal, Nitza; Reichental, Yael; Hazan, Haim

    2010-01-01

    Autobiographical memory enables us to construct a personal narrative through which we identify ourselves. Especially important are memories of formative events. This study describes autobiographical memories of people who have reached old-old age (85 years and above), studying 3 types of memories of particular impact on identity and adaptation: first memories, pivotal memories and traumatic memories. In this paper, we examine the content, characteristic themes and environments, and structural characteristics of each of the 3 types of memory. The participants were 26 persons from a larger longitudinal study with an average age of 91 years; half were men and the other half women. The study integrated qualitative and quantitative tools. An open-ended questionnaire included questions about the participants' life story as well as questions about the 3 types of memories. The responses were rated by 3 independent judges on dimensions of central themes and structural characteristics. First memories had a more positive emotional tone, more references to characters from the participant's social circle, a stronger sense of group belonging, and a more narrative style than the other types of memories. Pivotal and traumatic memories were described as more personal than first memories. The 3 types of memories reflect different stages in life development, which together form a sense of identity. They present experiences from the past on select themes, which may assist in the complex task of coping with the difficulties and limitations that advanced old age presents. Future research should examine the functional role of those memories and whether they enable the old-old to support selfhood in the challenging period of last changes and losses. Copyright © 2010 S. Karger AG, Basel.

  19. Second International Workshop on Software Engineering and Code Design in Parallel Meteorological and Oceanographic Applications

    Science.gov (United States)

    OKeefe, Matthew (Editor); Kerr, Christopher L. (Editor)

    1998-01-01

    This report contains the abstracts and technical papers from the Second International Workshop on Software Engineering and Code Design in Parallel Meteorological and Oceanographic Applications, held June 15-18, 1998, in Scottsdale, Arizona. The purpose of the workshop is to bring together software developers in meteorology and oceanography to discuss software engineering and code design issues for parallel architectures, including Massively Parallel Processors (MPP's), Parallel Vector Processors (PVP's), Symmetric Multi-Processors (SMP's), Distributed Shared Memory (DSM) multi-processors, and clusters. Issues to be discussed include: (1) code architectures for current parallel models, including basic data structures, storage allocation, variable naming conventions, coding rules and styles, i/o and pre/post-processing of data; (2) designing modular code; (3) load balancing and domain decomposition; (4) techniques that exploit parallelism efficiently yet hide the machine-related details from the programmer; (5) tools for making the programmer more productive; and (6) the proliferation of programming models (F--, OpenMP, MPI, and HPF).

  20. Working memory development in children with mild to borderline intellectual disabilities

    NARCIS (Netherlands)

    Van der Molen, M. J.; Henry, L. A.; Van Luit, J. E H

    2014-01-01

    Background: The purpose of the current cross-sectional study was to examine the developmental progression in working memory (WM) between the ages of 9 and 16 years in a large sample of children with mild to borderline intellectual disabilities (MBID). Baddeley's influential WM model was used as a

  1. Engrampigenetics: Epigenetics of engram memory cells.

    Science.gov (United States)

    Ripoli, Cristian

    2017-05-15

    For long time, the epidemiology of late-onset sporadic Alzheimer's disease (AD) risk factors has centered on adult life-style. Recent studies have, instead, focused on the role of early life experiences in progression of such disease especially in the context of prenatal and postnatal life. Although no single unfavorable environmental event has been shown to be neither necessary nor sufficient for AD development, it is possible that the sum of several environmentally induced effects, over time, contribute to its pathophysiology through epigenetic mechanisms. Indeed, epigenetic changes are influenced by environmental factors and have been proposed to play a role in multifactorial pathologies such as AD. At the same time, recent findings suggest that epigenetic mechanisms are one method that neurons use to translate transient stimuli into stable memories. Thus, the characteristics of epigenetics being a critical link between the environment and genes and playing a crucial role in memory formation make candidate epigenetic mechanisms a natural substrate for AD research. Indeed, independent groups have reported several epigenetically dysregulated genes in AD models; however, the role of epigenetic mechanisms in AD has remained elusive owing to contradictory results. Here, I propose that restricting the analysis of epigenetic changes specifically to subpopulations of neurons (namely, engram memory cells) might be helpful in understanding the role of the epigenetic process in the memory-related specific epigenetic code and might constitute a new template for therapeutic interventions against AD. Copyright © 2016. Published by Elsevier B.V.

  2. Aging memories: differential decay of episodic memory components.

    Science.gov (United States)

    Talamini, Lucia M; Gorree, Eva

    2012-05-17

    Some memories about events can persist for decades, even a lifetime. However, recent memories incorporate rich sensory information, including knowledge on the spatial and temporal ordering of event features, while old memories typically lack this "filmic" quality. We suggest that this apparent change in the nature of memories may reflect a preferential loss of hippocampus-dependent, configurational information over more cortically based memory components, including memory for individual objects. The current study systematically tests this hypothesis, using a new paradigm that allows the contemporaneous assessment of memory for objects, object pairings, and object-position conjunctions. Retention of each memory component was tested, at multiple intervals, up to 3 mo following encoding. The three memory subtasks adopted the same retrieval paradigm and were matched for initial difficulty. Results show differential decay of the tested episodic memory components, whereby memory for configurational aspects of a scene (objects' co-occurrence and object position) decays faster than memory for featured objects. Interestingly, memory requiring a visually detailed object representation decays at a similar rate as global object recognition, arguing against interpretations based on task difficulty and against the notion that (visual) detail is forgotten preferentially. These findings show that memories undergo qualitative changes as they age. More specifically, event memories become less configurational over time, preferentially losing some of the higher order associations that are dependent on the hippocampus for initial fast encoding. Implications for theories of long-term memory are discussed.

  3. A biased competition account of attention and memory in Alzheimer's disease.

    Science.gov (United States)

    Finke, Kathrin; Myers, Nicholas; Bublak, Peter; Sorg, Christian

    2013-10-19

    The common view of Alzheimer's disease (AD) is that of an age-related memory disorder, i.e. declarative memory deficits are the first signs of the disease and associated with progressive brain changes in the medial temporal lobes and the default mode network. However, two findings challenge this view. First, new model-based tools of attention research have revealed that impaired selective attention accompanies memory deficits from early pre-dementia AD stages on. Second, very early distributed lesions of lateral parietal networks may cause these attention deficits by disrupting brain mechanisms underlying attentional biased competition. We suggest that memory and attention impairments might indicate disturbances of a common underlying neurocognitive mechanism. We propose a unifying account of impaired neural interactions within and across brain networks involved in attention and memory inspired by the biased competition principle. We specify this account at two levels of analysis: at the computational level, the selective competition of representations during both perception and memory is biased by AD-induced lesions; at the large-scale brain level, integration within and across intrinsic brain networks, which overlap in parietal and temporal lobes, is disrupted. This account integrates a large amount of previously unrelated findings of changed behaviour and brain networks and favours a brain mechanism-centred view on AD.

  4. Thermal effects in magnetoelectric memories with stress-mediated switching

    International Nuclear Information System (INIS)

    Giordano, S; Dusch, Y; Tiercelin, N; Pernod, P; Preobrazhensky, V

    2013-01-01

    Heterostructures with magneto-electro-elastic coupling (e.g. multiferroics) are of paramount importance for developing new sensors, actuators and memories. With the progressive miniaturization of these systems it is necessary to take into account possible thermal effects, which may influence the normal operating regime. As a paradigmatic example we consider a recently introduced non-volatile memory element composed of a magnetostrictive nanoparticle embedded in a piezoelectric matrix. The distributions of the physical fields in this matrix/inclusion configuration are determined by means of the Eshelby theory, the magnetization dynamics is studied through the Landau–Lifshitz–Gilbert formalism, and the statistical mechanics is introduced with the Langevin and Fokker–Planck methodologies. As result of the combination of such techniques we determine the switching time between the states of the memory, the error probability and the energy dissipation of the writing process. They depend on the ratio k B T/v where T is the absolute temperature and v is the volume of the magnetoelastic particle. (paper)

  5. Domain Decomposition: A Bridge between Nature and Parallel Computers

    Science.gov (United States)

    1992-09-01

    B., "Domain Decomposition Algorithms for Indefinite Elliptic Problems," S"IAM Journal of S; cientific and Statistical (’omputing, Vol. 13, 1992, pp...AD-A256 575 NASA Contractor Report 189709 ICASE Report No. 92-44 ICASE DOMAIN DECOMPOSITION: A BRIDGE BETWEEN NATURE AND PARALLEL COMPUTERS DTIC dE...effectively implemented on dis- tributed memory multiprocessors. In 1990 (as reported in Ref. 38 using the tile algo- rithm), a 103,201-unknown 2D elliptic

  6. Exploring memory hierarchy design with emerging memory technologies

    CERN Document Server

    Sun, Guangyu

    2014-01-01

    This book equips readers with tools for computer architecture of high performance, low power, and high reliability memory hierarchy in computer systems based on emerging memory technologies, such as STTRAM, PCM, FBDRAM, etc.  The techniques described offer advantages of high density, near-zero static power, and immunity to soft errors, which have the potential of overcoming the “memory wall.”  The authors discuss memory design from various perspectives: emerging memory technologies are employed in the memory hierarchy with novel architecture modification;  hybrid memory structure is introduced to leverage advantages from multiple memory technologies; an analytical model named “Moguls” is introduced to explore quantitatively the optimization design of a memory hierarchy; finally, the vulnerability of the CMPs to radiation-based soft errors is improved by replacing different levels of on-chip memory with STT-RAMs.   ·         Provides a holistic study of using emerging memory technologies i...

  7. A review study on medicinal plants used in the treatment of learning and memory impairments

    Directory of Open Access Journals (Sweden)

    Nahid Jivad

    2014-10-01

    Full Text Available Alzheimer's disease (AD is a progressive brain disorder that gradually impairs the person's memory and ability to learn, reasoning, judgment, communication and daily activities. AD is characterized clinically by cognitive impairment and pathologically by the deposition of β amyloid plaques and neurofibrillary tangles, and the degeneration of the cholinergic basal forebrain. During the progression of AD patients may produce changes in personality and behavior, such as anxiety, paranoia, confusion, hallucinations and also to experience delusions and fantasies. The first neurotransmitter defect discovered in AD involved acetylcholine as cholinergic function is required for short-term memory. Oxidative stress may underlie the progressive neurodegeneration characteristic of AD. Brain structures supporting memory are uniquely sensitive to oxidative stress due to their elevated demand for oxygen. The neurodegenerative process in AD may involve β amyloid toxicity. Neurotoxicity of β amyloid appears to involve oxidative stress. Currently, there is no cure for this disease but in new treatments, reveals a new horizon on the biology of this disease. This paper reviews the effects of a number of commonly used types of herbal medicines for the treatment of AD. The objective of this article was to review evidences from controlled studies in order to determine whether herbs can be useful in the treatment of cognitive disorders in the elderly.

  8. Post-learning molecular reactivation underlies taste memory consolidation

    Directory of Open Access Journals (Sweden)

    Kioko eGuzman-Ramos

    2011-09-01

    Full Text Available It is considered that memory consolidation is a progressive process that requires post-trial stabilization of the information. In this regard, it has been speculated that waves of receptors activation, expression of immediate early genes and replenishment of receptor subunit pools occur to induce functional or morphological changes to maintain the information for longer periods. In this paper, we will review data related to neuronal changes in the post-acquisition stage of taste aversion learning that could be involved in further stabilization of the memory trace. In order to achieve such stabilization, evidence suggests that the functional integrity of the insular cortex (IC and the amygdala (AMY is required. Particularly the increase of extracellular levels of glutamate and activation of N-methyl-D-aspartate (NMDA receptors within the IC shows a main role in the consolidation process. Additionally the modulatory actions of the dopaminergic system in the IC appear to be involved in the mechanisms that lead to taste aversion memory consolidation through the activation of pathways related to enhancement of protein synthesis such as the Protein Kinase A pathway. In summary, we suggest that post-acquisition molecular and neuronal changes underlying memory consolidation are dependent on the interactions between the AMY and the IC.

  9. Emergent intelligent properties of progressively structured pattern recognition nets

    Energy Technology Data Exchange (ETDEWEB)

    Aleksander, I

    1983-07-01

    The n-tuple recognition net is seen as a building brick of a progression of network structures. The emergent intelligent properties of such systems are discussed. They include the amplification of confidence for the recognition of images that differ in small detail, a short term memory of the last seen image, sequence sensitivity, sequence sensitivity, sequence acceptance and saccadic inspection as an aid in scene analysis. 12 references.

  10. Selective Memory to Apoptotic Cell-Derived Self-Antigens with Implications for Systemic Lupus Erythematosus Development.

    Science.gov (United States)

    Duhlin, Amanda; Chen, Yunying; Wermeling, Fredrik; Sedimbi, Saikiran K; Lindh, Emma; Shinde, Rahul; Halaby, Marie Jo; Kaiser, Ylva; Winqvist, Ola; McGaha, Tracy L; Karlsson, Mikael C I

    2016-10-01

    Autoimmune diseases are characterized by pathogenic immune responses to self-antigens. In systemic lupus erythematosus (SLE), many self-antigens are found in apoptotic cells (ACs), and defects in removal of ACs from the body are linked to a risk for developing SLE. This includes pathological memory that gives rise to disease flares. In this study, we investigated how memory to AC-derived self-antigens develops and the contribution of self-memory to the development of lupus-related pathology. Multiple injections of ACs without adjuvant into wild-type mice induce a transient primary autoimmune response without apparent anti-nuclear Ab reactivity or kidney pathology. Interestingly, as the transient Ab response reached baseline, a single boost injection fully recalled the immune response to ACs, and this memory response was furthermore transferable into naive mice. Additionally, the memory response contains elements of pathogenicity, accompanied by selective memory to selective Ags. Thus, we provide evidence for a selective self-memory that underlies progression of the response to self-antigens with implications for SLE development therapy. Copyright © 2016 by The American Association of Immunologists, Inc.

  11. Hippocampal Activation of Rac1 Regulates the Forgetting of Object Recognition Memory.

    Science.gov (United States)

    Liu, Yunlong; Du, Shuwen; Lv, Li; Lei, Bo; Shi, Wei; Tang, Yikai; Wang, Lianzhang; Zhong, Yi

    2016-09-12

    Forgetting is a universal feature for most types of memories. The best-defined and extensively characterized behaviors that depict forgetting are natural memory decay and interference-based forgetting [1, 2]. Molecular mechanisms underlying the active forgetting remain to be determined for memories in vertebrates. Recent progress has begun to unravel such mechanisms underlying the active forgetting [3-11] that is induced through the behavior-dependent activation of intracellular signaling pathways. In Drosophila, training-induced activation of the small G protein Rac1 mediates natural memory decay and interference-based forgetting of aversive conditioning memory [3]. In mice, the activation of photoactivable-Rac1 in recently potentiated spines in a motor learning task erases the motor memory [12]. These lines of evidence prompted us to investigate a role for Rac1 in time-based natural memory decay and interference-based forgetting in mice. The inhibition of Rac1 activity in hippocampal neurons through targeted expression of a dominant-negative Rac1 form extended object recognition memory from less than 72 hr to over 72 hr, whereas Rac1 activation accelerated memory decay within 24 hr. Interference-induced forgetting of this memory was correlated with Rac1 activation and was completely blocked by inhibition of Rac1 activity. Electrophysiological recordings of long-term potentiation provided independent evidence that further supported a role for Rac1 activation in forgetting. Thus, Rac1-dependent forgetting is evolutionarily conserved from invertebrates to vertebrates. Copyright © 2016 Elsevier Ltd. All rights reserved.

  12. Game elements improve performance in a working memory training task

    Directory of Open Access Journals (Sweden)

    Manuel Ninaus

    2015-02-01

    Full Text Available The utilization of game elements in a non-game context is currently used in a vast range of different domains. However, research on game elements’ effects in cognitive tasks is still sparse. Thus, in this study we implemented three game elements, namely, progress bar, level indicator, and a thematic setting, in a working memory training task. We evaluated the impact of game elements on user performance and perceived state of flow when compared to a conventional version of the task. Participants interacting with game elements showed higher scores in the working memory training task than participants from a control group who completed the working memory training task without the game elements. Moreover, game elements facilitated the individuals’ performance closer to their maximum working memory capacity. Finally, the perceived flow did not differ between the two groups, which indicates that game elements can induce better performance without changing the perception of being “in the zone”, that is without an increase in anxiety or boredom. This empirical study indicates that certain game elements can improve the performance and efficiency in a working memory task by increasing users’ ability and willingness to train at their optimal performance level. 

  13. MEMORY MODULATION

    Science.gov (United States)

    Roozendaal, Benno; McGaugh, James L.

    2011-01-01

    Our memories are not all created equally strong: Some experiences are well remembered while others are remembered poorly, if at all. Research on memory modulation investigates the neurobiological processes and systems that contribute to such differences in the strength of our memories. Extensive evidence from both animal and human research indicates that emotionally significant experiences activate hormonal and brain systems that regulate the consolidation of newly acquired memories. These effects are integrated through noradrenergic activation of the basolateral amygdala which regulates memory consolidation via interactions with many other brain regions involved in consolidating memories of recent experiences. Modulatory systems not only influence neurobiological processes underlying the consolidation of new information, but also affect other mnemonic processes, including memory extinction, memory recall and working memory. In contrast to their enhancing effects on consolidation, adrenal stress hormones impair memory retrieval and working memory. Such effects, as with memory consolidation, require noradrenergic activation of the basolateral amygdala and interactions with other brain regions. PMID:22122145

  14. Differential constraints on the working memory and reading abilities of individuals with learning difficulties and typically developing children.

    Science.gov (United States)

    Bayliss, Donna M; Jarrold, Christopher; Baddeley, Alan D; Leigh, Eleanor

    2005-09-01

    This study examined the factors that constrain the working memory span performance and reading ability of individuals with generalized learning difficulties. In the study, 50 individuals with learning difficulties (LD) and 50 typically developing children (TD) matched for reading age completed two working memory span tasks. Participants also completed independent measures of the processing and storage operations involved in each working memory span task and Raven's Coloured Progressive Matrices. The results showed that despite an equivalent level of working memory span, the relative importance of the constraints on working memory differed between the groups. In addition, working memory span was not closely related to word recognition or sentence comprehension performance in the LD group. These results suggest that the working memory span performance of LD and TD individuals may reflect different working memory limitations and that individuals with generalized learning difficulties may approach cognitive tasks in a qualitatively different way from that of typically developing individuals.

  15. Shocking revelations and saccharin sweetness in the study of Drosophila olfactory memory.

    Science.gov (United States)

    Perisse, Emmanuel; Burke, Christopher; Huetteroth, Wolf; Waddell, Scott

    2013-09-09

    It is now almost forty years since the first description of learning in the fruit fly Drosophila melanogaster. Various incarnations of the classic mutagenesis approach envisaged in the early days have provided around one hundred learning defective mutant fly strains. Recent technological advances permit temporal control of neural function in the behaving fly. These approaches have radically changed experiments in the field and have provided a neural circuit perspective of memory formation, consolidation and retrieval. Combining neural perturbations with more classical mutant intervention allows investigators to interrogate the molecular and cellular processes of memory within the defined neural circuits. Here, we summarize some of the progress made in the last ten years that indicates a remarkable conservation of the neural mechanisms of memory formation between flies and mammals. We emphasize that considering an ethologically-relevant viewpoint might provide additional experimental power in studies of Drosophila memory. Copyright © 2013 Elsevier Ltd. All rights reserved.

  16. Progression paths in children's problem solving: The influence of dynamic testing, initial variability, and working memory.

    Science.gov (United States)

    Resing, Wilma C M; Bakker, Merel; Pronk, Christine M E; Elliott, Julian G

    2017-01-01

    The current study investigated developmental trajectories of analogical reasoning performance of 104 7- and 8-year-old children. We employed a microgenetic research method and multilevel analysis to examine the influence of several background variables and experimental treatment on the children's developmental trajectories. Our participants were divided into two treatment groups: repeated practice alone and repeated practice with training. Each child received an initial working memory assessment and was subsequently asked to solve figural analogies on each of several sessions. We examined children's analogical problem-solving behavior and their subsequent verbal accounts of their employed solving processes. We also investigated the influence of verbal and visual-spatial working memory capacity and initial variability in strategy use on analogical reasoning development. Results indicated that children in both treatment groups improved but that gains were greater for those who had received training. Training also reduced the influence of children's initial variability in the use of analogical strategies with the degree of improvement in reasoning largely unrelated to working memory capacity. Findings from this study demonstrate the value of a microgenetic research method and the use of multilevel analysis to examine inter- and intra-individual change in problem-solving processes. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. Impact of Spatial and Verbal Short-Term Memory Load on Auditory Spatial Attention Gradients.

    Science.gov (United States)

    Golob, Edward J; Winston, Jenna; Mock, Jeffrey R

    2017-01-01

    Short-term memory load can impair attentional control, but prior work shows that the extent of the effect ranges from being very general to very specific. One factor for the mixed results may be reliance on point estimates of memory load effects on attention. Here we used auditory attention gradients as an analog measure to map-out the impact of short-term memory load over space. Verbal or spatial information was maintained during an auditory spatial attention task and compared to no-load. Stimuli were presented from five virtual locations in the frontal azimuth plane, and subjects focused on the midline. Reaction times progressively increased for lateral stimuli, indicating an attention gradient. Spatial load further slowed responses at lateral locations, particularly in the left hemispace, but had little effect at midline. Verbal memory load had no (Experiment 1), or a minimal (Experiment 2) influence on reaction times. Spatial and verbal load increased switch costs between memory encoding and attention tasks relative to the no load condition. The findings show that short-term memory influences the distribution of auditory attention over space; and that the specific pattern depends on the type of information in short-term memory.

  18. Impact of Spatial and Verbal Short-Term Memory Load on Auditory Spatial Attention Gradients

    Directory of Open Access Journals (Sweden)

    Edward J. Golob

    2017-11-01

    Full Text Available Short-term memory load can impair attentional control, but prior work shows that the extent of the effect ranges from being very general to very specific. One factor for the mixed results may be reliance on point estimates of memory load effects on attention. Here we used auditory attention gradients as an analog measure to map-out the impact of short-term memory load over space. Verbal or spatial information was maintained during an auditory spatial attention task and compared to no-load. Stimuli were presented from five virtual locations in the frontal azimuth plane, and subjects focused on the midline. Reaction times progressively increased for lateral stimuli, indicating an attention gradient. Spatial load further slowed responses at lateral locations, particularly in the left hemispace, but had little effect at midline. Verbal memory load had no (Experiment 1, or a minimal (Experiment 2 influence on reaction times. Spatial and verbal load increased switch costs between memory encoding and attention tasks relative to the no load condition. The findings show that short-term memory influences the distribution of auditory attention over space; and that the specific pattern depends on the type of information in short-term memory.

  19. Memory architecture

    NARCIS (Netherlands)

    2012-01-01

    A memory architecture is presented. The memory architecture comprises a first memory and a second memory. The first memory has at least a bank with a first width addressable by a single address. The second memory has a plurality of banks of a second width, said banks being addressable by components

  20. Exploring epigenetic regulation of fear memory and biomarkers associated with Post-traumatic stress disorder

    Directory of Open Access Journals (Sweden)

    Stephanie A. Maddox

    2013-07-01

    Full Text Available This review examines recent work on epigenetic mechanisms underlying animal models of fear learning as well as its translational implications in disorders of fear regulation, such as Posttraumatic Stress Disorder (PTSD. Specifically, we will examine work outlining roles of differential histone acetylation and DNA methylation associated with consolidation, reconsolidation and extinction in Pavlovian fear paradigms. We then focus on the numerous studies examining the epigenetic modifications of the Brain-derived neurotrophin factor (BDNF pathway and the extension of these findings from animal models to recent work in human clinical populations. We will also review recently published data on FKBP5 regulation of glucocorticoid receptor function, and how this is modulated in animal models of PTSD and in human clinical populations via epigenetic mechanisms. As glucocorticoid regulation of memory consolidation is well established in fear models, we examine how these recent data contribute to our broader understanding of fear memory formation. The combined recent progress in epigenetic modulation of memory with the advances in fear neurobiology suggest that this area may be critical to progress in our understanding of fear-related disorders with implications for new approaches to treatment and prevention.

  1. Optical backplane interconnect switch for data processors and computers

    Science.gov (United States)

    Hendricks, Herbert D.; Benz, Harry F.; Hammer, Jacob M.

    1989-01-01

    An optoelectronic integrated device design is reported which can be used to implement an all-optical backplane interconnect switch. The switch is sized to accommodate an array of processors and memories suitable for direct replacement into the basic avionic multiprocessor backplane. The optical backplane interconnect switch is also suitable for direct replacement of the PI bus traffic switch and at the same time, suitable for supporting pipelining of the processor and memory. The 32 bidirectional switchable interconnects are configured with broadcast capability for controls, reconfiguration, and messages. The approach described here can handle a serial interconnection of data processors or a line-to-link interconnection of data processors. An optical fiber demonstration of this approach is presented.

  2. Data driven parallelism in experimental high energy physics applications

    International Nuclear Information System (INIS)

    Pohl, M.

    1987-01-01

    I present global design principles for the implementation of high energy physics data analysis code on sequential and parallel processors with mixed shared and local memory. Potential parallelism in the structure of high energy physics tasks is identified with granularity varying from a few times 10 8 instructions all the way down to a few times 10 4 instructions. It follows the hierarchical structure of detector and data acquisition systems. To take advantage of this - yet preserving the necessary portability of the code - I propose a computational model with purely data driven concurrency in Single Program Multiple Data (SPMD) mode. The task granularity is defined by varying the granularity of the central data structure manipulated. Concurrent processes coordiate themselves asynchroneously using simple lock constructs on parts of the data structure. Load balancing among processes occurs naturally. The scheme allows to map the internal layout of the data structure closely onto the layout of local and shared memory in a parallel architecture. It thus allows to optimize the application with respect to synchronization as well as data transport overheads. I present a coarse top level design for a portable implementation of this scheme on sequential machines, multiprocessor mainframes (e.g. IBM 3090), tightly coupled multiprocessors (e.g. RP-3) and loosely coupled processor arrays (e.g. LCAP, Emulating Processor Farms). (orig.)

  3. Data driven parallelism in experimental high energy physics applications

    Science.gov (United States)

    Pohl, Martin

    1987-08-01

    I present global design principles for the implementation of High Energy Physics data analysis code on sequential and parallel processors with mixed shared and local memory. Potential parallelism in the structure of High Energy Physics tasks is identified with granularity varying from a few times 10 8 instructions all the way down to a few times 10 4 instructions. It follows the hierarchical structure of detector and data acquisition systems. To take advantage of this - yet preserving the necessary portability of the code - I propose a computational model with purely data driven concurrency in Single Program Multiple Data (SPMD) mode. The Task granularity is defined by varying the granularity of the central data structure manipulated. Concurrent processes coordinate themselves asynchroneously using simple lock constructs on parts of the data structure. Load balancing among processes occurs naturally. The scheme allows to map the internal layout of the data structure closely onto the layout of local and shared memory in a parallel architecture. It thus allows to optimize the application with respect to synchronization as well as data transport overheads. I present a coarse top level design for a portable implementation of this scheme on sequential machines, multiprocessor mainframes (e.g. IBM 3090), tightly coupled multiprocessors (e.g. RP-3) and loosely coupled processor arrays (e.g. LCAP, Emulating Processor Farms).

  4. Differences in brain morphology and working memory capacity across childhood.

    Science.gov (United States)

    Bathelt, Joe; Gathercole, Susan E; Johnson, Amy; Astle, Duncan E

    2018-05-01

    Working memory (WM) skills are closely associated with learning progress in key areas such as reading and mathematics across childhood. As yet, however, little is known about how the brain systems underpinning WM develop over this critical developmental period. The current study investigated whether and how structural brain correlates of components of the working memory system change over development. Verbal and visuospatial short-term and working memory were assessed in 153 children between 5.58 and 15.92 years, and latent components of the working memory system were derived. Fractional anisotropy and cortical thickness maps were derived from T1-weighted and diffusion-weighted MRI and processed using eigenanatomy decomposition. There was a greater involvement of the corpus callosum and posterior temporal white matter in younger children for performance associated with the executive part of the working memory system. For older children, this was more closely linked with the thickness of the occipitotemporal cortex. These findings suggest that increasing specialization leads to shifts in the contribution of neural substrates over childhood, moving from an early dependence on a distributed system supported by long-range connections to later reliance on specialized local circuitry. Our findings demonstrate that despite the component factor structure being stable across childhood, the underlying brain systems supporting working memory change. Taking the age of the child into account, and not just their overall score, is likely to be critical for understanding the nature of the limitations on their working memory capacity. © 2017 The Authors. Developmental Science Published by John Wiley & Sons Ltd.

  5. Slowly progressive fluent aphasia

    International Nuclear Information System (INIS)

    Sakurai, Yasuhisa; Momose, Toshimitsu; Watanabe, Toshiaki; Ishikawa, Takashi; Iwata, Makoto; Bando, Mitsuaki.

    1991-01-01

    Three patients with slowly progressive fluent aphasia are reported. One of the patients presented with memory disturbance. They were characterized clinically by having selective deficits in vocabulary, which resulted in impairment of confrontation naming, and auditory comprehension. MRI showed an atrophy not only in the left temporal lobe (including the superior, middle and inferior temporal gyri), hippocampus, parahippocampual gyrus, and fusiform gyrus, but also in the left parietal lobe. I-123 IMP SPECT and F-18 FDG PET were used to determine regional cerebral blood flow and regional cerebral metabolic rate, respectively. In addition to the decreased tracer uptake in the left temporal and/or parietal lobe, a decreased uptake was seen in the bilateral basal ganglia, the inner side of the temporal lobe (including the bilateral hippocampus), the right anterior temporal lobe, and the left thalamus. These findings may deny the previous thought that lesions are localized in slowly progressive fluent aphasia. Furthermore, noticeable difficulty in naming, i.e., patients unable to recognize the right answer, are considered attributable to widespread lesions from the whole left temporal lobe, including the hippocampus, to the right temporal lobe. (N.K.)

  6. Olfactory memory impairment in neurodegenerative diseases.

    Science.gov (United States)

    Bahuleyan, Biju; Singh, Satendra

    2012-10-01

    Olfactory disorders are noted in a majority of neurodegenerative diseases, but they are often misjudged and are rarely rated in the clinical setting. Severe changes in the olfactory tests are observed in Parkinson's disease. Olfactory deficits are an early feature in Alzheimer's disease and they worsen with the disease progression. Alterations in the olfactory function are also noted after severe head injuries, temporal lobe epilepsy, multiple sclerosis, and migraine. The purpose of the present review was to discuss the available scientific knowledge on the olfactory memory and to relate its impairment with neurodegenerative diseases.

  7. Detailed sensory memory, sloppy working memory

    NARCIS (Netherlands)

    Sligte, I.G.; Vandenbroucke, A.R.E.; Scholte, H.S.; Lamme, V.A.F.

    2010-01-01

    Visual short-term memory (VSTM) enables us to actively maintain information in mind for a brief period of time after stimulus disappearance. According to recent studies, VSTM consists of three stages - iconic memory, fragile VSTM, and visual working memory - with increasingly stricter capacity

  8. The Benefit of Attention-to-Memory Depends on the Interplay of Memory Capacity and Memory Load

    Science.gov (United States)

    Lim, Sung-Joo; Wöstmann, Malte; Geweke, Frederik; Obleser, Jonas

    2018-01-01

    Humans can be cued to attend to an item in memory, which facilitates and enhances the perceptual precision in recalling this item. Here, we demonstrate that this facilitating effect of attention-to-memory hinges on the overall degree of memory load. The benefit an individual draws from attention-to-memory depends on her overall working memory performance, measured as sensitivity (d′) in a retroactive cue (retro-cue) pitch discrimination task. While listeners maintained 2, 4, or 6 auditory syllables in memory, we provided valid or neutral retro-cues to direct listeners’ attention to one, to-be-probed syllable in memory. Participants’ overall memory performance (i.e., perceptual sensitivity d′) was relatively unaffected by the presence of valid retro-cues across memory loads. However, a more fine-grained analysis using psychophysical modeling shows that valid retro-cues elicited faster pitch-change judgments and improved perceptual precision. Importantly, as memory load increased, listeners’ overall working memory performance correlated with inter-individual differences in the degree to which precision improved (r = 0.39, p = 0.029). Under high load, individuals with low working memory profited least from attention-to-memory. Our results demonstrate that retrospective attention enhances perceptual precision of attended items in memory but listeners’ optimal use of informative cues depends on their overall memory abilities. PMID:29520246

  9. The Benefit of Attention-to-Memory Depends on the Interplay of Memory Capacity and Memory Load

    Directory of Open Access Journals (Sweden)

    Sung-Joo Lim

    2018-02-01

    Full Text Available Humans can be cued to attend to an item in memory, which facilitates and enhances the perceptual precision in recalling this item. Here, we demonstrate that this facilitating effect of attention-to-memory hinges on the overall degree of memory load. The benefit an individual draws from attention-to-memory depends on her overall working memory performance, measured as sensitivity (d′ in a retroactive cue (retro-cue pitch discrimination task. While listeners maintained 2, 4, or 6 auditory syllables in memory, we provided valid or neutral retro-cues to direct listeners’ attention to one, to-be-probed syllable in memory. Participants’ overall memory performance (i.e., perceptual sensitivity d′ was relatively unaffected by the presence of valid retro-cues across memory loads. However, a more fine-grained analysis using psychophysical modeling shows that valid retro-cues elicited faster pitch-change judgments and improved perceptual precision. Importantly, as memory load increased, listeners’ overall working memory performance correlated with inter-individual differences in the degree to which precision improved (r = 0.39, p = 0.029. Under high load, individuals with low working memory profited least from attention-to-memory. Our results demonstrate that retrospective attention enhances perceptual precision of attended items in memory but listeners’ optimal use of informative cues depends on their overall memory abilities.

  10. Effect of Diethyldithiocarbamate on Radiation-induced Learning and Memory Impairment in Mouse

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jong Sik [Faculty of Animal Science and Biotechnology, Kyungpook National University, Daegu (Korea, Republic of); Kim, Jong Choon; Moon, Chang Jong; Kim, Ho Sung [College of Veterinary Medicine, Animal Medical Center, Chonnam National University, Kwangju (Korea, Republic of); Jung, Uhee; Jo, Sung Kee [Advanced Radiation Technology Institute, Seoul (Korea, Republic of)

    2012-09-15

    Evidence suggests that even low-dose irradiation can lead to progressive cognitive decline and memory deficits, which implicates, in part, hippocampal dysfunction in both humans and experimental animals. This study examined whether diethyldithiocarbamate (DDC) could attenuate memory impairment, using passive avoidance and object recognition test, and suppression of hippocampal neurogenesis, using the TUNEL assay and immunohistochemical detection with markers of neurogenesis (Kiel 67 (Ki-67) and doublecortin (DCX)) in adult mice treated with gamma radiation (0.5 or 2 Gy). DDC was administered intraperitonially at a dosage of 1,000 mg{center_dot}kg{sup -1} of body weight at 30 min. before irradiation. In passive avoidance and object recognition memory test, the mice, trained for 1 day after acute irradiation (2 Gy) showed significant memory deficits compared with the sham controls. The number of TUNEL-positive apoptotic nuclei in the dentate gyrus (DG) was increased 12 h after irradiation. In addition, the number of Ki-67- and DCX-positive cells were significantly decreased. DDC treatment prior to irradiation attenuated the memory defect, and blocked the apoptotic death. DDC may attenuate memory defect in a relatively low-dose exposure of radiation in adult mice, possibly by inhibiting a detrimental effect of irradiation on hippocampal neurogenesis.

  11. Effect of Diethyldithiocarbamate on Radiation-induced Learning and Memory Impairment in Mouse

    International Nuclear Information System (INIS)

    Jang, Jong Sik; Kim, Jong Choon; Moon, Chang Jong; Kim, Ho Sung; Jung, Uhee; Jo, Sung Kee

    2012-01-01

    Evidence suggests that even low-dose irradiation can lead to progressive cognitive decline and memory deficits, which implicates, in part, hippocampal dysfunction in both humans and experimental animals. This study examined whether diethyldithiocarbamate (DDC) could attenuate memory impairment, using passive avoidance and object recognition test, and suppression of hippocampal neurogenesis, using the TUNEL assay and immunohistochemical detection with markers of neurogenesis (Kiel 67 (Ki-67) and doublecortin (DCX)) in adult mice treated with gamma radiation (0.5 or 2 Gy). DDC was administered intraperitonially at a dosage of 1,000 mg·kg -1 of body weight at 30 min. before irradiation. In passive avoidance and object recognition memory test, the mice, trained for 1 day after acute irradiation (2 Gy) showed significant memory deficits compared with the sham controls. The number of TUNEL-positive apoptotic nuclei in the dentate gyrus (DG) was increased 12 h after irradiation. In addition, the number of Ki-67- and DCX-positive cells were significantly decreased. DDC treatment prior to irradiation attenuated the memory defect, and blocked the apoptotic death. DDC may attenuate memory defect in a relatively low-dose exposure of radiation in adult mice, possibly by inhibiting a detrimental effect of irradiation on hippocampal neurogenesis.

  12. Working Memory Development in Children with Mild to Borderline Intellectual Disabilities

    Science.gov (United States)

    Van der Molen, M. J.; Henry, L. A.; Van Luit, J. E. H.

    2014-01-01

    Background: The purpose of the current cross-sectional study was to examine the developmental progression in working memory (WM) between the ages of 9 and 16 years in a large sample of children with mild to borderline intellectual disabilities (MBID). Baddeley's influential WM model was used as a theoretical framework. Furthermore, the…

  13. Using neuroplasticity-based auditory training to improve verbal memory in schizophrenia.

    Science.gov (United States)

    Fisher, Melissa; Holland, Christine; Merzenich, Michael M; Vinogradov, Sophia

    2009-07-01

    Impaired verbal memory in schizophrenia is a key rate-limiting factor for functional outcome, does not respond to currently available medications, and shows only modest improvement after conventional behavioral remediation. The authors investigated an innovative approach to the remediation of verbal memory in schizophrenia, based on principles derived from the basic neuroscience of learning-induced neuroplasticity. The authors report interim findings in this ongoing study. Fifty-five clinically stable schizophrenia subjects were randomly assigned to either 50 hours of computerized auditory training or a control condition using computer games. Those receiving auditory training engaged in daily computerized exercises that placed implicit, increasing demands on auditory perception through progressively more difficult auditory-verbal working memory and verbal learning tasks. Relative to the control group, subjects who received active training showed significant gains in global cognition, verbal working memory, and verbal learning and memory. They also showed reliable and significant improvement in auditory psychophysical performance; this improvement was significantly correlated with gains in verbal working memory and global cognition. Intensive training in early auditory processes and auditory-verbal learning results in substantial gains in verbal cognitive processes relevant to psychosocial functioning in schizophrenia. These gains may be due to a training method that addresses the early perceptual impairments in the illness, that exploits intact mechanisms of repetitive practice in schizophrenia, and that uses an intensive, adaptive training approach.

  14. Memory reconsolidation mediates the updating of hippocampal memory content

    Directory of Open Access Journals (Sweden)

    Jonathan L C Lee

    2010-11-01

    Full Text Available The retrieval or reactivation of a memory places it into a labile state, requiring a process of reconsolidation to restabilize it. This retrieval-induced plasticity is a potential mechanism for the modification of the existing memory. Following previous data supportive of a functional role for memory reconsolidation in the modification of memory strength, here I show that hippocampal memory reconsolidation also supports the updating of contextual memory content. Using a procedure that separates the learning of pure context from footshock-motivated contextual fear learning, I demonstrate doubly dissociable hippocampal mechanisms of initial context learning and subsequent updating of the neutral contextual representation to incorporate the footshock. Contextual memory consolidation was dependent upon BDNF expression in the dorsal hippocampus, whereas the footshock modification of the contextual representation required the expression of Zif268. These mechanisms match those previously shown to be selectively involved in hippocampal memory consolidation and reconsolidation, respectively. Moreover, memory reactivation is a necessary step in modifying memory content, as inhibition of hippocampal synaptic protein degradation also prevented the footshock-mediated memory modification. Finally, dorsal hippocampal knockdown of Zif268 impaired the reconsolidation of the pure contextual memory only under conditions of weak context memory training, as well as failing to disrupt contextual freezing when a strong contextual fear memory is reactivated by further conditioning. Therefore, an adaptive function of the reactivation and reconsolidation process is to enable the updating of memory content.

  15. PSHED: a simplified approach to developing parallel programs

    International Nuclear Information System (INIS)

    Mahajan, S.M.; Ramesh, K.; Rajesh, K.; Somani, A.; Goel, M.

    1992-01-01

    This paper presents a simplified approach in the forms of a tree structured computational model for parallel application programs. An attempt is made to provide a standard user interface to execute programs on BARC Parallel Processing System (BPPS), a scalable distributed memory multiprocessor. The interface package called PSHED provides a basic framework for representing and executing parallel programs on different parallel architectures. The PSHED package incorporates concepts from a broad range of previous research in programming environments and parallel computations. (author). 6 refs

  16. VMEbus interface for spectroscopy ADCs

    International Nuclear Information System (INIS)

    Jaeaeskelaeinen, M.

    1987-01-01

    A high performance VMEbus interface for spectroscopy ADCs and other similar devices used in nuclear spectroscopy coincidence experiments has been developed. This new module can be used to interface existing spectroscopy ADCs with fast parallel data transfer into the industry standard multiprocessor VMEbus. The unit provides a fast direct readout of the ADC data into the VMEbus memory. The interface also has built-in capabilities that enable it to be used in coincidence experiments for slow data timing and ADC pattern recognition. (orig.)

  17. The Importance of Memory Specificity and Memory Coherence for the Self: Linking Two Characteristics of Autobiographical Memory

    Directory of Open Access Journals (Sweden)

    Elien Vanderveren

    2017-12-01

    Full Text Available Autobiographical memory forms a network of memories about personal experiences that defines and supports well-being and effective functioning of the self in various ways. During the last three decades, there have been two characteristics of autobiographical memory that have received special interest regarding their role in psychological well-being and psychopathology, namely memory specificity and memory coherence. Memory specificity refers to the extent to which retrieved autobiographical memories are specific (i.e., memories about a particular experience that happened on a particular day. Difficulty retrieving specific memories interferes with effective functioning of the self and is related to depression and post-traumatic stress disorder. Memory coherence refers to the narrative expression of the overall structure of autobiographical memories. It has likewise been related to psychological well-being and the occurrence of psychopathology. Research on memory specificity and memory coherence has developed as two largely independent research domains, even though they show much overlap. This raises some important theoretical questions. How do these two characteristics of autobiographical memory relate to each other, both theoretically and empirically? Additionally, how can the integration of these two facilitate our understanding of the importance of autobiographical memory for the self? In this article, we give a critical overview of memory specificity and memory coherence and their relation to the self. We link both features of autobiographical memory by describing some important similarities and by formulating hypotheses about how they might relate to each other. By situating both memory specificity and memory coherence within Conway and Pleydell-Pearce’s Self-Memory System, we make a first attempt at a theoretical integration. Finally, we suggest some new and exciting research possibilities and explain how both research fields could benefit

  18. Memory

    Science.gov (United States)

    ... it has to decide what is worth remembering. Memory is the process of storing and then remembering this information. There are different types of memory. Short-term memory stores information for a few ...

  19. Cognitive memory.

    Science.gov (United States)

    Widrow, Bernard; Aragon, Juan Carlos

    2013-05-01

    Regarding the workings of the human mind, memory and pattern recognition seem to be intertwined. You generally do not have one without the other. Taking inspiration from life experience, a new form of computer memory has been devised. Certain conjectures about human memory are keys to the central idea. The design of a practical and useful "cognitive" memory system is contemplated, a memory system that may also serve as a model for many aspects of human memory. The new memory does not function like a computer memory where specific data is stored in specific numbered registers and retrieval is done by reading the contents of the specified memory register, or done by matching key words as with a document search. Incoming sensory data would be stored at the next available empty memory location, and indeed could be stored redundantly at several empty locations. The stored sensory data would neither have key words nor would it be located in known or specified memory locations. Sensory inputs concerning a single object or subject are stored together as patterns in a single "file folder" or "memory folder". When the contents of the folder are retrieved, sights, sounds, tactile feel, smell, etc., are obtained all at the same time. Retrieval would be initiated by a query or a prompt signal from a current set of sensory inputs or patterns. A search through the memory would be made to locate stored data that correlates with or relates to the prompt input. The search would be done by a retrieval system whose first stage makes use of autoassociative artificial neural networks and whose second stage relies on exhaustive search. Applications of cognitive memory systems have been made to visual aircraft identification, aircraft navigation, and human facial recognition. Concerning human memory, reasons are given why it is unlikely that long-term memory is stored in the synapses of the brain's neural networks. Reasons are given suggesting that long-term memory is stored in DNA or RNA

  20. Research progress on the roles of microRNAs in governing synaptic plasticity, learning and memory.

    Science.gov (United States)

    Wei, Chang-Wei; Luo, Ting; Zou, Shan-Shan; Wu, An-Shi

    2017-11-01

    The importance of non-coding RNA involved in biological processes has become apparent in recent years and the mechanism of transcriptional regulation has also been identified. MicroRNAs (miRNAs) represent a class of small regulatory non-coding RNAs of 22bp in length that mediate gene silencing by identifying specific sequences in the target messenger RNAs (mRNAs). Many miRNAs are highly expressed in the central nervous system in a spatially and temporally controlled manner in normal physiology, as well as in certain pathological conditions. There is growing evidence that a considerable number of specific miRNAs play important roles in synaptic plasticity, learning and memory function. In addition, the dysfunction of these molecules may also contribute to the etiology of several neurodegenerative diseases. Here we provide an overview of the current literatures, which support non-coding RNA-mediated gene function regulation represents an important but underappreciated, layer of epigenetic control that facilitates learning and memory functions. Copyright © 2017. Published by Elsevier Inc.

  1. A selective memory deficit caused by autoimmune encephalopathy associated with Hashimoto thyroiditis.

    Science.gov (United States)

    Koros, Christos; Economou, Alexandra; Mastorakos, George; Bonakis, Anastasios; Kalfakis, Nikolaos; Papageorgiou, Sokratis G

    2012-09-01

    We report a longstanding selective memory deficit in a euthyroid 45-year-old woman who was being treated with levothyroxine for Hashimoto thyroiditis. The patient had complained of memory problems and deterioration of her concentration skills for about 2 years. Her endocrinologist thought that she was depressed. The patient's physical examination was normal. She scored a full 30 points on the Mini-Mental State Examination, but neuropsychological evaluation showed a significant deficit in her verbal memory. Routine blood tests and cerebrospinal fluid analysis showed only antithyroid peroxidase antibodies. Brain magnetic resonance imaging was normal. Electroencephalogram showed scarce intermittent bilateral multifocal theta waves. We increased the patient's daily dose of levothyroxine and started her on dexamethasone therapy. Five months later, we repeated the entire evaluation and found both her cognitive function and her electroencephalogram to be normal. Autoimmune encephalopathy associated with Hashimoto thyroiditis is already known to present with either stroke-like episodes or diffuse progressive deterioration. Our patient shows that the encephalopathy can present as a chronic selective memory deficit that can spare executive functions and short-term memory. This presentation can be missed or mistaken for depression, but can be diagnosed with a detailed neuropsychological evaluation.

  2. Data acquisition and experiment control system for high-data-rate experiments at the National Synchrotron Light Source

    International Nuclear Information System (INIS)

    Alberi, J.L.; Stubblefield, F.W.

    1981-11-01

    A data acquisition and experiment control system for experiments at the Biology Small-Angle X-ray Scattering Station at the National Synchrotron Light Source has been developed based on a multiprocessor, functionally distributed architecture. The system controls an x-ray monochromator and spectrometer and acquires data from any one of three position-sensitive x-ray detectors. The average data rate from the position-sensitive detector is approx. 10 6 events/sec. Data is stored in a one megaword histogramming memory. The experiments at this Station require that x-ray diffraction patterns be correlated with timed stimuli at the sample. Therefore, depending on which detector is in use, up to 10 3 time-correlated diffraction patterns may be held in the system memory simultaneously. The operation of the system is functionally distributed over four processors communicating via a multiport memory

  3. The contributions of handedness and working memory to episodic memory.

    Science.gov (United States)

    Sahu, Aparna; Christman, Stephen D; Propper, Ruth E

    2016-11-01

    Past studies have independently shown associations of working memory and degree of handedness with episodic memory retrieval. The current study takes a step ahead by examining whether handedness and working memory independently predict episodic memory. In agreement with past studies, there was an inconsistent-handed advantage for episodic memory; however, this advantage was absent for working memory tasks. Furthermore, regression analyses showed handedness, and complex working memory predicted episodic memory performance at different times. Results are discussed in light of theories of episodic memory and hemispheric interaction.

  4. Liver X receptor activation restores memory in aged AD mice without reducing amyloid

    NARCIS (Netherlands)

    Vanmierlo, Tim; Rutten, Kris; Dederen, Jos; Bloks, Vincent W.; van Vark-van der Zee, Leonie C.; Kuipers, Folkert; Kiliaan, Amanda; Blokland, Arjan; Sijbrands, Eric J. G.; Steinbusch, Harry; Prickaerts, Jos; Luetjohann, Dieter; Mulder, Monique

    Alterations in cerebral cholesterol metabolism are thought to play a role in the progression of Alzheimer's disease (AD). Liver X receptors (LXRs) are key regulators of cholesterol metabolism. The synthetic LXR activator, T0901317 has been reported to improve memory functions in animal models for AD

  5. Behavioural memory reconsolidation of food and fear memories.

    Science.gov (United States)

    Flavell, Charlotte R; Barber, David J; Lee, Jonathan L C

    2011-10-18

    The reactivation of a memory through retrieval can render it subject to disruption or modification through the process of memory reconsolidation. In both humans and rodents, briefly reactivating a fear memory results in effective erasure by subsequent extinction training. Here we show that a similar strategy is equally effective in the disruption of appetitive pavlovian cue-food memories. However, systemic administration of the NMDA receptor partial agonist D-cycloserine, under the same behavioural conditions, did not potentiate appetitive memory extinction, suggesting that reactivation does not enhance subsequent extinction learning. To confirm that reactivation followed by extinction reflects a behavioural analogue of memory reconsolidation, we show that prevention of contextual fear memory reactivation by the L-type voltage-gated calcium channel blocker nimodipine interferes with the amnestic outcome. Therefore, the reconsolidation process can be manipulated behaviourally to disrupt both aversive and appetitive memories. © 2011 Macmillan Publishers Limited. All rights reserved.

  6. Quantum-Inspired Multidirectional Associative Memory With a Self-Convergent Iterative Learning.

    Science.gov (United States)

    Masuyama, Naoki; Loo, Chu Kiong; Seera, Manjeevan; Kubota, Naoyuki

    2018-04-01

    Quantum-inspired computing is an emerging research area, which has significantly improved the capabilities of conventional algorithms. In general, quantum-inspired hopfield associative memory (QHAM) has demonstrated quantum information processing in neural structures. This has resulted in an exponential increase in storage capacity while explaining the extensive memory, and it has the potential to illustrate the dynamics of neurons in the human brain when viewed from quantum mechanics perspective although the application of QHAM is limited as an autoassociation. We introduce a quantum-inspired multidirectional associative memory (QMAM) with a one-shot learning model, and QMAM with a self-convergent iterative learning model (IQMAM) based on QHAM in this paper. The self-convergent iterative learning enables the network to progressively develop a resonance state, from inputs to outputs. The simulation experiments demonstrate the advantages of QMAM and IQMAM, especially the stability to recall reliability.

  7. Constructing Memory, Imagination, and Empathy: A Cognitive Neuroscience Perspective

    OpenAIRE

    Gaesser, Brendan

    2013-01-01

    Studies on memory, imagination, and empathy have largely progressed in isolation. Consequently, humans’ empathic tendencies to care about and help other people are considered independent of our ability to remember and imagine events. Despite this theoretical autonomy, work from across psychology, and neuroscience suggests that these cognitive abilities may be linked. In the present paper, I tentatively propose that humans’ ability to vividly imagine specific events (as supported by constructi...

  8. Developmental Progression of Looking and Reaching Performance on the A-Not-B Task

    Science.gov (United States)

    Cuevas, Kimberly; Bell, Martha Ann

    2010-01-01

    From a neuropsychological perspective, the cognitive skills of working memory, inhibition, and attention and the maturation of the frontal lobe are requisites for successful A-not-B performance on both the looking and reaching versions of the task. This study used a longitudinal design to examine the developmental progression of infants'…

  9. Selective alterations of neurons and circuits related to early memory loss in Alzheimer's disease

    Directory of Open Access Journals (Sweden)

    María eLlorens-Martín

    2014-05-01

    Full Text Available A progressive loss of episodic memory is a well-known clinical symptom that characterizes Alzheimer’s disease (AD. The beginning of this loss of memory has been associated with the very early, pathological accumulation of tau and neuronal degeneration observed in the entorhinal cortex (EC. Tau-related pathology is thought to then spread progressively to the hippocampal formation and other brain areas as the disease progresses. The major cortical afferent source of the hippocampus and dentate gyrus is the EC through the perforant pathway. At least two main circuits participate in the connection between EC and the hippocampus; one originating in layer II and the other in layer III of the EC giving rise to the classical trisynaptic (ECII→dentate gyrus→CA3→CA1 and monosynaptic (ECIII→CA1 circuits. Thus, the study of the early pathological changes in these circuits is of great interest. In this review, we will discuss mainly the alterations of the granule cell neurons of the dentate gyrus and the atrophy of CA1 pyramidal neurons that occur in AD in relation to the possible differential alterations of these two main circuits.

  10. Memory reconsolidation mediates the updating of hippocampal memory content

    OpenAIRE

    Jonathan L C Lee

    2010-01-01

    The retrieval or reactivation of a memory places it into a labile state, requiring a process of reconsolidation to restabilize it. This retrieval-induced plasticity is a potential mechanism for the modification of the existing memory. Following previous data supportive of a functional role for memory reconsolidation in the modification of memory strength, here I show that hippocampal memory reconsolidation also supports the updating of contextual memory content. Using a procedure that se...

  11. The neuropsychology of emerging psychosis and the role of working memory in episodic memory encoding

    Directory of Open Access Journals (Sweden)

    Pflueger MO

    2018-05-01

    Full Text Available Marlon O Pflueger,1 Pasquale Calabrese,2 Erich Studerus,3 Ronan Zimmermann,4 Ute Gschwandtner,4 Stefan Borgwardt,5 Jacqueline Aston,3 Rolf-Dieter Stieglitz,6 Anita Riecher-Rössler3 1Department of Forensic Psychiatry, University of Basel Psychiatric Clinics, Basel, Switzerland; 2Division of Molecular and Cognitive Neuroscience, University of Basel, Basel, Switzerland; 3Center for Gender Research and Early Detection, University of Basel Psychiatric Hospital, Basel, Switzerland; 4Department of Neurology and Neurosurgery, Hospital of the University of Basel, Basel, Switzerland; 5Department of Psychiatry (UPK, University of Basel, Basel, Switzerland; 6Division of Clinical Psychology and Psychiatry, University of Basel, Basel, Switzerland Background: Episodic memory encoding and working memory (WM deficits are among the first cognitive signs and symptoms in the course of schizophrenia spectrum disorders. However, it is not clear whether the deficit pattern is generalized or specific in nature. We hypothesized that encoding deficits at an early stage of the disease might be due to the more fundamental WM deficits. Methods: We examined episodic memory encoding and WM by administering the California Verbal Learning Test, a 2-back task, and the Wisconsin Card Sorting Test in 90 first-episode psychosis (FE patients and 116 individuals with an at-risk mental state for psychosis (ARMS compared to 57 healthy subjects. Results: Learning progress, but not span of apprehension, was diminished to a similar extent in both the ARMS and the FE. We showed that this was due to WM impairment by applying a structural equation approach. Conclusion: Thus, we conclude that verbal memory encoding deficits are secondary to primary WM impairment in emerging psychosis. Keywords: at-risk mental state, first-episode psychosis, cognition, serial position effect, recency, semantic cluster ratio, 2-back task, rate of learning

  12. Simulation of Particulate Flows on Multi-Processor Machines with Distributed Memory

    International Nuclear Information System (INIS)

    Uhlmann, M.

    2004-01-01

    We present a method for the parallelization of an immersed boundary algorithm for particulate flows using the MPI standard of communication. The treatment of the fluid phase uses the domain decomposition technique over a Cartesian processor grid. The solution of the Hehnholtz problem is approximately factorized an relies upon apparel tri-diagonal solver; the Poisson problem is solved by means of a parallel multi-grid technique simulator MUDPACK. For the solid phase we employ a master-slaves technique where one process or handles all the particles contained in its Eulerian fluid sub-domain and zero or more neighbor processors collaborate in the computation of particle-related quantities whenever a particle position overlaps the boundary of a sub- do mam.The parallel efficiency for some preliminary computations is presented. (Author) 9 refs

  13. Organizational memory: from expectations memory to procedural memory

    NARCIS (Netherlands)

    Ebbers, J.J.; Wijnberg, N.M.

    2009-01-01

    Organizational memory is not just the stock of knowledge about how to do things, but also of expectations of organizational members vis-à-vis each other and the organization as a whole. The central argument of this paper is that this second type of organizational memory -organizational expectations

  14. Cognitive deterioration and aging: There is no evidence of working memory progressive deterioration, age dependent, in Wistar rats.

    OpenAIRE

    Bríñez, José Arturo; Pontificia Universidad Javeriana; Velásquez, Silvio; Pontificia Universidad Javeriana; Gómez, Juan Daniel; Pontificia Universidad Javeriana

    2010-01-01

    Working memory is a neuro-behavioral system in which short- and long-term memory, spatial orientation, some executive functions, social adaptation, complex cognitive tasks, and academic achievements participate. All of these have been utilized in aging cognitive changes studies. La memoria de trabajo es un sistema neuro-conductual en el que participan la memoria a corto y a largo plazo, la orientación espacial, la planeación y la ejecución de tareas espaciales y lingüísticas, la adaptación...

  15. Aging Memories: Differential Decay of Episodic Memory Components

    Science.gov (United States)

    Talamini, Lucia M.; Gorree, Eva

    2012-01-01

    Some memories about events can persist for decades, even a lifetime. However, recent memories incorporate rich sensory information, including knowledge on the spatial and temporal ordering of event features, while old memories typically lack this "filmic" quality. We suggest that this apparent change in the nature of memories may reflect a…

  16. Working memory, long-term memory, and medial temporal lobe function

    Science.gov (United States)

    Jeneson, Annette; Squire, Larry R.

    2012-01-01

    Early studies of memory-impaired patients with medial temporal lobe (MTL) damage led to the view that the hippocampus and related MTL structures are involved in the formation of long-term memory and that immediate memory and working memory are independent of these structures. This traditional idea has recently been revisited. Impaired performance in patients with MTL lesions on tasks with short retention intervals, or no retention interval, and neuroimaging findings with similar tasks have been interpreted to mean that the MTL is sometimes needed for working memory and possibly even for visual perception itself. We present a reappraisal of this interpretation. Our main conclusion is that, if the material to be learned exceeds working memory capacity, if the material is difficult to rehearse, or if attention is diverted, performance depends on long-term memory even when the retention interval is brief. This fundamental notion is better captured by the terms subspan memory and supraspan memory than by the terms short-term memory and long-term memory. We propose methods for determining when performance on short-delay tasks must depend on long-term (supraspan) memory and suggest that MTL lesions impair performance only when immediate memory and working memory are insufficient to support performance. In neuroimaging studies, MTL activity during encoding is influenced by the memory load and correlates positively with long-term retention of the material that was presented. The most parsimonious and consistent interpretation of all the data is that subspan memoranda are supported by immediate memory and working memory and are independent of the MTL. PMID:22180053

  17. Single-item memory, associative memory, and the human hippocampus

    OpenAIRE

    Gold, Jeffrey J.; Hopkins, Ramona O.; Squire, Larry R.

    2006-01-01

    We tested recognition memory for items and associations in memory-impaired patients with bilateral lesions thought to be limited to the hippocampal region. In Experiment 1 (Combined memory test), participants studied words and then took a memory test in which studied words, new words, studied word pairs, and recombined word pairs were presented in a mixed order. In Experiment 2 (Separated memory test), participants studied single words and then took a memory test involving studied word and ne...

  18. Ultra-fast fluence optimization for beam angle selection algorithms

    Science.gov (United States)

    Bangert, M.; Ziegenhein, P.; Oelfke, U.

    2014-03-01

    Beam angle selection (BAS) including fluence optimization (FO) is among the most extensive computational tasks in radiotherapy. Precomputed dose influence data (DID) of all considered beam orientations (up to 100 GB for complex cases) has to be handled in the main memory and repeated FOs are required for different beam ensembles. In this paper, the authors describe concepts accelerating FO for BAS algorithms using off-the-shelf multiprocessor workstations. The FO runtime is not dominated by the arithmetic load of the CPUs but by the transportation of DID from the RAM to the CPUs. On multiprocessor workstations, however, the speed of data transportation from the main memory to the CPUs is non-uniform across the RAM; every CPU has a dedicated memory location (node) with minimum access time. We apply a thread node binding strategy to ensure that CPUs only access DID from their preferred node. Ideal load balancing for arbitrary beam ensembles is guaranteed by distributing the DID of every candidate beam equally to all nodes. Furthermore we use a custom sorting scheme of the DID to minimize the overall data transportation. The framework is implemented on an AMD Opteron workstation. One FO iteration comprising dose, objective function, and gradient calculation takes between 0.010 s (9 beams, skull, 0.23 GB DID) and 0.070 s (9 beams, abdomen, 1.50 GB DID). Our overall FO time is < 1 s for small cases, larger cases take ~ 4 s. BAS runs including FOs for 1000 different beam ensembles take ~ 15-70 min, depending on the treatment site. This enables an efficient clinical evaluation of different BAS algorithms.

  19. Ultra-fast fluence optimization for beam angle selection algorithms

    International Nuclear Information System (INIS)

    Bangert, M; Ziegenhein, P; Oelfke, U

    2014-01-01

    Beam angle selection (BAS) including fluence optimization (FO) is among the most extensive computational tasks in radiotherapy. Precomputed dose influence data (DID) of all considered beam orientations (up to 100 GB for complex cases) has to be handled in the main memory and repeated FOs are required for different beam ensembles. In this paper, the authors describe concepts accelerating FO for BAS algorithms using off-the-shelf multiprocessor workstations. The FO runtime is not dominated by the arithmetic load of the CPUs but by the transportation of DID from the RAM to the CPUs. On multiprocessor workstations, however, the speed of data transportation from the main memory to the CPUs is non-uniform across the RAM; every CPU has a dedicated memory location (node) with minimum access time. We apply a thread node binding strategy to ensure that CPUs only access DID from their preferred node. Ideal load balancing for arbitrary beam ensembles is guaranteed by distributing the DID of every candidate beam equally to all nodes. Furthermore we use a custom sorting scheme of the DID to minimize the overall data transportation. The framework is implemented on an AMD Opteron workstation. One FO iteration comprising dose, objective function, and gradient calculation takes between 0.010 s (9 beams, skull, 0.23 GB DID) and 0.070 s (9 beams, abdomen, 1.50 GB DID). Our overall FO time is < 1 s for small cases, larger cases take ∼ 4 s. BAS runs including FOs for 1000 different beam ensembles take ∼ 15–70 min, depending on the treatment site. This enables an efficient clinical evaluation of different BAS algorithms.

  20. Communication strategies for angular domain decomposition of transport calculations on message passing multiprocessors

    International Nuclear Information System (INIS)

    Azmy, Y.Y.

    1997-01-01

    The effect of three communication schemes for solving Arbitrarily High Order Transport (AHOT) methods of the Nodal type on parallel performance is examined via direct measurements and performance models. The target architecture in this study is Oak Ridge National Laboratory's 128 node Paragon XP/S 5 computer and the parallelization is based on the Parallel Virtual Machine (PVM) library. However, the conclusions reached can be easily generalized to a large class of message passing platforms and communication software. The three schemes considered here are: (1) PVM's global operations (broadcast and reduce) which utilizes the Paragon's native corresponding operations based on a spanning tree routing; (2) the Bucket algorithm wherein the angular domain decomposition of the mesh sweep is complemented with a spatial domain decomposition of the accumulation process of the scalar flux from the angular flux and the convergence test; (3) a distributed memory version of the Bucket algorithm that pushes the spatial domain decomposition one step farther by actually distributing the fixed source and flux iterates over the memories of the participating processes. Their conclusion is that the Bucket algorithm is the most efficient of the three if all participating processes have sufficient memories to hold the entire problem arrays. Otherwise, the third scheme becomes necessary at an additional cost to speedup and parallel efficiency that is quantifiable via the parallel performance model

  1. Interference-free acquisition of overlapping sequences in explicit spatial memory.

    Science.gov (United States)

    Eggert, Thomas; Drever, Johannes; Straube, Andreas

    2014-04-01

    Some types of human sequential memory, e.g. the acquisition of a new composition by a trained musician, seem to be very efficient in extending the length of a memorized sequence and in flexible reuse of known subsequences in a newly acquired sequential context. This implies that interference between known and newly acquired subsequences can be avoided even when learning a sequence which is a partial mutation of a known sequence. It is known that established motor sequences do not have such flexibility. Using learning of deferred imitation, the current study investigates the flexibility of explicit spatial memory by quantifying the interferences between successively acquired, partially overlapping sequences. After learning a spatial sequence on day 1, this sequence was progressively modified on day 2. On day 3, a retention test was performed with both the initial and the modified sequence. The results show that subjects performed very well on day 1 and day 2. No spatial interference between changed and unchanged targets was observed during the stepwise progressive modification of the reproduced sequence. Surprisingly, subjects performed well on both sequences on day 3. Comparison with a control experiment without intermediate mutation training showed that the initial training on day 1 did not proactively interfere with the retention of the modified sequence on day 3. Vice versa, the mutation training on day 2 did not interfere retroactively with the retention of the original sequence as tested on day 3. The results underline the flexibility in acquiring explicit spatial memory. Copyright © 2014 Elsevier B.V. All rights reserved.

  2. Cued memory decline in biomarker-defined preclinical Alzheimer disease.

    Science.gov (United States)

    Papp, Kathryn V; Rentz, Dorene M; Mormino, Elizabeth C; Schultz, Aaron P; Amariglio, Rebecca E; Quiroz, Yakeel; Johnson, Keith A; Sperling, Reisa A

    2017-04-11

    To determine whether a decline in cued recall is observable in the preclinical stage of Alzheimer disease (AD) in clinically normal older adults with elevated β-amyloid (Aβ) burden on PET imaging. Clinically normal older adults underwent baseline neuroimaging (PET to assess Aβ +/- status and MRI) and annual neuropsychological testing. Cox proportional hazards models were used to assess the relative risk of cued memory decline (drop of 1, 2, 3, or 4 points on the total score of the Free and Cued Selective Reminding Test) in relation to neuroimaging measures, functional status, age, sex, and education. A total of 276 older adults (Clinical Dementia Rating = 0, mean Mini-Mental State Examination score = 29 ± 1.06) were followed up for a mean of 3.6 ± 1.2 years. Despite the infrequency of cued memory decline (only 19% of participants scored ≤46/48 in total recall by year 3), Aβ + participants were 3.55 times (95% confidence interval = 1.77-7.12) more likely to exhibit decline in total recall (≤46/48) compared with their Aβ - peers. Furthermore, Aβ + participants who scored ≤46/48 had smaller hippocampal volumes ( t = 3.37, p = 0.001) and evidence of early functional decline, i.e., greater risk of progression to global Clinical Dementia Rating of 0.5 (χ 2 = 14.30, p recall. Cued memory decline in healthy older adults may be particularly indicative of Aβ-related decline during the preclinical stage of AD and useful for identifying Aβ + clinically normal individuals at greatest risk of short-term clinical progression. © 2017 American Academy of Neurology.

  3. The hippocampus remains activated over the long term for the retrieval of truly episodic memories.

    Directory of Open Access Journals (Sweden)

    Caroline Harand

    Full Text Available The role of the hippocampus in declarative memory consolidation is a matter of intense debate. We investigated the neural substrates of memory retrieval for recent and remote information using functional magnetic resonance imaging (fMRI. 18 young, healthy participants learned a series of pictures. Then, during two fMRI recognition sessions, 3 days and 3 months later, they had to determine whether they recognized or not each picture using the "Remember/Know" procedure. Presentation of the same learned images at both delays allowed us to track the evolution of memories and distinguish consistently episodic memories from those that were initially episodic and then became familiar or semantic over time and were retrieved without any contextual detail. Hippocampal activation decreased over time for initially episodic, later semantic memories, but remained stable for consistently episodic ones, at least in its posterior part. For both types of memories, neocortical activations were observed at both delays, notably in the ventromedial prefrontal and anterior cingulate cortices. These activations may reflect a gradual reorganization of memory traces within neural networks. Our data indicate maintenance and strengthening of hippocampal and cortico-cortical connections in the consolidation and retrieval of episodic memories over time, in line with the Multiple Trace theory (Nadel and Moscovitch, 1997. At variance, memories becoming semantic over time consolidate through strengthening of cortico-cortical connections and progressive disengagement of the hippocampus.

  4. Memory systems interaction in the pigeon: working and reference memory.

    Science.gov (United States)

    Roberts, William A; Strang, Caroline; Macpherson, Krista

    2015-04-01

    Pigeons' performance on a working memory task, symbolic delayed matching-to-sample, was used to examine the interaction between working memory and reference memory. Reference memory was established by training pigeons to discriminate between the comparison cues used in delayed matching as S+ and S- stimuli. Delayed matching retention tests then measured accuracy when working and reference memory were congruent and incongruent. In 4 experiments, it was shown that the interaction between working and reference memory is reciprocal: Strengthening either type of memory leads to a decrease in the influence of the other type of memory. A process dissociation procedure analysis of the data from Experiment 4 showed independence of working and reference memory, and a model of working memory and reference memory interaction was shown to predict the findings reported in the 4 experiments. (PsycINFO Database Record (c) 2015 APA, all rights reserved).

  5. Allium sativum L. Improves Visual Memory and Attention in Healthy Human Volunteers

    Science.gov (United States)

    Tasnim, Sara; Haque, Parsa Sanjana; Bari, Md. Sazzadul; Hossain, Md. Monir; Islam, Sardar Mohd. Ashraful; Shahriar, Mohammad; Bhuiyan, Mohiuddin Ahmed; Bin Sayeed, Muhammad Shahdaat

    2015-01-01

    Studies have shown that Allium sativum L. (AS) protects amyloid-beta peptide-induced apoptosis, prevents oxidative insults to neurons and synapses, and thus prevent Alzheimer's disease progression in experimental animals. However, there is no experimental evidence in human regarding its putative role in memory and cognition. We have studied the effect of AS consumption by healthy human volunteers on visual memory, verbal memory, attention, and executive function in comparison to control subjects taking placebo. The study was conducted over five weeks and twenty volunteers of both genders were recruited and divided randomly into two groups: A (AS) and B (placebo). Both groups participated in the 6 computerized neuropsychological tests of the Cambridge Neuropsychological Test Automated Battery (CANTAB) twice: at the beginning and after five weeks of the study. We found statistically significant difference (p 0.05) beneficial effects on verbal memory and executive function within a short period of time among the volunteers. Study for a longer period of time with patients suffering from neurodegenerative diseases might yield more relevant results regarding the potential therapeutic role of AS. PMID:26351508

  6. Declarative memory.

    Science.gov (United States)

    Riedel, Wim J; Blokland, Arjan

    2015-01-01

    Declarative Memory consists of memory for events (episodic memory) and facts (semantic memory). Methods to test declarative memory are key in investigating effects of potential cognition-enhancing substances--medicinal drugs or nutrients. A number of cognitive performance tests assessing declarative episodic memory tapping verbal learning, logical memory, pattern recognition memory, and paired associates learning are described. These tests have been used as outcome variables in 34 studies in humans that have been described in the literature in the past 10 years. Also, the use of episodic tests in animal research is discussed also in relation to the drug effects in these tasks. The results show that nutritional supplementation of polyunsaturated fatty acids has been investigated most abundantly and, in a number of cases, but not all, show indications of positive effects on declarative memory, more so in elderly than in young subjects. Studies investigating effects of registered anti-Alzheimer drugs, cholinesterase inhibitors in mild cognitive impairment, show positive and negative effects on declarative memory. Studies mainly carried out in healthy volunteers investigating the effects of acute dopamine stimulation indicate enhanced memory consolidation as manifested specifically by better delayed recall, especially at time points long after learning and more so when drug is administered after learning and if word lists are longer. The animal studies reveal a different picture with respect to the effects of different drugs on memory performance. This suggests that at least for episodic memory tasks, the translational value is rather poor. For the human studies, detailed parameters of the compositions of word lists for declarative memory tests are discussed and it is concluded that tailored adaptations of tests to fit the hypothesis under study, rather than "off-the-shelf" use of existing tests, are recommended.

  7. False memories in highly superior autobiographical memory individuals

    Science.gov (United States)

    Patihis, Lawrence; Frenda, Steven J.; LePort, Aurora K. R.; Petersen, Nicole; Nichols, Rebecca M.; Stark, Craig E. L.; McGaugh, James L.; Loftus, Elizabeth F.

    2013-01-01

    The recent identification of highly superior autobiographical memory (HSAM) raised the possibility that there may be individuals who are immune to memory distortions. We measured HSAM participants’ and age- and sex-matched controls’ susceptibility to false memories using several research paradigms. HSAM participants and controls were both susceptible to false recognition of nonpresented critical lure words in an associative word-list task. In a misinformation task, HSAM participants showed higher overall false memory compared with that of controls for details in a photographic slideshow. HSAM participants were equally as likely as controls to mistakenly report they had seen nonexistent footage of a plane crash. Finding false memories in a superior-memory group suggests that malleable reconstructive mechanisms may be fundamental to episodic remembering. Paradoxically, HSAM individuals may retrieve abundant and accurate autobiographical memories using fallible reconstructive processes. PMID:24248358

  8. False memories and memory confidence in borderline patients.

    Science.gov (United States)

    Schilling, Lisa; Wingenfeld, Katja; Spitzer, Carsten; Nagel, Matthias; Moritz, Steffen

    2013-12-01

    Mixed results have been obtained regarding memory in patients with borderline personality disorder (BPD). Prior reports and anecdotal evidence suggests that patients with BPD are prone to false memories but this assumption has to been put to firm empirical test, yet. Memory accuracy and confidence was assessed in 20 BPD patients and 22 healthy controls using a visual variant of the false memory (Deese-Roediger-McDermott) paradigm which involved a negative and a positive-valenced picture. Groups did not differ regarding veridical item recognition. Importantly, patients did not display more false memories than controls. At trend level, borderline patients rated more items as new with high confidence compared to healthy controls. The results tentatively suggest that borderline patients show uncompromised visual memory functions and display no increased susceptibility for distorted memories. Copyright © 2013 Elsevier Ltd. All rights reserved.

  9. Event-related brain potential correlates of human auditory sensory memory-trace formation.

    Science.gov (United States)

    Haenschel, Corinna; Vernon, David J; Dwivedi, Prabuddh; Gruzelier, John H; Baldeweg, Torsten

    2005-11-09

    The event-related potential (ERP) component mismatch negativity (MMN) is a neural marker of human echoic memory. MMN is elicited by deviant sounds embedded in a stream of frequent standards, reflecting the deviation from an inferred memory trace of the standard stimulus. The strength of this memory trace is thought to be proportional to the number of repetitions of the standard tone, visible as the progressive enhancement of MMN with number of repetitions (MMN memory-trace effect). However, no direct ERP correlates of the formation of echoic memory traces are currently known. This study set out to investigate changes in ERPs to different numbers of repetitions of standards, delivered in a roving-stimulus paradigm in which the frequency of the standard stimulus changed randomly between stimulus trains. Normal healthy volunteers (n = 40) were engaged in two experimental conditions: during passive listening and while actively discriminating changes in tone frequency. As predicted, MMN increased with increasing number of standards. However, this MMN memory-trace effect was caused mainly by enhancement with stimulus repetition of a slow positive wave from 50 to 250 ms poststimulus in the standard ERP, which is termed here "repetition positivity" (RP). This RP was recorded from frontocentral electrodes when participants were passively listening to or actively discriminating changes in tone frequency. RP may represent a human ERP correlate of rapid and stimulus-specific adaptation, a candidate neuronal mechanism underlying sensory memory formation in the auditory cortex.

  10. Progressive amusia and aprosody.

    Science.gov (United States)

    Confavreux, C; Croisile, B; Garassus, P; Aimard, G; Trillet, M

    1992-09-01

    We report a case of slowly progressive amusia and aprosody in association with orofacial and eyelid apraxias. The patient was independent in daily living activities. Insight, judgment, and behavior were intact. Her language was normal, and she demonstrated no limb, dressing, or constructional apraxia. She had no prosopagnosia, no visuospatial disturbances, and no memory impairment. Imaging studies (computed tomography, magnetic resonance imaging, single photon emission computed tomography) indicated a selective disorder of the right frontal and temporal regions. Review of the literature shows an increasing number of reports of this degenerative syndrome affecting the left dominant hemisphere and language areas, whereas cases of the syndrome affecting the right hemisphere are rare. To our knowledge, this is the first case in which aprosody and amusia were associated with a focal cortical degeneration.

  11. The accessibility of memory items in children’s working memory

    OpenAIRE

    Roome, Hannah; Towse, John

    2016-01-01

    This thesis investigates the processes and systems that support recall in working memory. In particular it seeks to apply ideas from the adult-based dual-memory framework (Unsworth & Engle, 2007b) that claims primary memory and secondary memory are independent contributors to working memory capacity. These two memory systems are described as domain-general processes that combine control of attention and basic memory abilities to retain information. The empirical contribution comprises five ex...

  12. Protecting and rescuing the effectors: roles of differentiation and survival in the control of memory T cell development

    Directory of Open Access Journals (Sweden)

    Sema eKurtulus

    2013-01-01

    Full Text Available Vaccines, arguably the single most important intervention in improving human health, have exploited the phenomenon of immunological memory. The elicitation of memory T cells is often an essential part of successful long-lived protective immunity. Our understanding of T cell memory has been greatly aided by the development of TCR Tg mice and MHC tetrameric staining reagents that have allowed the precise tracking of antigen-specific T cell responses. Indeed, following acute infection or immunization, naïve T cells undergo a massive expansion culminating in the generation of a robust effector T cell population. This peak effector response is relatively short-lived and, while most effector T cells die by apoptosis, some remain and develop into memory cells. Although the molecular mechanisms underlying this cell fate decision remain incompletely defined, substantial progress has been made, particularly with regards to CD8+ T cells. For example, the effector CD8+ T cells generated during a response are heterogeneous, consisting of cells with more or less potential to develop into full-fledged memory cells. Development of CD8+ T cell memory is regulated by the transcriptional programs that control the differentiation and survival of effector T cells. While the type of antigenic stimulation and level of inflammation control effector CD8+ T cell differentiation, availability of cytokines and their ability to control expression and function of Bcl-2 family members governs their survival. These distinct differentiation and survival programs may allow for finer therapeutic intervention to control both the quality and quantity of CD8+ T cell memory. Effector to memory transition of CD4+ T cells is less well characterized than CD8+ T cells, emerging details will be discussed. This review will focus on the recent progress made in our understanding of the mechanisms underlying the development of T cell memory with an emphasis on factors controlling survival of

  13. Stochastic memory: getting memory out of noise

    Science.gov (United States)

    Stotland, Alexander; di Ventra, Massimiliano

    2011-03-01

    Memory circuit elements, namely memristors, memcapacitors and meminductors, can store information without the need of a power source. These systems are generally defined in terms of deterministic equations of motion for the state variables that are responsible for memory. However, in real systems noise sources can never be eliminated completely. One would then expect noise to be detrimental for memory. Here, we show that under specific conditions on the noise intensity memory can actually be enhanced. We illustrate this phenomenon using a physical model of a memristor in which the addition of white noise into the state variable equation improves the memory and helps the operation of the system. We discuss under which conditions this effect can be realized experimentally, discuss its implications on existing memory systems discussed in the literature, and also analyze the effects of colored noise. Work supported in part by NSF.

  14. Getting Explicit Memory off the Ground: Steps toward Construction of a Neuro-Developmental Account of Changes in the First Two Years of Life

    Science.gov (United States)

    Bauer, Patricia J.

    2004-01-01

    Historically, infants and very young children were thought incapable of explicit memory. As a result of changes in theoretical perspective and methodological developments, this assumption was challenged in the latter part of the 20th century. Substantial progress was made in describing age-related changes in explicit memory in the first two years…

  15. Multi-processor system for real-time flow estimation in medical ultrasound imaging

    DEFF Research Database (Denmark)

    Stetson, Paul F.; Jensen, Jesper Lomborg; Antonius, Peter

    1997-01-01

    the processed data. The generous bandwidth of the links makes it easy to balance the computational load among the processors.In order to manage the shared system memory and to make use of the parallel processing capabilities of the system, a real-time multitasking kernel has been developed. The kernel uses...

  16. Pridopidine Reverses Phencyclidine-Induced Memory Impairment.

    Science.gov (United States)

    Sahlholm, Kristoffer; Valle-León, Marta; Fernández-Dueñas, Víctor; Ciruela, Francisco

    2018-01-01

    Pridopidine is in clinical trials for Huntington's disease treatment. Originally developed as a dopamine D 2 receptor (D 2 R) ligand, pridopidine displays about 100-fold higher affinity for the sigma-1 receptor (sigma-1R). Interestingly, pridopidine slows disease progression and improves motor function in Huntington's disease model mice and, in preliminarily reports, Huntington's disease patients. The present study examined the anti-amnesic potential of pridopidine. Thus, memory impairment was produced in mice by administration of phencyclidine (PCP, 10 mg/kg/day) for 10 days, followed by 14 days' treatment with pridopidine (6 mg/kg/day), or saline. Finally, novel object recognition performance was assessed in the animals. Mice receiving PCP and saline exhibited deficits in novel object recognition, as expected, while pridopidine treatment counteracted PCP-induced memory impairment. The effect of pridopidine was attenuated by co-administration of the sigma receptor antagonist, NE-100 (10 mg/kg). Our results suggest that pridopidine exerts anti-amnesic and potentially neuroprotective actions. These data provide new insights into the therapeutic potential of pridopidine as a pro-cognitive drug.

  17. A model of memory impairment in schizophrenia: cognitive and clinical factors associated with memory efficiency and memory errors.

    Science.gov (United States)

    Brébion, Gildas; Bressan, Rodrigo A; Ohlsen, Ruth I; David, Anthony S

    2013-12-01

    Memory impairments in patients with schizophrenia have been associated with various cognitive and clinical factors. Hallucinations have been more specifically associated with errors stemming from source monitoring failure. We conducted a broad investigation of verbal memory and visual memory as well as source memory functioning in a sample of patients with schizophrenia. Various memory measures were tallied, and we studied their associations with processing speed, working memory span, and positive, negative, and depressive symptoms. Superficial and deep memory processes were differentially associated with processing speed, working memory span, avolition, depression, and attention disorders. Auditory/verbal and visual hallucinations were differentially associated with specific types of source memory error. We integrated all the results into a revised version of a previously published model of memory functioning in schizophrenia. The model describes the factors that affect memory efficiency, as well as the cognitive underpinnings of hallucinations within the source monitoring framework. © 2013.

  18. Visual working memory buffers information retrieved from visual long-term memory.

    Science.gov (United States)

    Fukuda, Keisuke; Woodman, Geoffrey F

    2017-05-16

    Human memory is thought to consist of long-term storage and short-term storage mechanisms, the latter known as working memory. Although it has long been assumed that information retrieved from long-term memory is represented in working memory, we lack neural evidence for this and need neural measures that allow us to watch this retrieval into working memory unfold with high temporal resolution. Here, we show that human electrophysiology can be used to track information as it is brought back into working memory during retrieval from long-term memory. Specifically, we found that the retrieval of information from long-term memory was limited to just a few simple objects' worth of information at once, and elicited a pattern of neurophysiological activity similar to that observed when people encode new information into working memory. Our findings suggest that working memory is where information is buffered when being retrieved from long-term memory and reconcile current theories of memory retrieval with classic notions about the memory mechanisms involved.

  19. Stress Effects on Working Memory, Explicit Memory, and Implicit Memory for Neutral and Emotional Stimuli in Healthy Men

    OpenAIRE

    Luethi, Mathias; Meier, Beat; Sandi, Carmen

    2009-01-01

    Stress is a strong modulator of memory function. However, memory is not a unitary process and stress seems to exert different effects depending on the memory type under study. Here, we explored the impact of social stress on different aspects of human memory, including tests for explicit memory and working memory (for neutral materials), as well as implicit memory (perceptual priming, contextual priming and classical conditioning for emotional stimuli). A total of 35 young adult...

  20. Review on structural fatigue of NiTi shape memory alloys: Pure mechanical and thermo-mechanical ones

    Directory of Open Access Journals (Sweden)

    Guozheng Kang

    2015-11-01

    Full Text Available Structural fatigue of NiTi shape memory alloys is a key issue that should be solved in order to promote their engineering applications and utilize their unique shape memory effect and super-elasticity more sufficiently. In this paper, the latest progresses made in experimental and theoretical analyses for the structural fatigue features of NiTi shape memory alloys are reviewed. First, macroscopic experimental observations to the pure mechanical and thermo-mechanical fatigue features of the alloys are summarized; then the state-of-arts in the mechanism analysis of fatigue rupture are addressed; further, advances in the construction of fatigue failure models are provided; finally, summary and future topics are outlined.

  1. Working memory capacity and controlled serial memory search.

    Science.gov (United States)

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. The impact of poor working memory skills on a Grade 2 learner’s written and oral literacy performance

    Directory of Open Access Journals (Sweden)

    Kate Linnegar

    2014-05-01

    Full Text Available This research examines the effects of poor working memory skills on a Grade 2 learner. Mediated learning is the theoretical framework that underpins this research project as the focus is on developing cognitive functions, particularly focusing on the working memory of a learner. An independent case study was conducted on one learner, using a qualitative research approach. Interviews and observations were conducted and inductively analysed. The learner followed a six-week intervention programme which was dynamically informed by recent literature as well as observations, interviews and a psychologist’s report. The findings indicated that the learner’s working memory, with particular reference to processing and storage, was challenged. The large demands of the classroom environment led to memory failure and he was prone to making errors. He experienced slow progress in his reading abilities, was unable to retain words and his reading was inconsistent. To alleviate some of his working memory demands, activities and instructions were broken down into smaller components to minimise his memory load, thus avoiding working memory related failures.

  3. Memory blindness: Altered memory reports lead to distortion in eyewitness memory

    OpenAIRE

    Cochran, KJ; Greenspan, RL; Bogart, DF; Loftus, EF

    2016-01-01

    Choice blindness refers to the finding that people can often be misled about their own self-reported choices. However, little research has investigated the more long-term effects of choice blindness. We examined whether people would detect alterations to their own memory reports, and whether such alterations could influence participants' memories. Participants viewed slideshows depicting crimes, and then either reported their memories for episodic details of the event (Exp. 1) or identified a...

  4. The composite complex span: French validation of a short working memory task.

    Science.gov (United States)

    Gonthier, Corentin; Thomassin, Noémylle; Roulin, Jean-Luc

    2016-03-01

    Most studies in individual differences in the field of working memory research use complex span tasks to measure working memory capacity. Various complex span tasks based on different materials have been developed, and these tasks have proven both reliable and valid; several complex span tasks are often combined to provide a domain-general estimate of working memory capacity with even better psychometric properties. The present work sought to address two issues. Firstly, having participants perform several full-length complex span tasks in succession makes for a long and tedious procedure. Secondly, few complex span tasks have been translated and validated in French. We constructed a French working memory task labeled the Composite Complex Span (CCS). The CCS includes shortened versions of three classic complex span tasks: the reading span, symmetry span, and operation span. We assessed the psychometric properties of the CCS, including test-retest reliability and convergent validity, with Raven's Advanced Progressive Matrices and with an alpha span task; the CCS demonstrated satisfying qualities in a sample of 1,093 participants. This work provides evidence that shorter versions of classic complex span tasks can yield valid working memory estimates. The materials and normative data for the CCS are also included.

  5. Subjective cognitive concerns and neuropsychiatric predictors of progression to the early clinical stages of Alzheimer disease.

    Science.gov (United States)

    Donovan, Nancy J; Amariglio, Rebecca E; Zoller, Amy S; Rudel, Rebecca K; Gomez-Isla, Teresa; Blacker, Deborah; Hyman, Bradley T; Locascio, Joseph J; Johnson, Keith A; Sperling, Reisa A; Marshall, Gad A; Rentz, Dorene M

    2014-12-01

    To examine neuropsychiatric and neuropsychological predictors of progression from normal to early clinical stages of Alzheimer disease (AD). From a total sample of 559 older adults from the Massachusetts Alzheimer's Disease Research Center longitudinal cohort, 454 were included in the primary analysis: 283 with clinically normal cognition (CN), 115 with mild cognitive impairment (MCI), and 56 with subjective cognitive concerns (SCC) but no objective impairment, a proposed transitional group between CN and MCI. Two latent cognitive factors (memory-semantic, attention-executive) and two neuropsychiatric factors (affective, psychotic) were derived from the Alzheimer's Disease Centers' Uniform Data Set neuropsychological battery and Neuropsychiatric Inventory brief questionnaire. Factors were analyzed as predictors of time to progression to a worse diagnosis using a Cox proportional hazards regression model with backward elimination. Covariates included baseline diagnosis, gender, age, education, prior depression, antidepressant medication, symptom duration, and interaction terms. Higher/better memory-semantic factor score predicted lower hazard of progression (hazard ratio [HR] = 0.4 for 1 standard deviation [SD] increase, p factor score predicted higher hazard (HR = 1.3 for one SD increase, p = 0.01). No other predictors were significant in adjusted analyses. Using diagnosis as a sole predictor of transition to MCI, the SCC diagnosis carried a fourfold risk of progression compared with CN (HR = 4.1, p factors as significant predictors of more rapid progression from normal to early stages of cognitive decline and highlight the subgroup of cognitively normal elderly with SCC as those with elevated risk of progression to MCI. Copyright © 2014 American Association for Geriatric Psychiatry. Published by Elsevier Inc. All rights reserved.

  6. Models of verbal working memory capacity: what does it take to make them work?

    Science.gov (United States)

    Cowan, Nelson; Rouder, Jeffrey N; Blume, Christopher L; Saults, J Scott

    2012-07-01

    Theories of working memory (WM) capacity limits will be more useful when we know what aspects of performance are governed by the limits and what aspects are governed by other memory mechanisms. Whereas considerable progress has been made on models of WM capacity limits for visual arrays of separate objects, less progress has been made in understanding verbal materials, especially when words are mentally combined to form multiword units or chunks. Toward a more comprehensive theory of capacity limits, we examined models of forced-choice recognition of words within printed lists, using materials designed to produce multiword chunks in memory (e.g., leather brief case). Several simple models were tested against data from a variety of list lengths and potential chunk sizes, with test conditions that only imperfectly elicited the interword associations. According to the most successful model, participants retained about 3 chunks on average in a capacity-limited region of WM, with some chunks being only subsets of the presented associative information (e.g., leather brief case retained with leather as one chunk and brief case as another). The addition to the model of an activated long-term memory component unlimited in capacity was needed. A fixed-capacity limit appears critical to account for immediate verbal recognition and other forms of WM. We advance a model-based approach that allows capacity to be assessed despite other important processing contributions. Starting with a psychological-process model of WM capacity developed to understand visual arrays, we arrive at a more unified and complete model. Copyright 2012 APA, all rights reserved.

  7. [Specificities of the logopenic variant of primary progressive aphasia].

    Science.gov (United States)

    Magnin, E; Teichmann, M; Martinaud, O; Moreaud, O; Ryff, I; Belliard, S; Pariente, J; Moulin, T; Vandel, P; Démonet, J-F

    2015-01-01

    The logopenic variant of primary progressive aphasia is a syndrome with neuropsychological and linguistic specificities, including phonological loop impairment for which diagnosis is currently mainly based on the exclusion of the two other variants, semantic and nonfluent/agrammatic primary progressive aphasia. The syndrome may be underdiagnosed due (1) to mild language difficulties during the early stages of the disease or (2) to being mistaken for mild cognitive impairment or Alzheimer's disease when the evaluation of episodic memory is based on verbal material and (3) finally, it is not uncommon that the disorders are attributed to psychiatric co-morbidities such as, for example, anxiety. Moreover, compared to other variants of primary progressive aphasia, brain abnormalities are different. The left temporoparietal junction is initially affected. Neuropathology and biomarkers (cerebrospinal fluid, molecular amyloid nuclear imaging) frequently reveal Alzheimer's disease. Consequently this variant of primary progressive aphasia does not fall under the traditional concept of frontotemporal lobar degeneration. These distinctive features highlight the utility of correct diagnosis, classification, and use of biomarkers to show the neuropathological processes underlying logopenic primary progressive aphasia. The logopenic variant of primary progressive aphasia is a specific form of Alzheimer's disease frequently presenting a rapid decline; specific linguistic therapies are needed. Further investigation of this syndrome is needed to refine screening, improve diagnostic criteria and better understand the epidemiology and the biological mechanisms involved. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  8. How Human Memory and Working Memory Work in Second Language Acquisition

    OpenAIRE

    小那覇, 洋子; Onaha, Hiroko

    2014-01-01

    We often draw an analogy between human memory and computers. Information around us is taken into our memory storage first, and then we use the information in storage whatever we need it in our daily life. Linguistic information is also in storage and we process our thoughts based on the memory that is stored. Memory storage consists of multiple memory systems; one of which is called working memory that includes short-term memory. Working memory is the central system that underpins the process...

  9. Characterizing Memory Usage Behavior in Memory-related Code Changes

    OpenAIRE

    Wong, Howard Wah

    2017-01-01

    With the heavy memory pressure produced by multi-core systems and with memory per- formance trailing processor performance, today’s application developers need to consider the memory subsystem during software development. In particular, optimizing software re- quires a deep understanding of how the software uses the memory and how the hardware satisfies the memory requests. In order to accelerate development, programmers rely on soft- ware tools such as profilers for insightful analysis. Howe...

  10. Developmental Changes in Memory-Related Linguistic Skills and Their Relationship to Episodic Recall in Children.

    Science.gov (United States)

    Uehara, Izumi

    2015-01-01

    This longitudinal study of nine children examined two issues concerning infantile amnesia: the time at which memories for events experienced before the age of 3-4 years disappear from consciousness and whether this timing of memory loss is related to the development of specific aspects of episodic and autobiographical memory. This study followed children from infancy to early childhood and examined the central role of three verbal-cognitive milestones related to autobiographical memory: the age at which children begin to report autobiographical memories using the past tense (Milestone 1); the age at which they begin to verbally acknowledge past events (Milestone 2); and the age at which they begin to spontaneously use memory-related verbs (Milestone 3). As expected, memories of events that occurred before 3-4 years of age were affected by infantile amnesia. Achievement of these milestones followed almost the same developmental progression: Milestone 1 (1 year; 10 months (1;10) to 3 years; 4 months (3;4)) was followed by Milestones 2 (3;1 to 4;0) and 3 (3;5 to 4;4). Milestone 2 was typically related to the onset of infantile amnesia, whereas Milestone 1 occurred during the period for which the children became amnesic as they aged. These data suggest that linguistic meta-cognitive awareness of personal memory is the key feature in infantile amnesia.

  11. Verbal and non-verbal semantic impairment: From fluent primary progressive aphasia to semantic dementia

    Directory of Open Access Journals (Sweden)

    Mirna Lie Hosogi Senaha

    Full Text Available Abstract Selective disturbances of semantic memory have attracted the interest of many investigators and the question of the existence of single or multiple semantic systems remains a very controversial theme in the literature. Objectives: To discuss the question of multiple semantic systems based on a longitudinal study of a patient who presented semantic dementia from fluent primary progressive aphasia. Methods: A 66 year-old woman with selective impairment of semantic memory was examined on two occasions, undergoing neuropsychological and language evaluations, the results of which were compared to those of three paired control individuals. Results: In the first evaluation, physical examination was normal and the score on the Mini-Mental State Examination was 26. Language evaluation revealed fluent speech, anomia, disturbance in word comprehension, preservation of the syntactic and phonological aspects of the language, besides surface dyslexia and dysgraphia. Autobiographical and episodic memories were relatively preserved. In semantic memory tests, the following dissociation was found: disturbance of verbal semantic memory with preservation of non-verbal semantic memory. Magnetic resonance of the brain revealed marked atrophy of the left anterior temporal lobe. After 14 months, the difficulties in verbal semantic memory had become more severe and the semantic disturbance, limited initially to the linguistic sphere, had worsened to involve non-verbal domains. Conclusions: Given the dissociation found in the first examination, we believe there is sufficient clinical evidence to refute the existence of a unitary semantic system.

  12. Neuropsychological rehabilitation of memory deficits and activities of daily living in patients with Alzheimer's disease: a pilot study

    Directory of Open Access Journals (Sweden)

    Ávila R.

    2004-01-01

    Full Text Available Patients with Alzheimer's disease (AD gradually lose their cognitive competence, particularly memory, and the ability to perform daily life tasks. Neuropsychological rehabilitation is used to improve cognitive functions by facilitating memory performance through the use of external aids and internal strategies. The effect of neuropsychological rehabilitation through memory training - motor movements, verbal association, and categorization - and activities of daily living (ADL training was tested in a sample of 5 elderly out-patients (mean age: 77.4 ± 2.88 years, with mild AD (Mini-Mental State Examination score: 22.20 ± 2.17 and their caregivers. All patients had been taking rivastigmine (6-12 mg/day for at least 3 months before being assigned to the rehabilitation sessions, and they continued to take the medication during the whole program. Just before and after the 14-week neuropsychological rehabilitation program all patients were assessed by interviewers that did not participate in the cognitive training, using the Mini-Mental State Examination, Montgomery-Alsberg Depression Rating Scale, Hamilton Anxiety Scale, Interview to Determine Deterioration in Functioning in Dementia, Functional Test, Memory Questionnaire of Daily Living for patient and caregiver, Quality of Life Questionnaire for patient and caregiver, and a neuropsychological battery. The results showed a statistically significant improvement in ADL measured by Functional Test (P = 0.04, and only a small improvement in memory and psychiatric symptoms. Our results support the view that weekly stimulation of memory and training of ADL is believed to be of great value in AD treatment, not only delaying the progress of the disease, but also improving some cognitive functions and ADL, even though AD is a progressively degenerative disease.

  13. The cortical basis of true memory and false memory for motion.

    Science.gov (United States)

    Karanian, Jessica M; Slotnick, Scott D

    2014-02-01

    Behavioral evidence indicates that false memory, like true memory, can be rich in sensory detail. By contrast, there is fMRI evidence that true memory for visual information produces greater activity in earlier visual regions than false memory, which suggests true memory is associated with greater sensory detail. However, false memory in previous fMRI paradigms may have lacked sufficient sensory detail to recruit earlier visual processing regions. To investigate this possibility in the present fMRI study, we employed a paradigm that produced feature-specific false memory with a high degree of visual detail. During the encoding phase, moving or stationary abstract shapes were presented to the left or right of fixation. During the retrieval phase, shapes from encoding were presented at fixation and participants classified each item as previously "moving" or "stationary" within each visual field. Consistent with previous fMRI findings, true memory but not false memory for motion activated motion processing region MT+, while both true memory and false memory activated later cortical processing regions. In addition, false memory but not true memory for motion activated language processing regions. The present findings indicate that true memory activates earlier visual regions to a greater degree than false memory, even under conditions of detailed retrieval. Thus, the dissociation between previous behavioral findings and fMRI findings do not appear to be task dependent. Future work will be needed to assess whether the same pattern of true memory and false memory activity is observed for different sensory modalities. Copyright © 2013 Elsevier Ltd. All rights reserved.

  14. XL-100S microprogrammable processor

    International Nuclear Information System (INIS)

    Gorbunov, N.V.; Guzik, Z.; Sutulin, V.A.; Forytski, A.

    1983-01-01

    The XL-100S microprogrammable processor providing the multiprocessor operation mode in the XL system crate is described. The processor meets the EUR 6500 CAMAC standards, address up to 4 Mbyte memory, and interacts with 7 CAMAC branchas. Eight external requests initiate operations preset by a sequence of microcommands in a memory of the capacity up to 64 kwords of 32-Git. The microprocessor architecture allows one to emulate commands of the majority of mini- or micro-computers, including floating point operations. The XL-100S processor may be used in various branches of experimental physics: for physical experiment apparatus control, fast selection of useful physical events, organization of the of input/output operations, organization of direct assess to memory included, etc. The Am2900 microprocessor set is used as an elementary base. The device is made in the form of a single width CAMAC module

  15. Aging accelerates memory extinction and impairs memory restoration in Drosophila.

    Science.gov (United States)

    Chen, Nannan; Guo, Aike; Li, Yan

    2015-05-15

    Age-related memory impairment (AMI) is a phenomenon observed from invertebrates to human. Memory extinction is proposed to be an active inhibitory modification of memory, however, whether extinction is affected in aging animals remains to be elucidated. Employing a modified paradigm for studying memory extinction in fruit flies, we found that only the stable, but not the labile memory component was suppressed by extinction, thus effectively resulting in higher memory loss in aging flies. Strikingly, young flies were able to fully restore the stable memory component 3 h post extinction, while aging flies failed to do so. In conclusion, our findings reveal that both accelerated extinction and impaired restoration contribute to memory impairment in aging animals. Copyright © 2015 Elsevier Inc. All rights reserved.

  16. The full-length form of the Drosophila amyloid precursor protein is involved in memory formation.

    Science.gov (United States)

    Bourdet, Isabelle; Preat, Thomas; Goguel, Valérie

    2015-01-21

    The APP plays a central role in AD, a pathology that first manifests as a memory decline. Understanding the role of APP in normal cognition is fundamental in understanding the progression of AD, and mammalian studies have pointed to a role of secreted APPα in memory. In Drosophila, we recently showed that APPL, the fly APP ortholog, is required for associative memory. In the present study, we aimed to characterize which form of APPL is involved in this process. We show that expression of a secreted-APPL form in the mushroom bodies, the center for olfactory memory, is able to rescue the memory deficit caused by APPL partial loss of function. We next assessed the impact on memory of the Drosophila α-secretase kuzbanian (KUZ), the enzyme initiating the nonamyloidogenic pathway that produces secreted APPLα. Strikingly, KUZ overexpression not only failed to rescue the memory deficit caused by APPL loss of function, it exacerbated this deficit. We further show that in addition to an increase in secreted-APPL forms, KUZ overexpression caused a decrease of membrane-bound full-length species that could explain the memory deficit. Indeed, we observed that transient expression of a constitutive membrane-bound mutant APPL form is sufficient to rescue the memory deficit caused by APPL reduction, revealing for the first time a role of full-length APPL in memory formation. Our data demonstrate that, in addition to secreted APPL, the noncleaved form is involved in memory, raising the possibility that secreted and full-length APPL act together in memory processes. Copyright © 2015 the authors 0270-6474/15/351043-09$15.00/0.

  17. Disputed Memory

    DEFF Research Database (Denmark)

    , individual and political discourse and electronic social media. Analyzing memory disputes in various local, national and transnational contexts, the chapters demonstrate the political power and social impact of painful and disputed memories. The book brings new insights into current memory disputes...... in Central, Eastern and Southeastern Europe. It contributes to the understanding of processes of memory transmission and negotiation across borders and cultures in Europe, emphasizing the interconnectedness of memory with emotions, mediation and politics....... century in the region. Written by an international group of scholars from a diversity of disciplines, the chapters approach memory disputes in methodologically innovative ways, studying representations and negotiations of disputed pasts in different media, including monuments, museum exhibitions...

  18. Neurofeedback training of EEG alpha rhythm enhances episodic and working memory.

    Science.gov (United States)

    Hsueh, Jen-Jui; Chen, Tzu-Shan; Chen, Jia-Jin; Shaw, Fu-Zen

    2016-07-01

    Neurofeedback training (NFT) of the alpha rhythm has been used for several decades but is still controversial in regards to its trainability and effects on working memory. Alpha rhythm of the frontoparietal region are associated with either the intelligence or memory of healthy subjects and are also related to pathological states. In this study, alpha NFT effects on memory performances were explored. Fifty healthy participants were recruited and randomly assigned into a group receiving a 8-12-Hz amplitude (Alpha) or a group receiving a random 4-Hz amplitude from the range of 7 to 20 Hz (Ctrl). Three NFT sessions per week were conducted for 4 weeks. Working memory was assessed by both a backward digit span task and an operation span task, and episodic memory was assessed using a word pair task. Four questionnaires were used to assess anxiety, depression, insomnia, and cognitive function. The Ctrl group had no change in alpha amplitude and duration. In contrast, the Alpha group showed a progressive significant increase in the alpha amplitude and total alpha duration of the frontoparietal region. Accuracies of both working and episodic memories were significantly improved in a large proportion of participants of the Alpha group, particularly for those with remarkable alpha-amplitude increases. Scores of four questionnaires fell in a normal range before and after NFT. The current study provided supporting evidence for alpha trainability within a small session number compared with that of therapy. The findings suggested the enhancement of working and episodic memory through alpha NFT. Hum Brain Mapp 37:2662-2675, 2016. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  19. Working memory predicts the rejection of false memories.

    Science.gov (United States)

    Leding, Juliana K

    2012-01-01

    The relationship between working memory capacity (WMC) and false memories in the memory conjunction paradigm was explored. Previous research using other paradigms has shown that individuals high in WMC are not as likely to experience false memories as low-WMC individuals, the explanation being that high-WMC individuals are better able to engage in source monitoring. In the memory conjunction paradigm participants are presented at study with parent words (e.g., eyeglasses, whiplash). At test, in addition to being presented with targets and foils, participants are presented with lures that are composed of previously studied features (e.g., eyelash). It was found that high-WMC individuals had lower levels of false recognition than low-WMC individuals. Furthermore, recall-to-reject responses were analysed (e.g., "I know I didn't see eyelash because I remember seeing eyeglasses") and it was found that high-WMC individuals were more likely to utilise this memory editing strategy, providing direct evidence that one reason that high-WMC individuals are not as prone to false memories is because they are better able to engage in source monitoring.

  20. Parallelization of a Monte Carlo particle transport simulation code

    Science.gov (United States)

    Hadjidoukas, P.; Bousis, C.; Emfietzoglou, D.

    2010-05-01

    We have developed a high performance version of the Monte Carlo particle transport simulation code MC4. The original application code, developed in Visual Basic for Applications (VBA) for Microsoft Excel, was first rewritten in the C programming language for improving code portability. Several pseudo-random number generators have been also integrated and studied. The new MC4 version was then parallelized for shared and distributed-memory multiprocessor systems using the Message Passing Interface. Two parallel pseudo-random number generator libraries (SPRNG and DCMT) have been seamlessly integrated. The performance speedup of parallel MC4 has been studied on a variety of parallel computing architectures including an Intel Xeon server with 4 dual-core processors, a Sun cluster consisting of 16 nodes of 2 dual-core AMD Opteron processors and a 200 dual-processor HP cluster. For large problem size, which is limited only by the physical memory of the multiprocessor server, the speedup results are almost linear on all systems. We have validated the parallel implementation against the serial VBA and C implementations using the same random number generator. Our experimental results on the transport and energy loss of electrons in a water medium show that the serial and parallel codes are equivalent in accuracy. The present improvements allow for studying of higher particle energies with the use of more accurate physical models, and improve statistics as more particles tracks can be simulated in low response time.