WorldWideScience

Sample records for low-pressure plasma-assisted chemical

  1. Atmospheric pressure plasma assisted calcination by the preparation of TiO2 fibers in submicron scale

    Science.gov (United States)

    Medvecká, Veronika; Kováčik, Dušan; Zahoranová, Anna; Černák, Mirko

    2018-01-01

    Atmospheric pressure plasma assisted calcination by the preparation of TiO2 submicron fibers as a low-temperature alternative to the conventional thermal annealing was studied. A special type of dielectric barrier discharge was used for plasma treatment of hybrid titanium butoxide/polyvinylpyrrolidone (Ti(Bu)/PVP) fibers prepared by forcespinning to decompose and oxidize the base polymer and precursor. The obtained fibers were characterized by changes in chemical bonds on the surface using Fourier Transform Infrared Spectroscopy (FTIR), chemical composition by using Energy-Dispersive X-Ray Spectroscopy (EDX), X-ray Photoelectron Spectroscopy (XPS). The morphology of fibers was investigated by Scanning Electron Microscopy (SEM). A significant decrease of organic components was reached by short plasma exposure times less than 1 h. The obtained fibers exhibit a high surface porosity without degradation of the fibrous structure. The results obtained indicate that atmospheric pressure plasma assisted calcination can be a viable low-temperature, energy- and time-saving alternative or pre-treatment method for the conventional high-temperature thermal calcination.

  2. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  3. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  4. Non-equilbrium behavior of low-pressure plasma jets

    International Nuclear Information System (INIS)

    Chang, C.H.; Pfender, E.

    1989-01-01

    After establishing the basic equations, some sample calculations are presented to examine the thermodynamic state of the plasma from atmospheric to low pressures (80 mbar). These results indicate the validity of local thermodynamic equilibrium (LTE) at atmospheric pressure as well as strong deviations from LTE at lower pressures especially in terms of chemical equilibrium. Departures from kinetic equilibrium are not as severe as those from chemical equilibrium along the centerline of the jet. However, there are some departures from transitional equilibrium in the fringes of the jet. It is demonstrated that conventional methods based on the LTE assumption are not appropriate for describing low-pressure plasma jets

  5. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  6. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  7. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    Science.gov (United States)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  8. Evaluation of mechanism of cold atmospheric pressure plasma assisted polymerization of acrylic acid on low density polyethylene (LDPE) film surfaces: Influence of various gaseous plasma pretreatment

    Science.gov (United States)

    Ramkumar, M. C.; Pandiyaraj, K. Navaneetha; Arun Kumar, A.; Padmanabhan, P. V. A.; Uday Kumar, S.; Gopinath, P.; Bendavid, A.; Cools, P.; De Geyter, N.; Morent, R.; Deshmukh, R. R.

    2018-05-01

    Owing to its exceptional physiochemical properties, low density poly ethylene (LDPE) has wide range of tissue engineering applications. Conversely, its inadequate surface properties make LDPE an ineffectual candidate for cell compatible applications. Consequently, plasma-assisted polymerization with a selected precursor is a good choice for enhancing its biocompatibility. The present investigation studies the efficiency of plasma polymerization of acrylic acid (AAC) on various gaseous plasma pretreated LDPE films by cold atmospheric pressure plasma, to enhance its cytocompatibility. The change in chemical composition and surface topography of various gaseous plasma pretreated and acrylic deposited LDPE films has been assessed by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The changes in hydrophilic nature of surface modified LDPE films were studied by contact angle (CA) analysis. Cytocompatibility of the AAC/LDPE films was also studied in vitro, using RIN-5F cells. The results acquired by the XPS and AFM analysis clearly proved that cold atmospheric pressure (CAP) plasma assisted polymerization of AAC enhances various surface properties including carboxylic acid functional group density and increased surface roughness on various gaseous plasma treated AAC/LDPE film surfaces. Moreover, contact angle analysis clearly showed that the plasma polymerized samples were hydrophilic in nature. In vitro cytocompatibility analysis undoubtedly validates that the AAC polymerized various plasma pretreated LDPE films surfaces stimulate cell distribution and proliferation compared to pristine LDPE films. Similarly, cytotoxicity analysis indicates that the AAC deposited various gaseous plasma pretreated LDPE film can be considered as non-toxic as well as stimulating cell viability significantly. The cytocompatible properties of AAC polymerized Ar + O2 plasma pretreated LDPE films were found to be more pronounced compared to the other plasma pretreated

  9. Plasma-assisted partial oxidation of methane at low temperatures: numerical analysis of gas-phase chemical mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Goujard, Valentin; Nozaki, Tomohiro; Yuzawa, Shuhei; Okazaki, Ken [Department of Mechanical and Control Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro, 1528552, Tokyo (Japan); Agiral, Anil, E-mail: tnozaki@mech.titech.ac.jp [Mesoscale Chemical Systems, MESA Institute for Nanotechnology, Faculty of Science and Technology, University of Twente, PO Box 217, 7500 AE, Enschede (Netherlands)

    2011-07-13

    Methane partial oxidation was investigated using a plasma microreactor. The experiments were performed at 5 and 300 deg. C. Microreactor configuration allows an efficient evacuation of the heat generated by methane partial oxidation and dielectric barrier discharges, allowing at the same time a better temperature control. At 5 deg. C, liquid condensation of low vapour pressure compounds, such as formaldehyde and methanol, occurs. {sup 1}H-NMR analysis allowed us to demonstrate significant CH{sub 3}OOH formation during plasma-assisted partial oxidation of methane. Conversion and product selectivity were discussed for both temperatures. In the second part of this work, a numerical simulation was performed and a gas-phase chemical mechanism was proposed and discussed. From the comparison between the experimental results and the simulation it was found that CH{sub 3}OO{center_dot} formation has a determinant role in oxygenated compound production, since its fast formation disfavoured radical recombination. At 5 deg. C the oxidation leads mainly towards oxygenated compound formation, and plasma dissociation was the major phenomenon responsible for CH{sub 4} conversion. At 300 deg. C, higher CH{sub 4} conversion resulted from oxidative reactions induced by {center_dot}OH radicals with a chemistry predominantly oxidative, producing CO, H{sub 2}, CO{sub 2} and H{sub 2}O.

  10. Seed disinfection effect of atmospheric pressure plasma and low pressure plasma on Rhizoctonia solani.

    Science.gov (United States)

    Nishioka, Terumi; Takai, Yuichiro; Kawaradani, Mitsuo; Okada, Kiyotsugu; Tanimoto, Hideo; Misawa, Tatsuya; Kusakari, Shinichi

    2014-01-01

    Gas plasma generated and applied under two different systems, atmospheric pressure plasma and low pressure plasma, was used to investigate the inactivation efficacy on the seedborne pathogenic fungus, Rhizoctonia solani, which had been artificially introduced to brassicaceous seeds. Treatment with atmospheric plasma for 10 min markedly reduced the R. solani survival rate from 100% to 3% but delayed seed germination. The low pressure plasma treatment reduced the fungal survival rate from 83% to 1.7% after 10 min and the inactivation effect was dependent on the treatment time. The seed germination rate after treatment with the low pressure plasma was not significantly different from that of untreated seeds. The air temperature around the seeds in the low pressure system was lower than that of the atmospheric system. These results suggested that gas plasma treatment under low pressure could be effective in disinfecting the seeds without damaging them.

  11. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ishikawa, Kenji; Hori, Masaru

    2014-01-01

    Mechanisms of plasma-surface interaction are required to understand in order to control the reactions precisely. Recent progress in atmospheric pressure plasma provides to apply as a tool of sterilization of contaminated foodstuffs. To use the plasma with safety and optimization, the real time in situ detection of free radicals - in particular dangling bonds by using the electron-spin-resonance (ESR) technique has been developed because the free radical plays important roles for dominantly biological reactions. First, the kinetic analysis of free radicals on biological specimens such as fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge. We have obtained information that the in situ real time ESR signal from the spores was observed and assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal was correlated with a link to the inactivation of the fungal spore. Second, we have studied to detect chemical modification of edible meat after the irradiation. Using matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy (MALDI-TOF-MS) and ESR, signals give qualification results for chemical changes on edible liver meat. The in situ real-time measurements have proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (author)

  12. Low-pressure plasma enhanced immobilization of chitosan on low-density polyethylene for bio-medical applications

    International Nuclear Information System (INIS)

    Pandiyaraj, K. Navaneetha; Ferraria, Ana Maria; Rego, Ana Maria Botelho do; Deshmukh, Rajendra R.; Su, Pi-Guey; Halleluyah, Jr. Mercy; Halim, Ahmad Sukari

    2015-01-01

    Highlights: • Acrylic acid (AAc) was grafted on LDPE film by in situ plasma polymerization. • Molecules of PEG and chitosan were immobilized on AAc grafted LDPE films. • Surface modified LDPE exhibits excellent hydrophilic property. • Surface modified LDPE resist the adsorption of protein and adhesion of platelets. - Abstract: With the aim of improving blood compatibility of low density polyethylene (LDPE) films, an effective low-pressure plasma technology was employed to functionalize the LDPE film surfaces through in-situ grafting of acrylic acid (AAc). Subsequently, the molecules of poly(ethylene glycol) (PEG) and chitosan (CHI) were immobilized on the surface of grafted LDPE films. The unmodified and modified LDPE films were analyzed using various characterization techniques such as contact angle, atomic force microscopy (AFM), Fourier transform infrared spectroscopy (FTIR) and X-ray photo electron spectroscopy (XPS) to understand the changes in surface properties such as hydrophilicity, surface topography and chemical composition, respectively. Furthermore, LDPE films have been subjected to an ageing process to determine the durability of the plasma assisted surface modification. The blood compatibility of the surface modified LDPE films was confirmed by in vitro tests. It was found that surface modified LDPE films show better hydrophilic behavior compared with the unmodified one. FTIR and XPS results confirm the successful immobilization of CHI on the surface of LDPE films. LDPE films showed marked morphological changes after grafting of AAc, PEG and CHI which were confirmed through AFM imaging. The in vitro blood compatibility tests have clearly demonstrated that CHI immobilized LDPE films exhibit remarkable anti thrombogenic nature compared with other modified films. Surface modified LDPE films through low-pressure plasma technique could be adequate for biomedical implants such as artificial skin substrates, urethral catheters or cardiac stents

  13. Low-pressure plasma enhanced immobilization of chitosan on low-density polyethylene for bio-medical applications

    Energy Technology Data Exchange (ETDEWEB)

    Pandiyaraj, K. Navaneetha, E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T by pass, Chinniyam Palayam (post), Coimbatore, 641062 (India); Ferraria, Ana Maria; Rego, Ana Maria Botelho do [Centro de Química- Física Molecular and Institute of Nanoscience and Nanotechnology, Instituto Superior Técnico, University of Lisbon (Portugal); Deshmukh, Rajendra R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Halleluyah, Jr. Mercy; Halim, Ahmad Sukari [Reconstructive Science Unit, School of Medical Sciences, Health Campus, Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2015-02-15

    Highlights: • Acrylic acid (AAc) was grafted on LDPE film by in situ plasma polymerization. • Molecules of PEG and chitosan were immobilized on AAc grafted LDPE films. • Surface modified LDPE exhibits excellent hydrophilic property. • Surface modified LDPE resist the adsorption of protein and adhesion of platelets. - Abstract: With the aim of improving blood compatibility of low density polyethylene (LDPE) films, an effective low-pressure plasma technology was employed to functionalize the LDPE film surfaces through in-situ grafting of acrylic acid (AAc). Subsequently, the molecules of poly(ethylene glycol) (PEG) and chitosan (CHI) were immobilized on the surface of grafted LDPE films. The unmodified and modified LDPE films were analyzed using various characterization techniques such as contact angle, atomic force microscopy (AFM), Fourier transform infrared spectroscopy (FTIR) and X-ray photo electron spectroscopy (XPS) to understand the changes in surface properties such as hydrophilicity, surface topography and chemical composition, respectively. Furthermore, LDPE films have been subjected to an ageing process to determine the durability of the plasma assisted surface modification. The blood compatibility of the surface modified LDPE films was confirmed by in vitro tests. It was found that surface modified LDPE films show better hydrophilic behavior compared with the unmodified one. FTIR and XPS results confirm the successful immobilization of CHI on the surface of LDPE films. LDPE films showed marked morphological changes after grafting of AAc, PEG and CHI which were confirmed through AFM imaging. The in vitro blood compatibility tests have clearly demonstrated that CHI immobilized LDPE films exhibit remarkable anti thrombogenic nature compared with other modified films. Surface modified LDPE films through low-pressure plasma technique could be adequate for biomedical implants such as artificial skin substrates, urethral catheters or cardiac stents

  14. Atmospheric Pressure Plasma Induced Sterilization and Chemical Neutralization

    Science.gov (United States)

    Garate, Eusebio; Evans, Kirk; Gornostaeva, Olga; Alexeff, Igor; Lock Kang, Weng; Wood, Thomas K.

    1998-11-01

    We are studying chemical neutralization and surface decontamination using atmospheric pressure plasma discharges. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC, AC or pulsed discharges. Results indicate that the atmospheric plasma is effective in sterilizing surfaces with biological contaminants like E-coli and bacillus subtilus cells. Exposure times of less than four minutes in an air plasma result in a decrease in live colony counts by six orders of magnitude. Greater exposure times result in a decrease of live colony counts of up to ten orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are simulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  15. Physico-chemical characteristics of high performance polymer modified by low and atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Bhatnagar, Nitu; Sangeeta, Jha; Bhowmik, Shantanu; Gupta, Govind; Moon, J.B.; Kim, C.G.

    2012-01-01

    In this work, the effect of low pressure plasma and atmospheric p ressure plasma treatment on surface properties and adhesion characteristics of high performance polymer, Polyether Ether Ketone (PEEK) are investigated in terms of Fourier Transform Infrared Spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and Atomic Force Microscopy (AFM). The experimental results show that the PEEK surface treated by atmospheric pressure plasma lead to an increase in the polar component of the surface energy, resulting in improving the adhesion characteristics of the PEEK/Epoxy adhesive system. Also, the roughness of the treated surfaces is largely increased as confirmed by AFM observation. These results can be explained by the fact that the atmospheric pressure plasma treatment of PEEK surface yields several oxygen functionalities on hydrophobic surface, which play an important role in increasing the surface polarity, wettability, and the adhesion characteristics of the PEEK/Epoxy adhesive system. (authors)

  16. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  17. Transient effects caused by pulsed gas and liquid injections into low pressure plasmas

    International Nuclear Information System (INIS)

    Ogawa, D; Goeckner, M; Overzet, L; Chung, C W

    2010-01-01

    The fast injection of liquid droplets into a glow discharge causes significant time variations in the pressure, the chemical composition of the gas and the phases present (liquid and/or solid along with gas). While the variations can be large and important, very few studies, especially kinetic studies, have been published. In this paper we examine the changes brought about in argon plasma by injecting Ar (gas), N 2 (gas) hexane (gas) and hexane (liquid droplets). The changes in the RF capacitively coupled power (forward and reflected), electron and ion density (n e , n i ), electron temperature (T e ) and optical emissions were monitored during the injections. It was found that the Ar injection (pressure change only) caused expected variations. The electron temperature reduced, the plasma density increased and the optical emission intensity remained nearly constant. The N 2 and hexane gas injections (chemical composition and pressure changes) also followed expected trends. The plasma densities increased and electron temperature decreased while the optical emissions changed from argon to the injected gas. These all serve to highlight the fact that the injection of evaporating hexane droplets in the plasma caused very little change. This is because the number of injected droplets is too small to noticeably affect the plasma, even though the shift in the chemical composition of the gas caused by evaporation from those same droplets can be very significant. The net conclusion is that using liquid droplets to inject precursors for low pressure plasmas is both feasible and controllable.

  18. Very low pressure plasma sprayed yttria-stabilized zirconia coating using a low-energy plasma gun

    International Nuclear Information System (INIS)

    Zhu, Lin; Zhang, Nannan; Bolot, Rodolphe; Planche, Marie-Pierre; Liao, Hanlin; Coddet, Christian

    2011-01-01

    In the present study, a more economical low-energy plasma source was used to perform a very low pressure plasma-spray (VLPPS) process. The plasma-jet properties were analyzed by means of optical emission spectroscopy (OES). Moreover, yttria-stabilized zirconia coating (YSZ) was elaborated by a F100 low-power plasma gun under working pressure of 1 mbar, and the substrate specimens were partially shadowed by a baffle-plate during plasma spraying for obtaining different coating microstructures. Based on the SEM observation, a column-like grain coating was deposited by pure vapor deposition at the shadowed region, whereas, in the unshadowed region, the coating exhibited a binary microstructure which was formed by a mixed deposition of melted particles and evaporated particles. The mechanical properties of the coating were also well under investigation. (orig.)

  19. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas; Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel; Sietmann, Rabea; Kindel, Eckhard; Weltmann, Klaus-Dieter

    2010-01-01

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log 10 reduction factor of 1.5, the log 10 reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  20. Treatment of Candida albicans biofilms with low-temperature plasma induced by dielectric barrier discharge and atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Koban, Ina; Welk, Alexander; Meisel, Peter; Holtfreter, Birte; Kocher, Thomas [Unit of Periodontology, Dental School, University of Greifswald, Rotgerberstr. 8, 17475 Greifswald (Germany); Matthes, Rutger; Huebner, Nils-Olaf; Kramer, Axel [Institute for Hygiene and Environmental Medicine, University of Greifswald, Walther-Rathenau-Str. 49 a, 17487 Greifswald (Germany); Sietmann, Rabea [Institute of Microbiology, University of Greifswald, Friedrich-Ludwig-Jahn-Str. 15, 17487 Greifswald (Germany); Kindel, Eckhard; Weltmann, Klaus-Dieter, E-mail: ina.koban@uni-greifswald.d [Leibniz Institute for Plasma Science and Technology (INP), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2010-07-15

    Because of some disadvantages of chemical disinfection in dental practice (especially denture cleaning), we investigated the effects of physical methods on Candida albicans biofilms. For this purpose, the antifungal efficacy of three different low-temperature plasma devices (an atmospheric pressure plasma jet and two different dielectric barrier discharges (DBDs)) on Candida albicans biofilms grown on titanium discs in vitro was investigated. As positive treatment controls, we used 0.1% chlorhexidine digluconate (CHX) and 0.6% sodium hypochlorite (NaOCl). The corresponding gas streams without plasma ignition served as negative treatment controls. The efficacy of the plasma treatment was determined evaluating the number of colony-forming units (CFU) recovered from titanium discs. The plasma treatment reduced the CFU significantly compared to chemical disinfectants. While 10 min CHX or NaOCl exposure led to a CFU log{sub 10} reduction factor of 1.5, the log{sub 10} reduction factor of DBD plasma was up to 5. In conclusion, the use of low-temperature plasma is a promising physical alternative to chemical antiseptics for dental practice.

  1. High-beta plasma effects in a low-pressure helicon plasma

    International Nuclear Information System (INIS)

    Corr, C. S.; Boswell, R. W.

    2007-01-01

    In this work, high-beta plasma effects are investigated in a low-pressure helicon plasma source attached to a large volume diffusion chamber. When operating above an input power of 900 W and a magnetic field of 30 G a narrow column of bright blue light (due to Ar II radiation) is observed along the axis of the diffusion chamber. With this blue mode, the plasma density is axially very uniform in the diffusion chamber; however, the radial profiles are not, suggesting that a large diamagnetic current might be induced. The diamagnetic behavior of the plasma has been investigated by measuring the temporal evolution of the magnetic field (B z ) and the plasma kinetic pressure when operating in a pulsed discharge mode. It is found that although the electron pressure can exceed the magnetic field pressure by a factor of 2, a complete expulsion of the magnetic field from the plasma interior is not observed. In fact, under our operating conditions with magnetized ions, the maximum diamagnetism observed is ∼2%. It is observed that the magnetic field displays the strongest change at the plasma centre, which corresponds to the maximum in the plasma kinetic pressure. These results suggest that the magnetic field diffuses into the plasma sufficiently quickly that on a long time scale only a slight perturbation of the magnetic field is ever observed

  2. Highly ionized physical vapor deposition plasma source working at very low pressure

    Science.gov (United States)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  3. Highly ionized physical vapor deposition plasma source working at very low pressure

    International Nuclear Information System (INIS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Hippler, R.; Cada, M.; Hubicka, Z.; Tichy, M.

    2012-01-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti + and Ti ++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density n e ∼ 10 18 m -3 , measured during the HiPIMS pulse.

  4. Characterizations of atmospheric pressure low temperature plasma jets and their applications

    Science.gov (United States)

    Karakas, Erdinc

    2011-12-01

    Atmospheric pressure low temperature plasma jets (APLTPJs) driven by short pulses have recently received great attention because of their potential in biomedical and environmental applications. This potential is due to their user-friendly features, such as low temperature, low risk of arcing, operation at atmospheric pressure, easy handheld operation, and low concentration of ozone generation. Recent experimental observations indicate that an ionization wave exists and propagates along the plasma jet. The plasma jet created by this ionization wave is not a continuous medium but rather consists of a bullet-like-structure known as "Plasma Bullet". More interestingly, these plasma bullets actually have a donut-shaped makeup. The nature of the plasma bullet is especially interesting because it propagates in the ambient air at supersonic velocities without any externally applied electric field. In this dissertation, experimental insights are reported regarding the physical and chemical characteristics of the APLTPJs. The dynamics of the plasma bullet are investigated by means of a high-speed ICCD camera. A plasma bullet propagation model based on the streamer theory is confirmed with adequate explanations. It is also found that a secondary discharge, ignited by the charge accumulation on the dielectric electrode surfaces at the end of the applied voltage, interrupts the plasma bullet propagation due to an opposing current along the ionization channel. The reason for this interesting phenomenon is explained in detail. The plasma bullet comes to an end when the helium mole fraction along the ionization channel, or applied voltage, or both, are less than some critical values. The presence of an inert gas channel in the surrounding air, such as helium or argon, has a critical role in plasma bullet formation and propagation. For this reason, a fluid dynamics study is employed by a commercially available simulation software, COMSOL, based on finite element method. Spatio

  5. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  6. Destruction of chemical warfare surrogates using a portable atmospheric pressure plasma jet

    Science.gov (United States)

    Škoro, Nikola; Puač, Nevena; Živković, Suzana; Krstić-Milošević, Dijana; Cvelbar, Uroš; Malović, Gordana; Petrović, Zoran Lj.

    2018-01-01

    Today's reality is connected with mitigation of threats from the new chemical and biological warfare agents. A novel investigation of cold plasmas in contact with liquids presented in this paper demonstrated that the chemically reactive environment produced by atmospheric pressure plasma jet (APPJ) is potentially capable of rapid destruction of chemical warfare agents in a broad spectrum. The decontamination of three different chemical warfare agent surrogates dissolved in liquid is investigated by using an easily transportable APPJ. The jet is powered by a kHz signal source connected to a low-voltage DC source and with He as working gas. The detailed investigation of electrical properties is performed for various plasmas at different distances from the sample. The measurements of plasma properties in situ are supported by the optical spectrometry measurements, whereas the high performance liquid chromatography measurements before and after the treatment of aqueous solutions of Malathion, Fenitrothion and Dimethyl Methylphosphonate. These solutions are used to evaluate destruction and its efficiency for specific neural agent simulants. The particular removal rates are found to be from 56% up to 96% during 10 min treatment. The data obtained provide basis to evaluate APPJ's efficiency at different operating conditions. The presented results are promising and could be improved with different operating conditions and optimization of the decontamination process.

  7. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  8. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  9. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  10. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  11. Characterization of Carbon-Contaminated B4C-Coated Optics after Chemically Selective Cleaning with Low-Pressure RF Plasma.

    Science.gov (United States)

    Moreno Fernández, H; Rogler, D; Sauthier, G; Thomasset, M; Dietsch, R; Carlino, V; Pellegrin, E

    2018-01-22

    Boron carbide (B 4 C) is one of the few materials that is expected to be most resilient with respect to the extremely high brilliance of the photon beam generated by free electron lasers (FELs) and is thus of considerable interest for optical applications in this field. However, as in the case of many other optics operated at light source facilities, B 4 C-coated optics are subject to ubiquitous carbon contaminations. Carbon contaminations represent a serious issue for the operation of FEL beamlines due to severe reduction of photon flux, beam coherence, creation of destructive interference, and scattering losses. A variety of B 4 C cleaning technologies were developed at different laboratories with varying success. We present a study regarding the low-pressure RF plasma cleaning of carbon contaminated B 4 C test samples via inductively coupled O 2 /Ar, H 2 /Ar, and pure O 2 RF plasma produced following previous studies using the same ibss GV10x downstream plasma source. Results regarding the chemistry, morphology as well as other aspects of the B 4 C optical coating before and after the plasma cleaning are reported. We conclude that among the above plasma processes only plasma based on pure O 2 feedstock gas exhibits the required chemical selectivity for maintaining the integrity of the B 4 C optical coatings.

  12. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  13. Low pressure plasma discharges for the sterilization and decontamination of surfaces

    International Nuclear Information System (INIS)

    Rossi, F; Rauscher, H; Hasiwa, M; Gilliland, D; Kylian, O

    2009-01-01

    The mechanisms of sterilization and decontamination of surfaces are compared in direct and post discharge plasma treatments in two low-pressure reactors, microwave and inductively coupled plasma. It is shown that the removal of various biomolecules, such as proteins, pyrogens or peptides, can be obtained at high rates and low temperatures in the inductively coupled plasma (ICP) by using Ar/O 2 mixtures. Similar efficiency is obtained for bacterial spores. Analysis of the discharge conditions illustrates the role of ion bombardment associated with O radicals, leading to a fast etching of organic matter. By contrast, the conditions obtained in the post discharge lead to much lower etching rates but also to a chemical modification of pyrogens, leading to their de-activation. The advantages of the two processes are discussed for the application to the practical case of decontamination of medical devices and reduction of hospital infections, illustrating the advantages and drawbacks of the two approaches.

  14. Low pressure plasma discharges for the sterilization and decontamination of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Rossi, F; Rauscher, H; Hasiwa, M; Gilliland, D [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, Via E. Fermi 2749, 21027 Ispra (Vatican City State, Holy See) (Italy); Kylian, O [Faculty of Mathematics and Physics, Charles University, V Holesovickach 2, Prague 8, 180 00 (Czech Republic)], E-mail: francois.rossi@jrc.ec.europa.eu

    2009-11-15

    The mechanisms of sterilization and decontamination of surfaces are compared in direct and post discharge plasma treatments in two low-pressure reactors, microwave and inductively coupled plasma. It is shown that the removal of various biomolecules, such as proteins, pyrogens or peptides, can be obtained at high rates and low temperatures in the inductively coupled plasma (ICP) by using Ar/O{sub 2} mixtures. Similar efficiency is obtained for bacterial spores. Analysis of the discharge conditions illustrates the role of ion bombardment associated with O radicals, leading to a fast etching of organic matter. By contrast, the conditions obtained in the post discharge lead to much lower etching rates but also to a chemical modification of pyrogens, leading to their de-activation. The advantages of the two processes are discussed for the application to the practical case of decontamination of medical devices and reduction of hospital infections, illustrating the advantages and drawbacks of the two approaches.

  15. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  16. Atmospheric pressure plasma-assisted femtosecond laser engraving of aluminium

    Science.gov (United States)

    Gerhard, Christoph; Gimpel, Thomas; Tasche, Daniel; Koch née Hoffmeister, Jennifer; Brückner, Stephan; Flachenecker, Günter; Wieneke, Stephan; Schade, Wolfgang; Viöl, Wolfgang

    2018-05-01

    In this contribution, we report on the impact of direct dielectric barrier discharge argon plasma at atmospheric pressure on femtosecond laser engraving of aluminium. It is shown that the assisting plasma strongly affects the surface geometry and formation of spikes of both laser-engraved single lines and patterns of adjacent lines with an appropriate overlap. Further, it was observed that the overall ablation depth is significantly increased in case of large-scale patterning whereas no notable differences in ablation depth are found for single lines. Several possible mechanisms and underlying effects of this behaviour are suggested. The increase in ablation depth is supposed to be due to a plasma-induced removal of debris particles from the cutting point via charging and oxidation as supported by EDX analysis of the re-solidified debris. Furthermore, the impact of a higher degree of surface wrinkling as well as direct interactions of plasma species with the aluminium surface on the ablation process are discussed.

  17. Low-Pressure H2, NH3 Microwave Plasma Treatment of Polytetrafluoroethylene (PTFE) Powders: Chemical, Thermal and Wettability Analysis

    Science.gov (United States)

    Hunke, Harald; Soin, Navneet; Shah, Tahir H.; Kramer, Erich; Pascual, Alfons; Karuna, Mallampalli Sri Lakshmi; Siores, Elias

    2015-01-01

    Functionalization of Polytetrafluoroethylene (PTFE) powders of ~6 μm particle size is carried out using low-pressure 2.45 GHz H2, NH3 microwave plasmas for various durations (2.5, 10 h) to chemically modify their surface and alter their surface energy. The X-ray Photoelectron Spectroscopy (XPS) analyses reveal that plasma treatment leads to significant defluorination (F/C atomic ratio of 1.13 and 1.30 for 10 h NH3 and H2 plasma treatments, respectively vs. 1.86 for pristine PTFE), along with the incorporation of functional polar moieties on the surface, resulting in enhanced wettability. Analysis of temperature dependent XPS revealed a loss of surface moieties above 200 °C, however, the functional groups are not completely removable even at higher temperatures (>300 °C), thus enabling the use of plasma treated PTFE powders as potential tribological fillers in high temperature engineering polymers. Ageing studies carried over a period of 12 months revealed that while the surface changes degenerate over time, again, they are not completely reversible. These functionalised PTFE powders can be further used for applications into smart, high performance materials such as tribological fillers for engineering polymers and bio-medical, bio-material applications.

  18. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  19. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  20. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  1. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  2. Design and experiment of high-current low-pressure plasma-cathode e-gun

    International Nuclear Information System (INIS)

    Xie Wenkai; Li Xiaoyun; Wang Bin; Meng Lin; Yan Yang; Gao Xinyan

    2006-01-01

    The preliminary design of a new high-power low pressure plasma-cathode e-gun is presented. Based on the hollow cathode effect and low-pressure glow discharge empirical formulas, the hollow cathode, the accelerating gap, and the working gas pressure region are given. The general experimental device of the low-pressure plasma cathode electron-gun generating high current density e-beam source is shown. Experiments has been done in continuous filled-in gases and gases-puff condition, and the discharging current of 150-200 A, the width of 60 μs and the collector current of 30-80 A, the width of 60 μs are obtained. The results show that the new plasma cathode e-gun can take the place of material cathode e-gun, especially in plasma filled microwave tubes. (authors)

  3. TOPICAL REVIEW: Plasma assisted ignition and combustion

    Science.gov (United States)

    Starikovskaia, S. M.

    2006-08-01

    In recent decades particular interest in applications of nonequilibrium plasma for the problems of plasma-assisted ignition and plasma-assisted combustion has been observed. A great amount of experimental data has been accumulated during this period which provided the grounds for using low temperature plasma of nonequilibrium gas discharges for a number of applications at conditions of high speed flows and also at conditions similar to automotive engines. The paper is aimed at reviewing the data obtained and discusses their treatment. Basic possibilities of low temperature plasma to ignite gas mixtures are evaluated and historical references highlighting pioneering works in the area are presented. The first part of the review discusses plasmas applied to plasma-assisted ignition and combustion. The paper pays special attention to experimental and theoretical analysis of some plasma parameters, such as reduced electric field, electron density and energy branching for different gas discharges. Streamers, pulsed nanosecond discharges, dielectric barrier discharges, radio frequency discharges and atmospheric pressure glow discharges are considered. The second part depicts applications of discharges to reduce the ignition delay time of combustible mixtures, to ignite transonic and supersonic flows, to intensify ignition and to sustain combustion of lean mixtures. The results obtained by different authors are cited, and ways of numerical modelling are discussed. Finally, the paper draws some conclusions on the main achievements and prospects of future investigations in the field.

  4. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  5. Sterilization of beehive material with a double inductively coupled low pressure plasma

    International Nuclear Information System (INIS)

    Priehn, M; Leichert, L I; Denis, B; Awakowicz, P; Aumeier, P; Kirchner, W H

    2016-01-01

    American Foulbrood is a severe, notifiable disease of the honey bee. It is caused by infection of bee larvae with spores of the gram-positive bacterium Paenibacillus larvae . Spores of this organism are found in high numbers in an infected hive and are highly resistant to physical and chemical inactivation methods. The procedures to rehabilitate affected apiaries often result in the destruction of beehive material. In this study we assess the suitability of a double inductively coupled low pressure plasma as a non-destructive, yet effective alternative inactivation method for bacterial spores of the model organism Bacillus subtilis on beehive material. Plasma treatment was able to effectively remove spores from wax, which, under protocols currently established in veterinary practice, normally is destroyed by ignition or autoclaved for sterilization. Spores were removed from wooden surfaces with efficacies significantly higher than methods currently used in veterinary practice, such as scorching by flame treatment. In addition, we were able to non-destructively remove spores from the highly delicate honeycomb wax structures, potentially making treatment of beehive material with double inductively coupled low pressure plasma part of a fast and reliable method to rehabilitate infected bee colonies with the potential to re-use honeycombs. (paper)

  6. Computational study of sheath structure in oxygen containing plasmas at medium pressures

    Science.gov (United States)

    Hrach, Rudolf; Novak, Stanislav; Ibehej, Tomas; Hrachova, Vera

    2016-09-01

    Plasma mixtures containing active species are used in many plasma-assisted material treatment technologies. The analysis of such systems is rather difficult, as both physical and chemical processes affect plasma properties. A combination of experimental and computational approaches is the best suited, especially at higher pressures and/or in chemically active plasmas. The first part of our study of argon-oxygen mixtures was based on experimental results obtained in the positive column of DC glow discharge. The plasma was analysed by the macroscopic kinetic approach which is based on the set of chemical reactions in the discharge. The result of this model is a time evolution of the number densities of each species. In the second part of contribution the detailed analysis of processes taking place during the interaction of oxygen containing plasma with immersed substrates was performed, the results of the first model being the input parameters. The used method was the particle simulation technique applied to multicomponent plasma. The sheath structure and fluxes of charged particles to substrates were analysed in the dependence on plasma pressure, plasma composition and surface geometry.

  7. Plasma ``anti-assistance'' and ``self-assistance'' to high power impulse magnetron sputtering

    Science.gov (United States)

    Anders, André; Yushkov, Georgy Yu.

    2009-04-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering.

  8. Plasma 'anti-assistance' and 'self-assistance' to high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Anders, Andre; Yushkov, Georgy Yu.

    2009-01-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering

  9. Controllable synthesizing DLC nano structures as a super hydrophobic layer on cotton fabric using a low-cost ethanol electrospray-assisted atmospheric plasma jet

    Science.gov (United States)

    Sohbatzadeh, F.; Eshghabadi, M.; Mohsenpour, T.

    2018-06-01

    The surface modification of cotton samples was carried out using a liquid (ethanol) electrospray-assisted atmospheric pressure plasma jet. X-ray photoelectron spectroscopy (XPS) and Raman analysis confirmed the successful deposition of diamond like carbon (DLC) nano structures on the cotton surface. The super hydrophobic state of the samples was probed by contact angle measurements. The water repellency of the layers was tuned by controlling the voltage applied to the electrospray electrode. An investigation of the morphological and chemical structures of the samples by field emission scanning microscopy, atomic force microscopy (AFM) and XPS indicated that the physical shape, distribution and amorphization of the DLC structures were successfully adjusted and improved by applying a voltage to the electrospray electrode. Finally wash durability of the best sample was tested for 35 cycles. In this work, the use of a well-developed atmospheric pressure plasma jet for DLC nano structures deposition can enable a promising environmentally friendly and low-cost approach for modifying cotton fabrics for super water-repellent fabric applications.

  10. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  11. Stimulation of wound healing by helium atmospheric pressure plasma treatment

    International Nuclear Information System (INIS)

    Nastuta, Andrei Vasile; Topala, Ionut; Pohoata, Valentin; Popa, Gheorghe; Grigoras, Constantin

    2011-01-01

    New experiments using atmospheric pressure plasma have found large application in treatment of living cells or tissues, wound healing, cancerous cell apoptosis, blood coagulation on wounds, bone tissue modification, sterilization and decontamination. In this study an atmospheric pressure plasma jet generated using a cylindrical dielectric-barrier discharge was applied for treatment of burned wounds on Wistar rats' skin. The low temperature plasma jet works in helium and is driven by high voltage pulses. Oxygen and nitrogen based impurities are identified in the jet by emission spectroscopy. This paper analyses the natural epithelization of the rats' skin wounds and two methods of assisted epithelization, a classical one using polyurethane wound dressing and a new one using daily atmospheric pressure plasma treatment of wounds. Systemic and local medical data, such as haematological, biochemical and histological parameters, were monitored during entire period of study. Increased oxidative stress was observed for plasma treated wound. This result can be related to the presence in the plasma volume of active species, such as O and OH radicals. Both methods, wound dressing and plasma-assisted epithelization, provided positive medical results related to the recovery process of burned wounds. The dynamics of the skin regeneration process was modified: the epidermis re-epitelization was accelerated, while the recovery of superficial dermis was slowed down.

  12. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  13. Foundations of atmospheric pressure non-equilibrium plasmas

    Science.gov (United States)

    Bruggeman, Peter J.; Iza, Felipe; Brandenburg, Ronny

    2017-12-01

    Non-equilibrium plasmas have been intensively studied over the past century in the context of material processing, environmental remediation, ozone generation, excimer lamps and plasma display panels. Research on atmospheric pressure non-equilibrium plasmas intensified over the last two decades leading to a large variety of plasma sources that have been developed for an extended application range including chemical conversion, medicine, chemical analysis and disinfection. The fundamental understanding of these discharges is emerging but there remain a lot of unexplained phenomena in these intrinsically complex plasmas. The properties of non-equilibrium plasmas at atmospheric pressure span over a huge range of electron densities as well as heavy particle and electron temperatures. This paper provides an overview of the key underlying processes that are important for the generation and stabilization of atmospheric pressure non-equilibrium plasmas. The unique physical and chemical properties of theses discharges are also summarized.

  14. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  15. Temperature measurement in low pressure plasmas. Temperaturmessungen im Niederdruckplasma

    Energy Technology Data Exchange (ETDEWEB)

    Rosenbauer, K.A.; Wilting, H.; Schramm, G. (Duesseldorf Univ. (Germany, F.R.). Abt. fuer Histologie und Embryologie)

    1989-11-01

    The present work discusses the influence of various parameters on the substrate temperature in a low pressure plasma. The measurement method chosen utilized Signotherm (Merck) temperature sensors embedded in silicon between two glass substrates. All measurements were made in a 200 G Plasma Processor from Technics Plasma GmbH. The substrate temperature is dependent on the process time, the RF power, the process gas and the position in the chamber. The substrate temperature increases with increasing process time and increasing power. Due to the location of the microwave port from the magnetron to the chamber, the substrate temperature is highest in the center of the chamber. Measurements performed in an air plasma yielded higher results than in an oxygen plasma. (orig.).

  16. Atomic and molecular hydrogen gas temperatures in a low-pressure helicon plasma

    Science.gov (United States)

    Samuell, Cameron M.; Corr, Cormac S.

    2015-08-01

    Neutral gas temperatures in hydrogen plasmas are important for experimental and modelling efforts in fusion technology, plasma processing, and surface modification applications. To provide values relevant to these application areas, neutral gas temperatures were measured in a low pressure (radiofrequency helicon discharge using spectroscopic techniques. The atomic and molecular species were not found to be in thermal equilibrium with the atomic temperature being mostly larger then the molecular temperature. In low power operation (measurements near a graphite target demonstrated localised cooling near the sample surface. The temporal evolution of the molecular gas temperature during a high power 1.1 ms plasma pulse was also investigated and found to vary considerably as a function of pressure.

  17. Diagnostics of atmospheric pressure air plasmas

    International Nuclear Information System (INIS)

    Laux, C.O.; Kruger, C.H.; Zare, R.N.

    2001-01-01

    Atmospheric pressure air plasmas are often thought to be in Local Thermodynamics Equilibrium (LTE) owing to fast interspecies collisional exchanges at high pressure. As will be seen here, this assumption cannot be relied upon, particularly with respect to optical diagnostics. Large velocity gradients in flowing plasmas and/or elevated electron temperatures created by electrical discharges can result in large departures from chemical and thermal equilibrium. Diagnostic techniques based on optical emission spectroscopy (OES) and Cavity Ring-Down Spectroscopy (CRDS) have been developed and applied at Stanford University to the investigation of atmospheric pressure plasmas under conditions ranging from thermal and chemical equilibrium to thermochemical nonequilibrium. This article presents a review of selected temperature and species concentration measurement techniques useful for the study of air and nitrogen plasmas

  18. Nanoparticle formation in a low pressure argon/aniline RF plasma

    Science.gov (United States)

    Pattyn, C.; Kovacevic, E.; Hussain, S.; Dias, A.; Lecas, T.; Berndt, J.

    2018-01-01

    The formation of nanoparticles in low temperature plasmas is of high importance for different fields: from astrophysics to microelectronics. The plasma based synthesis of nanoparticles is a complex multi-scale process that involves a great variety of different species and comprises timescales ranging from milliseconds to several minutes. This contribution focuses on the synthesis of nanoparticles in a low temperature, low pressure capacitively coupled plasma containing mixtures of argon and aniline. Aniline is commonly used for the production of polyaniline, a material that belongs to the family of conductive polymers, which has attracted increasing interest in the last few years due to the large number of potential applications. The nanoparticles which are formed in the plasma volume and levitate there due to the collection of negative charges are investigated in this contribution by means of in-situ FTIR spectroscopy. In addition, the plasma is analyzed by means of plasma (ion) mass spectroscopy. The experiments reveal the possibility to synthesize nanoparticles both in continuous wave and in pulsed discharges. The formation of particles in the plasma volume can be suppressed by pulsing the plasma in a specific frequency range. The in-situ FTIR analysis also reveals the influence of the argon plasma on the characteristics of the nanoparticles.

  19. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  20. A Plasma Focus operated at a very low pressure range

    International Nuclear Information System (INIS)

    Bruzzone, H.; Grondona, D.; Kelly, H.; Marquez, A.

    1990-01-01

    Several characteristics of the neutron production and the hard X-ray emission from a Plasma Focus device operating at 30 kV (6 kV of stored energy) and at an unusually low pressure range are presented. (Author)

  1. Measurement of the non-thermal properties of a low pressure spraying plasma by electric and spectroscopic methods

    International Nuclear Information System (INIS)

    Jung, Yong Ho

    2003-02-01

    For the case of an atmospheric plasma, the local thermodynamic equilibrium (LTE) model can be applied to plasmas at a nozzle entrance and to those on the axis of the plasma flame, but it is not easy to justify applying the LTE model to off-center plasma and to a low-pressure spraying plasma. Although the energy distribution of the electrons is assumed to be Maxwellian for the most of spraying plasmas, the non-Maxwellian distribution is possible for the case of low-pressure spaying plasma and edge plasma of atmospheric spraying plasma. In this work, the non-Maxwellian distribution of electrons was measured by using an electric probe installed on the fast scanning probe system, and non-LTE effects were measured by using the optical emission spectroscopy system. Distribution of the electrons of a low-pressure spraying plasma is observed not as Maxwellian but as bi-Maxwellian by the measurement of the single probe. Bi-Maxwellian distribution appears in the edge of a low pressure spraying plasma and seems to be due to the reduction of the collisonality by the drastic variation of the plasma density. Non-LTE characteristics of a low-pressure spraying plasma can be deuced from the measured results of the optical emission spectroscopy and is analyzed by the collisional radiative equilibrium (CRE) model, where the Maxwellian and the non-Maxwellian distributions are assumed for comparison. For the electron temperature, the results from optical emission spectroscopy were similar to the results from the single probe (3∼5 % in error)

  2. Observation of non-chemical equilibrium effect on Ar-CO2-H2 thermal plasma model by changing pressure

    International Nuclear Information System (INIS)

    Al-Mamun, Sharif Abdullah; Tanaka, Yasunori; Uesugi, Yoshihiko

    2009-01-01

    The authors developed a two-dimensional one-temperature chemical non-equilibrium (1T-NCE) model of Ar-CO 2 -H 2 inductively coupled thermal plasmas (ICTP) to investigate the effect of pressure variation. The basic concept of one-temperature model is the assumption and treatment of the same energy conservation equation for electrons and heavy particles. The energy conservation equations consider reaction heat effects and energy transfer among the species produced as well as enthalpy flow resulting from diffusion. Assuming twenty two (22) different particles in this model and by solving mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from hundred and ninety eight (198) chemical reactions, chemical non-equilibrium effects were taken into account. Transport and thermodynamic properties of Ar-CO 2 -H 2 thermal plasmas were self-consistently calculated using the first-order approximation of the Chapman-Enskog method. Finally results obtained at atmospheric pressure (760 Torr) and at reduced pressure (500, 300 Torr) were compared with results from one-temperature chemical equilibrium (1T-CE) model. And of course, this comparison supported discussion of chemical non-equilibrium effects in the inductively coupled thermal plasmas (ICTP).

  3. Polydiagnostic calibration performed on a low pressure surface wave sustained argon plasma

    NARCIS (Netherlands)

    Vries, de N.; Palomares, J.M.; Iordanova, E.I.; Veldhuizen, van E.M.; Mullen, van der J.J.A.M.

    2008-01-01

    The electron density and electron temperature of a low pressure surface wave sustained argon plasma have been determined using passive and active (laser) spectroscopic methods simultaneously. In this way the validity of the various techniques is established while the plasma properties are determined

  4. Optical diagnostics of atmospheric pressure air plasmas

    International Nuclear Information System (INIS)

    Laux, C O; Spence, T G; Kruger, C H; Zare, R N

    2003-01-01

    Atmospheric pressure air plasmas are often thought to be in local thermodynamic equilibrium owing to fast interspecies collisional exchange at high pressure. This assumption cannot be relied upon, particularly with respect to optical diagnostics. Velocity gradients in flowing plasmas and/or elevated electron temperatures created by electrical discharges can result in large departures from chemical and thermal equilibrium. This paper reviews diagnostic techniques based on optical emission spectroscopy and cavity ring-down spectroscopy that we have found useful for making temperature and concentration measurements in atmospheric pressure plasmas under conditions ranging from thermal and chemical equilibrium to thermochemical nonequilibrium

  5. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.

    1995-01-01

    The plasma assisted method for continuous measurement of alkali metal concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. Measurements will be performed during 1995 and 1996 at different stages of the research programme. The results are expected to give information about the influence of different process conditions on the generation of alkali metal vapours, the comparison of different methods for alkali measurement and the specific performance of our system. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  6. Plasma-assisted synthesis of MoS2

    Science.gov (United States)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  7. Kinetic mechanism of molecular energy transfer and chemical reactions in low-temperature air-fuel plasmas.

    Science.gov (United States)

    Adamovich, Igor V; Li, Ting; Lempert, Walter R

    2015-08-13

    This work describes the kinetic mechanism of coupled molecular energy transfer and chemical reactions in low-temperature air, H2-air and hydrocarbon-air plasmas sustained by nanosecond pulse discharges (single-pulse or repetitive pulse burst). The model incorporates electron impact processes, state-specific N(2) vibrational energy transfer, reactions of excited electronic species of N(2), O(2), N and O, and 'conventional' chemical reactions (Konnov mechanism). Effects of diffusion and conduction heat transfer, energy coupled to the cathode layer and gasdynamic compression/expansion are incorporated as quasi-zero-dimensional corrections. The model is exercised using a combination of freeware (Bolsig+) and commercial software (ChemKin-Pro). The model predictions are validated using time-resolved measurements of temperature and N(2) vibrational level populations in nanosecond pulse discharges in air in plane-to-plane and sphere-to-sphere geometry; temperature and OH number density after nanosecond pulse burst discharges in lean H(2)-air, CH(4)-air and C(2)H(4)-air mixtures; and temperature after the nanosecond pulse discharge burst during plasma-assisted ignition of lean H2-mixtures, showing good agreement with the data. The model predictions for OH number density in lean C(3)H(8)-air mixtures differ from the experimental results, over-predicting its absolute value and failing to predict transient OH rise and decay after the discharge burst. The agreement with the data for C(3)H(8)-air is improved considerably if a different conventional hydrocarbon chemistry reaction set (LLNL methane-n-butane flame mechanism) is used. The results of mechanism validation demonstrate its applicability for analysis of plasma chemical oxidation and ignition of low-temperature H(2)-air, CH(4)-air and C(2)H(4)-air mixtures using nanosecond pulse discharges. Kinetic modelling of low-temperature plasma excited propane-air mixtures demonstrates the need for development of a more accurate

  8. Degradation of nitride coatings in low-pressure gas discharge plasma

    Science.gov (United States)

    Ivanov, Yurii; Shugurov, Vladimir; Krysina, Olga; Petrikova, Elizaveta; Tolkachev, Oleg

    2017-12-01

    The paper provides research data on the defect structure, mechanical characteristics, and tribological properties of commercially pure VT1-0 titanium exposed to surface modification on a COMPLEX laboratory electron-ion plasma setup which allows nitriding, coating deposition, and etching in low-pressure gas discharge plasma in a single vacuum cycle. It is shown that preliminary plasma nitriding forms a columnar Ti2N phase in VT1-0 titanium and that subsequent TiN deposition results in a thin nanocrystalline TiN layer. When the coating-substrate system is etched, the coating fails and the tribological properties of the material degrade greatly.

  9. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  10. Conditions for sustaining low-pressure plasma columns by travelling electromagnetic UHF waves

    International Nuclear Information System (INIS)

    Benova, E.; Zhelyazkov, I.

    1997-01-01

    The paper considers the conditions for sustaining low-pressure plasma columns by travelling electromagnetic waves in symmetric and dipolar modes, respectively. The treatment is fully electrodynamic. It is shown that the wave energy flux along the plasma column determines the conditions for sustaining the discharge. In particular as the plasma is sustained by a symmetric wave whose flux depends mainly on the radial distribution of the wave electric field whilst for a dipolar wave sustained plasma the flux is specified by the magnitude of the axial wave field component at the plasma-dielectric interface. (orig.)

  11. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  12. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  13. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves

    Science.gov (United States)

    Efthimion, Philip C.; Helfritch, Dennis J.

    1989-11-28

    An apparatus and method for creating high temperature plasmas for enhanced chemical processing of gaseous fluids, toxic chemicals, and the like, at a wide range of pressures, especially at atmospheric and high pressures includes an electro-magnetic resonator cavity, preferably a reentrant cavity, and a wave guiding structure which connects an electro-magnetic source to the cavity. The cavity includes an intake port and an exhaust port, each having apertures in the conductive walls of the cavity sufficient for the intake of the gaseous fluids and for the discharge of the processed gaseous fluids. The apertures are sufficiently small to prevent the leakage of the electro-magnetic radiation from the cavity. Gaseous fluid flowing from the direction of the electro-magnetic source through the guiding wave structure and into the cavity acts on the plasma to push it away from the guiding wave structure and the electro-magnetic source. The gaseous fluid flow confines the high temperature plasma inside the cavity and allows complete chemical processing of the gaseous fluids at a wide range of pressures.

  14. Emission spectroscopy of argon ferrocene mixture jet in a low pressure plasma reactor

    International Nuclear Information System (INIS)

    Tiwari, N.; Tak, A.K.; Chakravarthy, Y.; Shukla, A.; Meher, K.C.; Ghorui, S.; Thiyagarajan, T.K.

    2015-01-01

    Emission spectroscopy is employed to measure the plasma temperature and species identification in a reactor used for studying homogenous nucleation and growth of iron nano particle. Reactor employs segmented non transferred plasma torch mounted on water cooled cylindrical chamber. The plasma jet passes through graphite nozzle and expands in low pressure reactor. Ferrocene is fed into the nozzle where it mixes with Argon plasma jet. A high resolution spectrograph (SHAMROCK 303i, resolution 0.06 nm) has been used to record the spectra over a wide range. Identification of different emission lines has been done using NIST database. Lines from (700 to 860nm) were considered for calculation of temperature. Spectra were recorded for different axial location, pressure and power. Temperature was calculated using Maxwell Boltzman plot method. Variation in temperature with pressure and location is presented and possible reasons for different behaviour are explored. (author)

  15. Characteristics of Atmospheric Pressure Rotating Gliding Arc Plasmas

    Science.gov (United States)

    Zhang, Hao; Zhu, Fengsen; Tu, Xin; Bo, Zheng; Cen, Kefa; Li, Xiaodong

    2016-05-01

    In this work, a novel direct current (DC) atmospheric pressure rotating gliding arc (RGA) plasma reactor has been developed for plasma-assisted chemical reactions. The influence of the gas composition and the gas flow rate on the arc dynamic behaviour and the formation of reactive species in the N2 and air gliding arc plasmas has been investigated by means of electrical signals, high speed photography, and optical emission spectroscopic diagnostics. Compared to conventional gliding arc reactors with knife-shaped electrodes which generally require a high flow rate (e.g., 10-20 L/min) to maintain a long arc length and reasonable plasma discharge zone, in this RGA system, a lower gas flow rate (e.g., 2 L/min) can also generate a larger effective plasma reaction zone with a longer arc length for chemical reactions. Two different motion patterns can be clearly observed in the N2 and air RGA plasmas. The time-resolved arc voltage signals show that three different arc dynamic modes, the arc restrike mode, takeover mode, and combined modes, can be clearly identified in the RGA plasmas. The occurrence of different motion and arc dynamic modes is strongly dependent on the composition of the working gas and gas flow rate. supported by National Natural Science Foundation of China (No. 51576174), the Specialized Research Fund for the Doctoral Program of Higher Education of China (No. 20120101110099) and the Fundamental Research Funds for the Central Universities (No. 2015FZA4011)

  16. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  17. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  18. Partial-depth modulation study of anions and neutrals in low pressure silane plasmas

    International Nuclear Information System (INIS)

    Cozurteille, C.; Dorier, J.L.; Hollenstein, C.; Sansonnens; Howling, A.A.

    1995-10-01

    Partial-depth modulation of the rf power in a capacitive discharge is used to investigate the relative importance of negative ions and neutral radicals for particle formation in low power, low pressure silane plasmas. For less than 85% modulation depth, anions are trapped indefinitely in the plasma and particle formation ensues, whereas the polymerised neutral flux magnitudes and dynamics are independent of the modulation depth and the powder formation. These observations suggest that negative ions could be the particle precursors in plasma conditions where powder appears many seconds after plasma ignition. Microwave interferometry and mass spectrometry were combined to infer an anion density of ≅7.10 9 cm -3 which is approximately twice the free electron density in these modulated plasmas. (author) 6 figs., tabs., refs

  19. On the parallel momentum balance in low pressure plasmas with an inhomogeneous magnetic field

    International Nuclear Information System (INIS)

    Smolyakov, A.I.; Garbet, X.; Bourdelle, C.

    2009-01-01

    This paper describes the structure of the parallel momentum balance in low pressure plasmas with an inhomogeneous magnetic field. The parallel momentum balance equation is derived from magnetohydrodynamic equations by an expansion in the inverse magnetic field 1/B as a small parameter. Contributions of the gyroviscosity and inertia terms are clarified. It is shown that magnetic field curvature leads to important coupling of parallel flow with fluctuations of the electric field and plasma pressure.

  20. Atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.

    1999-01-01

    Atmospheric-pressure plasma jet. A .gamma.-mode, resonant-cavity plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two concentric cylindrical electrodes are employed to generate a plasma in the annular region therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly shaping the rf-powered electrode. Because of the atmospheric pressure operation, no ions survive for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike low-pressure plasma sources and conventional plasma processing methods.

  1. Data needs for diagnostics of low pressure plasmas

    International Nuclear Information System (INIS)

    Graham, Bill

    2000-01-01

    The low pressure plasma processing environment is complex and presents many diagnostic challenges. Here the diagnostic techniques used for accurate and detailed measurement of the density and energy distributions of charged and neutral species are reviewed. Most of the techniques rely heavily on atomic and molecular data. The specific data needs of each diagnostic are outlined. It is shown that in total these data needs are vast and diverse and cannot all be met from specific measurements or calculations. The real need is for generic scaling rules for each of the significant atomic and molecular processes

  2. “Virtual IED sensor” at an rf-biased electrode in low-pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Bogdanova, M. A.; Zyryanov, S. M. [Skobeltsyn Institute of Nuclear Physics, Moscow State University, SINP MSU, Moscow (Russian Federation); Faculty of Physics, Moscow State University, MSU, Moscow (Russian Federation); Lopaev, D. V.; Rakhimov, A. T. [Skobeltsyn Institute of Nuclear Physics, Moscow State University, SINP MSU, Moscow (Russian Federation)

    2016-07-15

    Energy distribution and the flux of the ions coming on a surface are considered as the key-parameters in anisotropic plasma etching. Since direct ion energy distribution (IED) measurements at the treated surface during plasma processing are often hardly possible, there is an opportunity for virtual ones. This work is devoted to the possibility of such indirect IED and ion flux measurements at an rf-biased electrode in low-pressure rf plasma by using a “virtual IED sensor” which represents “in-situ” IED calculations on the absolute scale in accordance with a plasma sheath model containing a set of measurable external parameters. The “virtual IED sensor” should also involve some external calibration procedure. Applicability and accuracy of the “virtual IED sensor” are validated for a dual-frequency reactive ion etching (RIE) inductively coupled plasma (ICP) reactor with a capacitively coupled rf-biased electrode. The validation is carried out for heavy (Ar) and light (H{sub 2}) gases under different discharge conditions (different ICP powers, rf-bias frequencies, and voltages). An EQP mass-spectrometer and an rf-compensated Langmuir probe (LP) are used to characterize plasma, while an rf-compensated retarded field energy analyzer (RFEA) is applied to measure IED and ion flux at the rf-biased electrode. Besides, the pulsed selfbias method is used as an external calibration procedure for ion flux estimating at the rf-biased electrode. It is shown that pulsed selfbias method allows calibrating the IED absolute scale quite accurately. It is also shown that the “virtual IED sensor” based on the simplest collisionless sheath model allows reproducing well enough the experimental IEDs at the pressures when the sheath thickness s is less than the ion mean free path λ{sub i} (s < λ{sub i}). At higher pressure (when s > λ{sub i}), the difference between calculated and experimental IEDs due to ion collisions in the sheath is observed in the low

  3. Highly ionized physical vapor deposition plasma source working at very low pressure

    Czech Academy of Sciences Publication Activity Database

    Straňák, V.; Herrendorf, A.-P.; Drache, S.; Čada, Martin; Hubička, Zdeněk; Tichý, M.; Hippler, R.

    2012-01-01

    Roč. 100, č. 14 (2012), "141604-1"-"141604-3" ISSN 0003-6951 R&D Projects: GA TA ČR TA01010517; GA ČR(CZ) GAP205/11/0386; GA ČR GAP108/12/1941 Institutional research plan: CEZ:AV0Z10100522 Keywords : magnetron * ECWR * low-pressure * sputtering * plasma diagnostics Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 3.794, year: 2012 http://dx.doi.org/10.1063/1.3699229

  4. Sterilization and Decontamination of Surfaces Contaminated With Biological and Chemical Warfare Agents Using Atmospheric Pressure Plasma Discharges

    National Research Council Canada - National Science Library

    Garate, Eusebio

    1999-01-01

    ... based on the application of an atmospheric pressure plasma. We used both a DC corona and dielectric barrier discharge for the sterilization tests which were conducted on a variety of substrates including metals and chemically resistant fabrics...

  5. Simulation of rarefied low pressure RF plasma flow around the sample

    Science.gov (United States)

    Zheltukhin, V. S.; Shemakhin, A. Yu

    2017-01-01

    The paper describes a mathematical model of the flow of radio frequency plasma at low pressure. The hybrid mathematical model includes the Boltzmann equation for the neutral component of the RF plasma, the continuity and the thermal equations for the charged component. Initial and boundary conditions for the corresponding equations are described. The electron temperature in the calculations is 1-4 eV, atoms temperature in the plasma clot is (3-4) • 103 K, in the plasma jet is (3.2-10) • 102 K, the degree of ionization is 10-7-10-5, electron density is 1015-1019 m-3. For calculations plasma parameters is developed soft package on C++ program language, that uses the OpenFOAM library package. Simulations for the vacuum chamber in the presence of a sample and the free jet flow were carried out.

  6. E-H mode transition in low-pressure inductively coupled nitrogen-argon and oxygen-argon plasmas

    International Nuclear Information System (INIS)

    Lee, Young Wook; Lee, Hye Lan; Chung, T. H.

    2011-01-01

    This work investigates the characteristics of the E-H mode transition in low-pressure inductively coupled N 2 -Ar and O 2 -Ar discharges using rf-compensated Langmuir probe measurements and optical emission spectroscopy (OES). As the ICP power increases, the emission intensities from plasma species, the electron density, the electron temperature, and the plasma potential exhibit sudden changes. The Ar content in the gas mixture and total gas pressure have been varied in an attempt to fully characterize the plasma parameters. With these control parameters varying, the changes of the transition threshold power and the electron energy distribution function (EEDF) are explored. In N 2 -Ar and O 2 -Ar discharges at low-pressures of several millitorr, the transition thresholds are observed to decrease with Ar content and pressure. It is observed that in N 2 -Ar plasmas during the transition, the shape of the EEDF changes from an unusual distribution with a flat hole near the electron energy of 3 eV in the E mode to a Maxwellian distribution in the H mode. However, in O 2 -Ar plasmas, the EEDFs in the E mode at low Ar contents show roughly bi-Maxwellian distributions, while the EEDFs in the H mode are observed to be nearly Maxwellian. In the E and H modes of O 2 -Ar discharges, the dissociation fraction of O 2 molecules is estimated using optical emission actinometry. During the E-H mode transition, the dissociation fraction of molecules is also enhanced.

  7. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  8. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  9. Decontamination of chemical and biological warfare (CBW) agents using an atmospheric pressure plasma jet (APPJ)

    Science.gov (United States)

    Herrmann, H. W.; Henins, I.; Park, J.; Selwyn, G. S.

    1999-05-01

    The atmospheric pressure plasma jet (APPJ) [A. Schütze et al., IEEE Trans. Plasma Sci. 26, 1685 (1998)] is a nonthermal, high pressure, uniform glow plasma discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g., He/O2/H2O), which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz rf. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains neutral metastable species (e.g., O2*, He*) and radicals (e.g., O, OH). This reactive effluent has been shown to be an effective neutralizer of surrogates for anthrax spores and mustard blister agent. Unlike conventional wet decontamination methods, the plasma effluent does not cause corrosion and it does not destroy wiring, electronics, or most plastics, making it highly suitable for decontamination of sensitive equipment and interior spaces. Furthermore, the reactive species in the effluent rapidly degrade into harmless products leaving no lingering residue or harmful by-products.

  10. Simulation of rarefied low pressure RF plasma flow around the sample

    International Nuclear Information System (INIS)

    Zheltukhin, V S; Shemakhin, A Yu

    2017-01-01

    The paper describes a mathematical model of the flow of radio frequency plasma at low pressure. The hybrid mathematical model includes the Boltzmann equation for the neutral component of the RF plasma, the continuity and the thermal equations for the charged component. Initial and boundary conditions for the corresponding equations are described. The electron temperature in the calculations is 1-4 eV, atoms temperature in the plasma clot is (3-4) • 10 3 K, in the plasma jet is (3.2-10) • 10 2 K, the degree of ionization is 10 -7 -10 -5 , electron density is 10 15 -10 19 m -3 . For calculations plasma parameters is developed soft package on C++ program language, that uses the OpenFOAM library package. Simulations for the vacuum chamber in the presence of a sample and the free jet flow were carried out. (paper)

  11. Atmospheric-Pressure Plasma Interaction with Soft Materials as Fundamental Processes in Plasma Medicine.

    Science.gov (United States)

    Takenaka, Kosuke; Miyazaki, Atsushi; Uchida, Giichiro; Setsuhara, Yuichi

    2015-03-01

    Molecular-structure variation of organic materials irradiated with atmospheric pressure He plasma jet have been investigated. Optical emission spectrum in the atmospheric-pressure He plasma jet has been measured. The spectrum shows considerable emissions of He lines, and the emission of O and N radicals attributed to air. Variation in molecular structure of Polyethylene terephthalate (PET) film surface irradiated with the atmospheric-pressure He plasma jet has been observed via X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FT-IR). These results via XPS and FT-IR indicate that the PET surface irradiated with the atmospheric-pressure He plasma jet was oxidized by chemical and/or physical effect due to irradiation of active species.

  12. Atmospheric-pressure plasma activation and surface characterization on polyethylene membrane separator

    Science.gov (United States)

    Tseng, Yu-Chien; Li, Hsiao-Ling; Huang, Chun

    2017-01-01

    The surface hydrophilic activation of a polyethylene membrane separator was achieved using an atmospheric-pressure plasma jet. The surface of the atmospheric-pressure-plasma-treated membrane separator was found to be highly hydrophilic realized by adjusting the plasma power input. The variations in membrane separator chemical structure were confirmed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Chemical analysis showed newly formed carbonyl-containing groups and high surface concentrations of oxygen-containing species on the atmospheric-pressure-plasma-treated polymeric separator surface. It also showed that surface hydrophilicity primarily increased from the polar component after atmospheric-pressure plasma treatment. The surface and pore structures of the polyethylene membrane separator were examined by scanning electron microscopy, revealing a slight alteration in the pore structure. As a result of the incorporation of polar functionalities by atmospheric-pressure plasma activation, the electrolyte uptake and electrochemical impedance of the atmospheric-pressure-plasma-treated membrane separator improved. The investigational results show that the separator surface can be controlled by atmospheric-pressure plasma surface treatment to tailor the hydrophilicity and enhance the electrochemical performance of lithium ion batteries.

  13. Wiedemann-Franz ratio in high-pressure and low-temperature thermal xenon plasma with 10% caesium

    International Nuclear Information System (INIS)

    Novakovic, N.V.; Milic, B.S.; Stojilkovic, S.M.

    1995-01-01

    Theoretical investigations of various transport properties of low-temperature noble-gas plasmas with additives has aroused a continuous interest over a considerable spall of time, due to numerous applications. In this paper the results of a theoretical evaluation of electrical conductivity, thermal conductivity and their ratio (the Wiedemann-Franz ratio) in xenon plasma with 10% of argon and 10% of caesium are presented, for the temperature range from 2000 K to 20000 K, and for pressures equal to or 5, 10, and 15 time higher than the normal atmospheric pressure. The plasma was regarded as weakly non-ideal and in the state of local thermodynamical equilibrium with the assumption that the equilibrium is attained with the pressure kept constant. The plasma composition was determined on the ground of a set of Saha equations; the ionization energy lowerings were expressed with the aid of a modified plasma Debye radius r* D (rather than the standard r D ), as proposed previously

  14. Non-equilibrium synergistic effects in atmospheric pressure plasmas.

    Science.gov (United States)

    Guo, Heng; Zhang, Xiao-Ning; Chen, Jian; Li, He-Ping; Ostrikov, Kostya Ken

    2018-03-19

    Non-equilibrium is one of the important features of an atmospheric gas discharge plasma. It involves complicated physical-chemical processes and plays a key role in various actual plasma processing. In this report, a novel complete non-equilibrium model is developed to reveal the non-equilibrium synergistic effects for the atmospheric-pressure low-temperature plasmas (AP-LTPs). It combines a thermal-chemical non-equilibrium fluid model for the quasi-neutral plasma region and a simplified sheath model for the electrode sheath region. The free-burning argon arc is selected as a model system because both the electrical-thermal-chemical equilibrium and non-equilibrium regions are involved simultaneously in this arc plasma system. The modeling results indicate for the first time that it is the strong and synergistic interactions among the mass, momentum and energy transfer processes that determine the self-consistent non-equilibrium characteristics of the AP-LTPs. An energy transfer process related to the non-uniform spatial distributions of the electron-to-heavy-particle temperature ratio has also been discovered for the first time. It has a significant influence for self-consistently predicting the transition region between the "hot" and "cold" equilibrium regions of an AP-LTP system. The modeling results would provide an instructive guidance for predicting and possibly controlling the non-equilibrium particle-energy transportation process in various AP-LTPs in future.

  15. AC low-pressure plasmas generated by using annular-shaped electrodes for abatement of pollutants emitted during semiconductor manufacturing processes

    International Nuclear Information System (INIS)

    Hur, Min; Lee, Jae Ok; Song, Young Hoon

    2011-01-01

    A plasma abatement system operating at low pressures is set up with the aim of treating pollutants emitted by the semiconductor industry. The abatement device is characterized by using a tube-shaped reactor design and a bipolar alternating current, which allows an easy connection to pre-existing pipelines in the semiconductor industry and low installation cost, respectively. By using optical emission spectroscopy (OES) and Fourier transform infrared spectroscopy (FTIR), we analyzed the discharge characteristics and abatement efficiency with emphasis on the working pressure effect. In the case of CF 4 , the destruction and removal efficiency (DRE) is greatly reduced with increasing pressure. However, the pressure has a relatively small influence on the DRE for tetrakis(ethylmethylamino)zirconium (TEMAZ), which is significantly destroyed only with several hundred watts and without any liquefied byproducts. This difference is closely related to the spatial distribution of reactive species and to the chemical bond strengths of the pollutant's components. Finally, the applicability of the abatement device is discussed based on the experimental results.

  16. Decontamination of chemical and biological warfare (CBW) agents using an atmospheric pressure plasma jet (APPJ)

    International Nuclear Information System (INIS)

    Herrmann, H.W.; Henins, I.; Park, J.; Selwyn, G.S.

    1999-01-01

    The atmospheric pressure plasma jet (APPJ) [A. Schuetze et al., IEEE Trans. Plasma Sci. 26, 1685 (1998)] is a nonthermal, high pressure, uniform glow plasma discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g., He/O 2 /H 2 O), which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz rf. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains neutral metastable species (e.g., O 2 * , He * ) and radicals (e.g., O, OH). This reactive effluent has been shown to be an effective neutralizer of surrogates for anthrax spores and mustard blister agent. Unlike conventional wet decontamination methods, the plasma effluent does not cause corrosion and it does not destroy wiring, electronics, or most plastics, making it highly suitable for decontamination of sensitive equipment and interior spaces. Furthermore, the reactive species in the effluent rapidly degrade into harmless products leaving no lingering residue or harmful by-products. copyright 1999 American Institute of Physics

  17. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  18. Control of Reactive Species Generated by Low-frequency Biased Nanosecond Pulse Discharge in Atmospheric Pressure Plasma Effluent

    Science.gov (United States)

    Takashima, Keisuke; Kaneko, Toshiro

    2016-09-01

    The control of hydroxyl radical and the other gas phase species generation in the ejected gas through air plasma (air plasma effluent) has been experimentally studied, which is a key to extend the range of plasma treatment. Nanosecond pulse discharge is known to produce high reduced electric field (E/N) discharge that leads to efficient generation of the reactive species than conventional low frequency discharge, while the charge-voltage cycle in the low frequency discharge is known to be well-controlled. In this study, the nanosecond pulse discharge biased with AC low frequency high voltage is used to take advantages of these discharges, which allows us to modulate the reactive species composition in the air plasma effluent. The utilization of the gas-liquid interface and the liquid phase chemical reactions between the modulated long-lived reactive species delivered from the air plasma effluent could realize efficient liquid phase chemical reactions leading to short-lived reactive species production far from the air plasma, which is crucial for some plasma agricultural applications.

  19. Collaborative Research. Fundamental Science of Low Temperature Plasma-Biological Material Interactions

    Energy Technology Data Exchange (ETDEWEB)

    Graves, David Barry [Univ. California, Berkeley, CA (United States); Oehrlein, Gottlieb [Univ. of Maryland, College Park, MD (United States)

    2014-09-01

    Low temperature plasma (LTP) treatment of biological tissue is a promising path toward sterilization of bacteria due to its versatility and ability to operate under well-controlled and relatively mild conditions. The present collaborative research of an interdisciplinary team of investigators at University of Maryland, College Park (UMD), and University of California, Berkeley (UCB) focused on establishing our knowledge based with regard to low temperature plasma-induced chemical modifications in biomolecules that result in inactivation due to various plasma species, including ions, reactive radicals, and UV/VUV photons. The overall goals of the project were to identify and quantify the mechanisms by which low and atmospheric pressure plasma deactivates endotoxic biomolecules. Additionally, we wanted to understand the mechanism by which atmospheric pressure plasmas (APP) modify surfaces and how these modifications depend on the interaction of APP with the environment. Various low pressure plasma sources, a vacuum beam system and several atmospheric pressure plasma sources were used to accomplish this. In our work we elucidated for the first time the role of ions, VUV photons and radicals in biological deactivation of representative biomolecules, both in a UHV beam system and an inductively coupled, low pressure plasma system, and established the associated atomistic biomolecule changes. While we showed that both ions and VUV photons can be very efficient in deactivation of biomolecules, significant etching and/or deep modification (~200 nm) accompanied these biological effects. One of the most important findings in this work is the significant radical-induced deactivation and surface modification can occur with minimal etching. However, if radical fluxes and corresponding etch rates are relatively high, for example at atmospheric pressure, endotoxic biomolecule film inactivation may require near-complete removal of the film. These findings motivated further work at

  20. Atmospheric-pressure plasma decontamination/sterilization chamber

    Science.gov (United States)

    Herrmann, Hans W.; Selwyn, Gary S.

    2001-01-01

    An atmospheric-pressure plasma decontamination/sterilization chamber is described. The apparatus is useful for decontaminating sensitive equipment and materials, such as electronics, optics and national treasures, which have been contaminated with chemical and/or biological warfare agents, such as anthrax, mustard blistering agent, VX nerve gas, and the like. There is currently no acceptable procedure for decontaminating such equipment. The apparatus may also be used for sterilization in the medical and food industries. Items to be decontaminated or sterilized are supported inside the chamber. Reactive gases containing atomic and metastable oxygen species are generated by an atmospheric-pressure plasma discharge in a He/O.sub.2 mixture and directed into the region of these items resulting in chemical reaction between the reactive species and organic substances. This reaction typically kills and/or neutralizes the contamination without damaging most equipment and materials. The plasma gases are recirculated through a closed-loop system to minimize the loss of helium and the possibility of escape of aerosolized harmful substances.

  1. RF power absorption by plasma of low pressure low power inductive discharge located in the external magnetic field

    Science.gov (United States)

    Kralkina, E. A.; Rukhadze, A. A.; Nekliudova, P. A.; Pavlov, V. B.; Petrov, A. K.; Vavilin, K. V.

    2018-03-01

    Present paper is aimed to reveal experimentally and theoretically the influence of magnetic field strength, antenna shape, pressure, operating frequency and geometrical size of plasma sources on the ability of plasma to absorb the RF power characterized by the equivalent plasma resistance for the case of low pressure RF inductive discharge located in the external magnetic field. The distinguishing feature of the present paper is the consideration of the antennas that generate not only current but charge on the external surface of plasma sources. It is shown that in the limited plasma source two linked waves can be excited. In case of antennas generating only azimuthal current the waves can be attributed as helicon and TG waves. In the case of an antenna with the longitudinal current there is a surface charge on the side surface of the plasma source, which gives rise to a significant increase of the longitudinal and radial components of the RF electric field as compared with the case of the azimuthal antenna current.

  2. Surface cleaning of metal wire by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Nakamura, T.; Buttapeng, C.; Furuya, S.; Harada, N.

    2009-01-01

    In this study, the possible application of atmospheric pressure dielectric barrier discharge plasma for the annealing of metallic wire is examined and presented. The main purpose of the current study is to examine the surface cleaning effect for a cylindrical object by atmospheric pressure plasma. The experimental setup consists of a gas tank, plasma reactor, and power supply with control panel. The gas assists in the generation of plasma. Copper wire was used as an experimental cylindrical object. This copper wire was irradiated with the plasma, and the cleaning effect was confirmed. The result showed that it is possible to remove the tarnish which exists on the copper wire surface. The experiment reveals that atmospheric pressure plasma is usable for the surface cleaning of metal wire. However, it is necessary to examine the method for preventing oxidization of the copper wire.

  3. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  4. The nonlocal electron kinetics for a low-pressure glow discharge dusty plasma

    Science.gov (United States)

    Liang, Yonggan; Wang, Ying; Li, Hui; Tian, Ruihuan; Yuan, Chengxun; Kudryavtsev, A. A.; Rabadanov, K. M.; Wu, Jian; Zhou, Zhongxiang; Tian, Hao

    2018-05-01

    The nonlocal electron kinetic model based on the Boltzmann equation is developed in low-pressure argon glow discharge dusty plasmas. The additional electron-dust elastic and inelastic collision processes are considered when solving the kinetic equation numerically. The orbital motion limited theory and collision enhanced collection approximation are employed to calculate the dust surface potential. The electron energy distribution function (EEDF), effective electron temperature Teff, and dust surface potential are investigated under different plasma and dust conditions by solving the Boltzmann and the dust charging current balance equations self-consistently. A comparison of the calculation results obtained from nonlocal and local kinetic models is made. It is shown that the appearance of dust particles leads to a deviation of the EEDF from its original profile for both nonlocal and local kinetic models. With the increase in dust density and size, the effective electron temperature and dust surface potential decrease due to the high-energy electron loss on the dust surface. Meanwhile, the nonlocal and local results differ much from each other under the same calculation condition. It is concluded that, for low-pressure (PR ≤ 1 cm*Torr) glow discharge dusty plasmas, the existence of dust particles will amplify the difference of local and nonlocal EEDFs, which makes the local kinetic model more improper to determine the main parameters of the positive column. The nonlocal kinetic model should be used for the calculation of the EEDFs and dusty plasma parameters.

  5. Influence of atmospheric pressure low-temperature plasma treatment on the shear bond strength between zirconia and resin cement.

    Science.gov (United States)

    Ito, Yuki; Okawa, Takahisa; Fukumoto, Takahiro; Tsurumi, Akiko; Tatsuta, Mitsuhiro; Fujii, Takamasa; Tanaka, Junko; Tanaka, Masahiro

    2016-10-01

    Zirconia exhibits excellent strength and high biocompatibility in technological applications and it is has therefore been investigated for clinical applications and research. Before setting prostheses, a crown prosthesis inner surface is sandblasted with alumina to remove contaminants and form small cavities. This alumina sandblasting causes stress-induced phase transition of zirconia. Atmospheric-pressure low-temperature plasma has been applied in the dental industry, particularly for adhesives, as a surface treatment to activate the surface energy and remove contaminants. The purpose of this study was to examine the influence of atmospheric-pressure low-temperature plasma treatment on the shear bond strength between zirconia and adhesive resin cement. The surface treatment method was classified into three groups: untreated (Cont group), alumina sandblast treatment (Sb group), and atmospheric-pressure low-temperature plasma treatment (Ps group). Adhesive resin cement was applied to stainless steel and bonded to zirconia. Shear adhesion tests were performed after complete hardening of the cement. Multiple comparisons were performed using a one-way analysis of variance and the Bonferroni method. X-ray diffractometry was used to examine the change in zirconia crystal structure. Statistically significant differences were noted between the control and Sb groups and between the control and Ps groups. In contrast, no statistically significant differences were noted for the Ps and Sb bond strength. Atmospheric-pressure low-temperature plasma treatment did not affect the zirconia crystal structure. Atmospheric-pressure low-temperature plasma treatment improves the bonding strength of adhesive resin cement as effectively as alumina sandblasting, and does not alter the zirconia crystal structure. Copyright © 2016 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  6. Improvement of technical purpose materials performance characteristics with the radio frequency low pressure plasma

    Science.gov (United States)

    Makhotkina, L. Yu; Khristoliubova, V. I.

    2017-11-01

    The main aim of the work is to solve the actual problem of increasing the competitiveness of tanning products by reducing the prime cost and improving the quality of finished products due to the increased durability of the working elements of tanneries. The impact of the low pressure radio frequency (RF) plasma in the processes of treating for modification of the materials for special purposes is considered in the article. The results of working elements of tanneries and the materials for special purposes sample processing by a RF low pressure plasma are described. As a result of leather materials nano structuring and nano modifying physical, mechanical and hygienic characteristics were increased. Processing of the technical purpose materials allows to increase operational performance of products and extend their lifespan.

  7. Charge Exchange Reaction in Dopant-Assisted Atmospheric Pressure Chemical Ionization and Atmospheric Pressure Photoionization.

    Science.gov (United States)

    Vaikkinen, Anu; Kauppila, Tiina J; Kostiainen, Risto

    2016-08-01

    The efficiencies of charge exchange reaction in dopant-assisted atmospheric pressure chemical ionization (DA-APCI) and dopant-assisted atmospheric pressure photoionization (DA-APPI) mass spectrometry (MS) were compared by flow injection analysis. Fourteen individual compounds and a commercial mixture of 16 polycyclic aromatic hydrocarbons were chosen as model analytes to cover a wide range of polarities, gas-phase ionization energies, and proton affinities. Chlorobenzene was used as the dopant, and methanol/water (80/20) as the solvent. In both techniques, analytes formed the same ions (radical cations, protonated molecules, and/or fragments). However, in DA-APCI, the relative efficiency of charge exchange versus proton transfer was lower than in DA-APPI. This is suggested to be because in DA-APCI both dopant and solvent clusters can be ionized, and the formed reagent ions can react with the analytes via competing charge exchange and proton transfer reactions. In DA-APPI, on the other hand, the main reagents are dopant-derived radical cations, which favor ionization of analytes via charge exchange. The efficiency of charge exchange in both DA-APPI and DA-APCI was shown to depend heavily on the solvent flow rate, with best efficiency seen at lowest flow rates studied (0.05 and 0.1 mL/min). Both DA-APCI and DA-APPI showed the radical cation of chlorobenzene at 0.05-0.1 mL/min flow rate, but at increasing flow rate, the abundance of chlorobenzene M(+.) decreased and reagent ion populations deriving from different gas-phase chemistry were recorded. The formation of these reagent ions explains the decreasing ionization efficiency and the differences in charge exchange between the techniques. Graphical Abstract ᅟ.

  8. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  9. Microelectrode-assisted low-voltage atmospheric pressure glow discharge in air

    Science.gov (United States)

    Liu, Wenzheng; Zhao, Shuai; Niu, Jiangqi; Chai, Maolin

    2017-09-01

    During the process of discharge, appropriately changing the paths corresponding to electric field lines and the field strength distribution along these paths, as well as increasing the number of initial electrons, can effectively enhance the uniformity of discharge and inhibit the formation of filamentary discharge. A method is proposed that uses a microelectrode to initiate the macroscopic discharge phenomenon. An asymmetric structure was designed comprising a single electrode of carbon fiber; this electrode structure is of helical-contact type. Benefitting from the special electric field distribution and the microdischarge process, a three-dimensional atmospheric pressure glow discharge was achieved in air, characterized by low discharge voltage, low energy consumption, good diffusion performance, and less ozone generation. The plasma studied is uniform and stable with good diffusion characteristics and low levels of contaminants and hence has potential applications in the field of air purification.

  10. Effect of feed-gas humidity on nitrogen atmospheric-pressure plasma jet for biological applications.

    Science.gov (United States)

    Stephan, Karl D; McLean, Robert J C; DeLeon, Gian; Melnikov, Vadim

    2016-11-14

    We investigate the effect of feed-gas humidity on the oxidative properties of an atmospheric-pressure plasma jet using nitrogen gas. Plasma jets operating at atmospheric pressure are finding uses in medical and biological settings for sterilization and other applications involving oxidative stress applied to organisms. Most jets use noble gases, but some researchers use less expensive nitrogen gas. The feed-gas water content (humidity) has been found to influence the performance of noble-gas plasma jets, but has not yet been systematically investigated for jets using nitrogen gas. Low-humidity and high-humidity feed gases were used in a nitrogen plasma jet, and the oxidation effect of the jet was measured quantitatively using a chemical dosimeter known as FBX (ferrous sulfate-benzoic acid-xylenol orange). The plasma jet using high humidity was found to have about ten times the oxidation effect of the low-humidity jet, as measured by comparison with the addition of measured amounts of hydrogen peroxide to the FBX dosimeter. Atmospheric-pressure plasma jets using nitrogen as a feed gas have a greater oxidizing effect with a high level of humidity added to the feed gas.

  11. Manipulator for plasma-assisted machining of components made of materials with low machinability

    International Nuclear Information System (INIS)

    Lyaoshchukov, M.M.; Agadzhanyan, R.A.

    1984-01-01

    The All-Union Scientific-Research and Technological Institute of Pump Engineering developed, and the ''Uralgidromash'' Production Association has adopted, a manipulator with remote control for the plasma-assisted machining (PAM) of components made of materials with low machinability. The manipulator is distinguished by its universal design and can be used for machining both external and internal surfaces of the bodies of revolution and also end faces and various curvilinear surfaces

  12. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  13. Study of geometrical and operational parameters controlling the low frequency microjet atmospheric pressure plasma characteristics

    International Nuclear Information System (INIS)

    Kim, Dan Bee; Rhee, J. K.; Moon, S. Y.; Choe, W.

    2006-01-01

    Controllability of small size atmospheric pressure plasma generated at low frequency in a pin to dielectric plane electrode configuration was studied. It was shown that the plasma characteristics could be controlled by geometrical and operational parameters of the experiment. Under most circumstances, continuous glow discharges were observed, but both the corona and/or the dielectric barrier discharge characteristics were observed depending on the position of the pin electrode. The plasma size and the rotational temperature were also varied by the parameters. The rotational temperature was between 300 and 490 K, being low enough to treat thermally sensitive materials

  14. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    International Nuclear Information System (INIS)

    Navaneetha Pandiyaraj, K.; Ram Kumar, M.C.; Arun Kumar, A.; Padmanabhan, P.V.A.; Deshmukh, R.R.; Bah, M.; Ismat Shah, S.; Su, Pi-Guey; Halleluyah, M.; Halim, A.S.

    2016-01-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was

  15. Tailoring the surface properties of polypropylene films through cold atmospheric pressure plasma (CAPP) assisted polymerization and immobilization of biomolecules for enhancement of anti-coagulation activity

    Energy Technology Data Exchange (ETDEWEB)

    Navaneetha Pandiyaraj, K., E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T By Pass, Chinniyam Palayam (Post), Coimbatore 641062 (India); Ram Kumar, M.C.; Arun Kumar, A. [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L& T By Pass, Chinniyam Palayam (Post), Coimbatore 641062 (India); Padmanabhan, P.V.A. [PSN College of Engineering and Technology, Tirunelveli 627 152 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Bah, M.; Ismat Shah, S. [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark (United States); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Halleluyah, M.; Halim, A.S. [School of Medical Sciences, Health Campus, Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2016-05-01

    Graphical abstract: - Highlights: • Developed low cost cold atmospheric plasma reactor for plasma polymerization technique. • Surface of the PP film was modified by grafting of AAc and PEG by CAPP polymerization. • Biomolecules of chitosan, insulin and heparin were immobilized on surface of PEG-AAc grafted PP films. • The surface modified PP films were characterized by various techniques. • The plasma polymerized and immobilized film reveals substantial blood compatibility. - Abstract: Enhancement of anti-thrombogenic properties of polypropylene (PP) to avert the adsorption of plasma proteins (fibrinogen and albumin), adhesion and activation of the platelets are very important for vast biomedical applications. The cold atmospheric pressure plasma (CAPP) assisted polymerization has potential to create the specific functional groups such as O−C=O, C=O, C−N and S−S. on the surface of polymeric films using selective precursor in vapour phase to enhance anti-thrombogenic properties. Such functionalized polymeric surfaces would be suitable for various biomedical applications especially to improve the blood compatibility. The eventual aspiration of the present investigation is to develop the biofunctional coating onto the surface of PP films using acrylic acid (AAc) and polyethylene glycol (PEG) as a precursor in a vapour phase by incorporating specific functional groups for immobilization of biomolecules such as heparin (HEP), chitosan (CHI) and insulin (INS) on the surface of plasma modified PP films. The surface properties such as hydrophilicity, chemical composition, surface topography of the surface modified PP films were analyzed by contact angle (CA), Fourier transform infrared spectroscopy (FTIR), X-ray photo electron spectroscopy (XPS) and atomic force microscopy (AFM). Furthermore the anti-thrombogenic properties of the surface modified PP films were studied by in vitro tests which include platelet adhesion and protein adsorption analysis. It was

  16. Effect of confining wall potential on charged collimated dust beam in low-pressure plasma

    International Nuclear Information System (INIS)

    Kausik, S. S.; Kakati, B.; Saikia, B. K.

    2013-01-01

    The effect of confining wall potential on charged collimated dust beam in low-pressure plasma has been studied in a dusty plasma experimental setup by applying electrostatic field to each channel of a multicusp magnetic cage. Argon plasma is produced by hot cathode discharge method at a pressure of 5×10 −4 millibars and is confined by a full line cusped magnetic field confinement system. Silver dust grains are produced by gas-evaporation technique and move upward in the form of a collimated dust beam due to differential pressure maintained between the dust and plasma chambers. The charged grains in the beam after coming out from the plasma column enter into the diagnostic chamber and are deflected by a dc field applied across a pair of deflector plates at different confining potentials. Both from the amount of deflection and the floating potential, the number of charges collected by the dust grains is calculated. Furthermore, the collimated dust beam strikes the Faraday cup, which is placed above the deflector plates, and the current (∼pA) so produced is measured by an electrometer at different confining potentials. The experimental results demonstrate the significant effect of confining wall potential on charging of dust grains

  17. Effect of confining wall potential on charged collimated dust beam in low-pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kausik, S. S.; Kakati, B.; Saikia, B. K. [Centre of Plasma Physics, Institute for Plasma Research, Sonapur 782 402 (India)

    2013-05-15

    The effect of confining wall potential on charged collimated dust beam in low-pressure plasma has been studied in a dusty plasma experimental setup by applying electrostatic field to each channel of a multicusp magnetic cage. Argon plasma is produced by hot cathode discharge method at a pressure of 5×10{sup −4} millibars and is confined by a full line cusped magnetic field confinement system. Silver dust grains are produced by gas-evaporation technique and move upward in the form of a collimated dust beam due to differential pressure maintained between the dust and plasma chambers. The charged grains in the beam after coming out from the plasma column enter into the diagnostic chamber and are deflected by a dc field applied across a pair of deflector plates at different confining potentials. Both from the amount of deflection and the floating potential, the number of charges collected by the dust grains is calculated. Furthermore, the collimated dust beam strikes the Faraday cup, which is placed above the deflector plates, and the current (∼pA) so produced is measured by an electrometer at different confining potentials. The experimental results demonstrate the significant effect of confining wall potential on charging of dust grains.

  18. Effect of confining wall potential on charged collimated dust beam in low-pressure plasma

    Science.gov (United States)

    Kausik, S. S.; Kakati, B.; Saikia, B. K.

    2013-05-01

    The effect of confining wall potential on charged collimated dust beam in low-pressure plasma has been studied in a dusty plasma experimental setup by applying electrostatic field to each channel of a multicusp magnetic cage. Argon plasma is produced by hot cathode discharge method at a pressure of 5×10-4 millibars and is confined by a full line cusped magnetic field confinement system. Silver dust grains are produced by gas-evaporation technique and move upward in the form of a collimated dust beam due to differential pressure maintained between the dust and plasma chambers. The charged grains in the beam after coming out from the plasma column enter into the diagnostic chamber and are deflected by a dc field applied across a pair of deflector plates at different confining potentials. Both from the amount of deflection and the floating potential, the number of charges collected by the dust grains is calculated. Furthermore, the collimated dust beam strikes the Faraday cup, which is placed above the deflector plates, and the current (˜pA) so produced is measured by an electrometer at different confining potentials. The experimental results demonstrate the significant effect of confining wall potential on charging of dust grains.

  19. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  20. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  1. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  2. On the Validity of Continuum Computational Fluid Dynamics Approach Under Very Low-Pressure Plasma Spray Conditions

    Science.gov (United States)

    Ivchenko, Dmitrii; Zhang, Tao; Mariaux, Gilles; Vardelle, Armelle; Goutier, Simon; Itina, Tatiana E.

    2018-01-01

    Plasma spray physical vapor deposition aims to substantially evaporate powders in order to produce coatings with various microstructures. This is achieved by powder vapor condensation onto the substrate and/or by deposition of fine melted powder particles and nanoclusters. The deposition process typically operates at pressures ranging between 10 and 200 Pa. In addition to the experimental works, numerical simulations are performed to better understand the process and optimize the experimental conditions. However, the combination of high temperatures and low pressure with shock waves initiated by supersonic expansion of the hot gas in the low-pressure medium makes doubtful the applicability of the continuum approach for the simulation of such a process. This work investigates (1) effects of the pressure dependence of thermodynamic and transport properties on computational fluid dynamics (CFD) predictions and (2) the validity of the continuum approach for thermal plasma flow simulation under very low-pressure conditions. The study compares the flow fields predicted with a continuum approach using CFD software with those obtained by a kinetic-based approach using a direct simulation Monte Carlo method (DSMC). It also shows how the presence of high gradients can contribute to prediction errors for typical PS-PVD conditions.

  3. Electron cyclotron resonance heating assisted plasma startup in the Tore Supra tokamak

    International Nuclear Information System (INIS)

    Bucalossi, J.; Hertout, P.; Lennholm, M.; Saint-Laurent, F.; Bouquey, F.; Darbos, C.; Traisnel, E.

    2009-04-01

    ECRH assisted plasma startup at fundamental resonance is investigated in Tore Supra in view of ITER operation. ECRH pre-ionisation is found to be very efficient allowing plasma initiation in a wide range of pre-fill pressure compared to ohmic startup. Reliable assisted startup has been achieved at the ITER reference toroidal electric field (0.3 V/m) with 160 kW of ECRH. Resonance location scan indicates that the plasma is initiated at the resonance location and that the plasma current channel position had to be real-time controlled since the very beginning of the discharge to obtain robust plasma startup. (authors)

  4. Effect of low-pressure plasma treatment on the color and oxidative stability of raw pork during refrigerated storage.

    Science.gov (United States)

    Ulbin-Figlewicz, Natalia; Jarmoluk, Andrzej

    2016-06-01

    The effect of low-pressure plasma on quality attributes of meat is an important aspect, which must be considered before application in food. The aim of this study was to determine the color, fatty acid composition, lipid oxidation expressed as thiobarbituric acid reactive substances and total antioxidant capacity of raw pork samples exposed to helium low-pressure plasma treatment (20 kPa) for 0, 2, 5, and 10 min during the storage period. The thiobarbituric acid reactive substance concentrations of all plasma-treated samples during storage were in the range from 0.26 to 0.61 mg malondialdehyde/kg. Exposure time caused significant changes only in total color difference, hue angle, and chroma after 10 min of treatment. Ferric reducing ability of plasma values of meat samples decreased from 1.93 to 1.40 mmol Trolox Eq/kg after 14 days of storage. The storage period significantly affected proportion of polyunsaturated fatty acids, with an increase about 3% after 14 days of refrigeration storage while the content of saturated fatty acids was at the same level. Helium low-pressure plasma does not induce oxidative processes. Application of this decontamination technique while maintaining product quality is possible in food industry. © The Author(s) 2015.

  5. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    International Nuclear Information System (INIS)

    Hicks, R.; Selwyn, G.S.

    1997-01-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by exchange

  6. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    Energy Technology Data Exchange (ETDEWEB)

    Selwyn, G.S. [Los Alamos National Lab., NM (US); Hicks, R. [Univ. of California, Los Angeles, CA (US)

    1997-06-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by

  7. An environmentally-friendly, highly efficient, gas pressure-assisted sample introduction system for ICP-MS and its application to detection of cadmium and lead in human plasma.

    Science.gov (United States)

    Cao, Yupin; Deng, Biyang; Yan, Lizhen; Huang, Hongli

    2017-05-15

    An environmentally friendly and highly efficient gas pressure-assisted sample introduction system (GPASIS) was developed for inductively-coupled plasma mass spectrometry. A GPASIS consisting of a gas-pressure control device, a customized nebulizer, and a custom-made spray chamber was fabricated. The advantages of this GPASIS derive from its high nebulization efficiencies, small sample volume requirements, low memory effects, good precision, and zero waste emission. A GPASIS can continuously, and stably, nebulize 10% NaCl solution for more than an hour without clogging. Sensitivity, detection limits, precision, long-term stability, double charge and oxide ion levels, nebulization efficiencies, and matrix effects of the sample introduction system were evaluated. Experimental results indicated that the performance of this GPASIS, was equivalent to, or better than, those obtained by conventional sample introduction systems. This GPASIS was successfully used to determine Cd and Pb by ICP-MS in human plasma. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  9. Application of atmospheric pressure plasma on polyethylene for increased prosthesis adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Van Vrekhem, S., E-mail: stijn.vanvrekhem@ugent.be [Research Unit Plasma Technology (RUPT), Department of Applied Physics, Faculty of Engineering and Architecture, Ghent University, Sint-Pietersnieuwstraat 41 B4, 9000 Ghent (Belgium); Cools, P. [Research Unit Plasma Technology (RUPT), Department of Applied Physics, Faculty of Engineering and Architecture, Ghent University, Sint-Pietersnieuwstraat 41 B4, 9000 Ghent (Belgium); Declercq, H. [Research Unit Plasma Technology (RUPT), Department of Applied Physics, Faculty of Engineering and Architecture, Ghent University, Sint-Pietersnieuwstraat 41 B4, 9000 Ghent (Belgium); Tissue Engineering Group, Department of Basic Medical Sciences, Faculty of Medicine and Health Sciences, Ghent University, De Pintelaan 185 6B3, 9000 Ghent (Belgium); Van Tongel, A. [Department of Orthopaedic Surgery and Traumatology, Ghent University Hospital, De Pintelaan 185 13K12, 9000 Ghent (Belgium); Vercruysse, C.; Cornelissen, M. [Tissue Engineering Group, Department of Basic Medical Sciences, Faculty of Medicine and Health Sciences, Ghent University, De Pintelaan 185 6B3, 9000 Ghent (Belgium); De Geyter, N.; Morent, R. [Research Unit Plasma Technology (RUPT), Department of Applied Physics, Faculty of Engineering and Architecture, Ghent University, Sint-Pietersnieuwstraat 41 B4, 9000 Ghent (Belgium)

    2015-12-01

    Biopolymers are often subjected to surface modification in order to improve their surface characteristics. The goal of this study is to show the use of plasma technology to enhance the adhesion of ultra-high molecular weight polyethylene (UHMWPE) shoulder prostheses. Two different plasma techniques (low pressure plasma activation and atmospheric pressure plasma polymerization) are performed on UHMWPE to increase the adhesion between (1) the polymer and polymethylmethacrylate (PMMA) bone cement and (2) the polymer and osteoblast cells. Both techniques are performed using a dielectric barrier discharge (DBD). A previous paper showed that low pressure plasma activation of UHMWPE results in the incorporation of oxygen-containing functional groups, which leads to an increased surface wettability. Atmospheric pressure plasma polymerization of methylmethacrylate (MMA) on UHMWPE results in a PMMA-like coating, which could be deposited with a high degree of control of chemical composition and layer thickness. The thin film also proved to be relatively stable upon incubation in a phosphate buffer solution (PBS). This paper discusses the next stage of the study, which includes testing the adhesion of the plasma-activated and plasma-polymerized samples to bone cement through pull-out tests and testing the cell adhesion and proliferation on the samples. In order to perform the pull-out tests, all samples were cut to standard dimensions and fixed in bone cement in a reproducible way with a sample holder specially designed for this purpose. The cell adhesion and proliferation were tested by means of an MTS assay and live/dead staining after culturing MC3T3 osteoblast cells on UHMWPE samples. The results show that both plasma activation and plasma polymerization significantly improve the adhesion to bone cement and enhance cell adhesion and proliferation. In conclusion, it can be stated that the use of plasma technology can lead to an implant with improved quality and a subsequent

  10. The Healing Effect of Low-Temperature Atmospheric-Pressure Plasma in Pressure Ulcer: A Randomized Controlled Trial.

    Science.gov (United States)

    Chuangsuwanich, Apirag; Assadamongkol, Tananchai; Boonyawan, Dheerawan

    2016-12-01

    Pressure ulcers are difficult to treat. Recent reports of low-temperature atmospheric-pressure plasma (LTAPP) indicated its safe and effectiveness in chronic wound care management. It has been shown both in vitro and vivo studies that LTAPP not only helps facilitate wound healing but also has antimicrobial efficacy due to its composition of ion and electron, free radicals, and ultraviolet ray. We studied the beneficial effect of LTAPP specifically on pressure ulcers. In a prospective randomized study, 50 patients with pressure ulcers were divided into 2 groups: Control group received standard wound care and the study group was treated with LTAPP once every week for 8 consecutive weeks in addition to standard wound care. We found that the group treated with LTAPP had significantly better PUSH (Pressure Ulcer Scale for Healing) scores and exudate amount after 1 week of treatment. There was also a reduction in bacterial load after 1 treatment regardless of the species of bacteria identified.

  11. Influence of emitter temperature on the energy deposition in a low-pressure plasma

    International Nuclear Information System (INIS)

    Levko, Dmitry; Raja, Laxminarayan L.

    2016-01-01

    The influence of emitter temperature on the energy deposition into low-pressure plasma is studied by the self-consistent one-dimensional Particle-in-Cell Monte Carlo Collisions model. Depending on the emitter temperature, different modes of discharge operation are obtained. The mode type depends on the plasma frequency and does not depend on the ratio between the densities of beam and plasma electrons. Namely, plasma is stable when the plasma frequency is small. For this plasma, the energy transfer from emitted electrons to plasma electrons is inefficient. The increase in the plasma frequency results first in the excitation of two-stream electron instability. However, since the thermal velocity of plasma electrons is smaller than the electrostatic wave velocity, the resonant wave-particle interaction is inefficient for the energy deposition into the plasma. Further increase in the plasma frequency leads to the distortion of beam of emitted electrons. Then, the electrostatic wave generated due to two-stream instability decays into multiple slower waves. Phase velocities of these waves are comparable with the thermal velocity of plasma electrons which makes possible the resonant wave-particle interaction. This results in the efficient energy deposition from emitted electrons into the plasma.

  12. Large area atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.; Henins, Ivars; Babayan, Steve E.; Hicks, Robert F.

    2001-01-01

    Large area atmospheric-pressure plasma jet. A plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two planar, parallel electrodes are employed to generate a plasma in the volume therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly spacing the rf-powered electrode. Because of the atmospheric pressure operation, there is a negligible density of ions surviving for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike the situation for low-pressure plasma sources and conventional plasma processing methods.

  13. Simulations of planar non-thermal plasma assisted ignition at atmospheric pressure

    KAUST Repository

    Casey, Tiernan A.

    2016-10-21

    The opportunity for ignition assistance by a pulsed applied voltage is investigated in a canonical one-dimensional configuration. An incipient ignition kernel, formed by localized energy deposition into a lean mixture of methane and air at atmospheric pressure, is subjected to sub-breakdown electric fields (E/N ≈ 100 Td) by a DC potential applied across the domain, resulting in non-thermal behavior of the plasma formed during the discharge. A two-fluid approach is employed to couple thermal neutrals and ions to the non-thermal electrons. A two-temperature plasma mechanism describing gas phase combustion, excitation of neutral species, and high-energy electron kinetics is employed to account for non-thermal effects. Charged species transported from the ignition zone drift rapidly through the domain, augmenting the magnitude of the electric field in the fresh gas during the pulse through a dynamic-electrode effect, which results in an increase in the energy of the electrons in the fresh mixture with increasing time. Enhanced fuel and oxidizer decomposition due to electron impact dissociation and interaction with excited neutrals generate a pool of radicals, mostly O and H, in the fresh gas ahead of the flame\\'s preheat zone. In the configuration considered, the effect of the nanosecond pulse is to increase the mass of fuel burned at equivalent times relative to the unsupported ignition through enhanced radical generation, resulting in an increased heat release rate in the immediate aftermath of the pulse.

  14. Low Pressure DC Glow Discharge Air Plasma Surface Treatment of Polyethylene (PE) Film for Improvement of Adhesive Properties

    International Nuclear Information System (INIS)

    Pandiyaraj, Krishnasamy Navaneetha; Yoganand, Paramasivam; Selvarajan, Vengatasamy; Deshmukh, Rajendrasing R.; Balasubramanian, Suresh; Maruthamuthu, Sundaram

    2013-01-01

    The present work deals with the change in surface properties of polyethylene (PE) film using DC low pressure glow discharge air plasma and makes it useful for technical applications. The change in hydrophilicity of the modified PE film surface was investigated by measuring contact angle and surface energy as a function of exposure time. Changes in the morphological and chemical composition of PE films were analyzed by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The improvement in adhesion was studied by measuring T-peel and lap-shear strength. The results show that the wettability and surface energy of the PE film has been improved due to the introduction of oxygen-containing polar groups and an increase in surface roughness. The XPS result clearly shows the increase in concentration of oxygen content and the formation of polar groups on the polymer surface. The AFM observation on PE film shows that the roughness of the surface increased due to plasma treatment. The above morphological and chemical changes enhanced the adhesive properties of the PE film surfaces, which was confirmed by T-peel and lap-shear tests.

  15. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  16. Nanocapillary Atmospheric Pressure Plasma Jet: A Tool for Ultrafine Maskless Surface Modification at Atmospheric Pressure.

    Science.gov (United States)

    Motrescu, Iuliana; Nagatsu, Masaaki

    2016-05-18

    With respect to microsized surface functionalization techniques we proposed the use of a maskless, versatile, simple tool, represented by a nano- or microcapillary atmospheric pressure plasma jet for producing microsized controlled etching, chemical vapor deposition, and chemical modification patterns on polymeric surfaces. In this work we show the possibility of size-controlled surface amination, and we discuss it as a function of different processing parameters. Moreover, we prove the successful connection of labeled sugar chains on the functionalized microscale patterns, indicating the possibility to use ultrafine capillary atmospheric pressure plasma jets as versatile tools for biosensing, tissue engineering, and related biomedical applications.

  17. Decontamination of Chemical/Biological Warfare (CBW) Agents Using an Atmospheric Pressure Plasma Jet (APPJ)

    Science.gov (United States)

    Herrmann, Hans W.

    1998-11-01

    The atmospheric pressure plasma jet (APPJ) is a non-thermal, high pressure, uniform glow discharge that produces a high velocity effluent stream of highly reactive chemical species. The discharge operates on a feedstock gas (e.g. He/O_2/H_2O) which flows between an outer, grounded, cylindrical electrode and an inner, coaxial electrode powered at 13.56 MHz RF. While passing through the plasma, the feedgas becomes excited, dissociated or ionized by electron impact. Once the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, but the fast-flowing effluent still contains metastables (e.g. O2*, He*) and radicals (e.g. O, OH). These reactive species have been shown to be effective neutralizers of surrogates for anthrax spores, mustard blister agent and VX nerve gas. Unlike conventional, wet decontamination methods, the plasma effluent does not cause corrosion of most surfaces and does not damage wiring, electronics, nor most plastics. This makes it highly suitable for decontamination of high value sensitive equipment such as is found in vehicle interiors (i.e. tanks, planes...) for which there is currently no good decontamination technique. Furthermore, the reactive species rapidly degrade into harmless products leaving no lingering residue or harmful byproducts. Physics of the APPJ will be discussed and results of surface decontamination experiments using simulant and actual CBW agents will be presented.

  18. Controlling hydrophilicity of polymer film by altering gas flow rate in atmospheric-pressure homogeneous plasma

    International Nuclear Information System (INIS)

    Kang, Woo Seok; Hur, Min; Lee, Jae-Ok; Song, Young-Hoon

    2014-01-01

    Graphical abstract: - Highlights: • Controlling hydrophilicity of polymer film by varying gas flow rate is proposed in atmospheric-pressure homogeneous plasma treatment. • Without employing additional reactive gas, requiring more plasma power and longer treatment time, hydrophilicity of polyimide films was improved after the low-gas-flow plasma treatment. • The gas flow rate affects the hydrophilic properties of polymer surface by changing the discharge atmosphere in the particular geometry of the reactor developed. • Low-gas-flow induced wettability control suggests effective and economical plasma treatment. - Abstract: This paper reports on controlling the hydrophilicity of polyimide films using atmospheric-pressure homogeneous plasmas by changing only the gas flow rate. The gas flow changed the discharge atmosphere by mixing the feed gas with ambient air because of the particular geometry of the reactor developed for the study, and a low gas flow rate was found to be favorable because it generated abundant nitrogen or oxygen species that served as sources of hydrophilic functional groups over the polymer surface. After low-gas-flow plasma treatment, the polymer surface exhibited hydrophilic characteristics with increased surface roughness and enhanced chemical properties owing to the surface addition of functional groups. Without adding any reactive gases or requiring high plasma power and longer treatment time, the developed reactor with low-gas-flow operation offered effective and economical wettability control of polyimide films

  19. Sterilization of Surfaces with a Handheld Atmospheric Pressure Plasma

    Science.gov (United States)

    Hicks, Robert; Habib, Sara; Chan, Wai; Gonzalez, Eleazar; Tijerina, A.; Sloan, Mark

    2009-10-01

    Low temperature, atmospheric pressure plasmas have shown great promise for decontaminating the surfaces of materials and equipment. In this study, an atmospheric pressure, oxygen and argon plasma was investigated for the destruction of viruses, bacteria, and spores. The plasma was operated at an argon flow rate of 30 L/min, an oxygen flow rate of 20 mL/min, a power density of 101.0 W/cm^3 (beam area = 5.1 cm^2), and at a distance from the surface of 7.1 mm. An average 6log10 reduction of viable spores was obtained after only 45 seconds of exposure to the reactive gas. By contrast, it takes more than 35 minutes at 121^oC to sterilize anthrax in an autoclave. The plasma properties were investigated by numerical modeling and chemical titration with nitric oxide. The numerical model included a detailed reaction mechanism for the discharge as well as for the afterglow. It was predicted that at a delivered power density of 29.3 W/cm^3, 30 L/min argon, and 0.01 volume% O2, the plasma generated 1.9 x 10^14 cm-3 O atoms, 1.6 x 10^12 cm-3 ozone, 9.3 x 10^13 cm-3 O2(^1δg), and 2.9 x 10^12 cm-3 O2(^1σ^+g) at 1 cm downstream of the source. The O atom density measured by chemical titration with NO was 6.0 x 10^14 cm-3 at the same conditions. It is believe that the oxygen atoms and the O2(^1δg) metastables were responsible for killing the anthrax and other microorganisms.

  20. Low Temperature Plasma Medicine

    Science.gov (United States)

    Graves, David

    2013-10-01

    Ionized gas plasmas near room temperature are used in a remarkable number of technological applications mainly because they are extraordinarily efficient at exploiting electrical power for useful chemical and material transformations near room temperature. In this tutorial address, I will focus on the newest area of low temperature ionized gas plasmas (LTP), in this case operating under atmospheric pressure conditions, in which the temperature-sensitive material is living tissue. LTP research directed towards biomedical applications such as sterilization, surgery, wound healing and anti-cancer therapy has seen remarkable growth in the last 3-5 years, but the mechanisms responsible for the biomedical effects have remained mysterious. It is known that LTP readily create reactive oxygen species (ROS) and reactive nitrogen species (RNS). ROS and RNS (or RONS), in addition to a suite of other radical and non-radical reactive species, are essential actors in an important sub-field of aerobic biology termed ``redox'' (or oxidation-reduction) biology. I will review the evidence suggesting that RONS generated by plasmas are responsible for their observed therapeutic effects. Other possible bio-active mechanisms include electric fields, charges and photons. It is common in LTP applications that synergies between different mechanisms can play a role and I will review the evidence for synergies in plasma biomedicine. Finally, I will address the challenges and opportunities for plasma physicists to enter this novel, multidisciplinary field.

  1. Global model analysis of negative ion generation in low-pressure inductively coupled hydrogen plasmas with bi-Maxwellian electron energy distributions

    International Nuclear Information System (INIS)

    Huh, Sung-Ryul; Kim, Nam-Kyun; Jung, Bong-Ki; Chung, Kyoung-Jae; Hwang, Yong-Seok; Kim, Gon-Ho

    2015-01-01

    A global model was developed to investigate the densities of negative ions and the other species in a low-pressure inductively coupled hydrogen plasma with a bi-Maxwellian electron energy distribution. Compared to a Maxwellian plasma, bi-Maxwellian plasmas have higher populations of low-energy electrons and highly vibrationally excited hydrogen molecules that are generated efficiently by high-energy electrons. This leads to a higher reaction rate of the dissociative electron attachment responsible for negative ion production. The model indicated that the bi-Maxwellian electron energy distribution at low pressures is favorable for the creation of negative ions. In addition, the electron temperature, electron density, and negative ion density calculated using the model were compared with the experimental data. In the low-pressure regime, the model results of the bi-Maxwellian electron energy distributions agreed well quantitatively with the experimental measurements, unlike those of the assumed Maxwellian electron energy distributions that had discrepancies

  2. Observation of intense beam in low pressure from IPR Plasma Focus facility

    International Nuclear Information System (INIS)

    Kumar, R.; Shyam, A.; Chaturvedi, S.; Lathi, D.; Sarkar, Partha; Chaudhari, V.; Verma, R.; Shukla, R.; Debnath, K.; Sonara, J.; Shah, K.; Adhikary, B.

    2004-01-01

    Full text: Plasma focus (PF) is a powerful source of various ionizing radiation such as charged particles beam (ions and electrons), X-ray, neutrons etc. This device can operate from energy level of 50J to 1MJ. Plasma Focus is relatively small, simple and cheap in comparison with other radiation sources based on isotopes, accelerators and fusion reactors. Radiation pulse from PF is strong and very short. Now with the new pulsed power technology this device can be operated repeatedly with enhanced lifetime. All these features make plasma focus a versatile device for academic as well as industrial interest such as hot plasma physics and plasma collective processes, equation of state of matter under extreme conditions, material science including material characterization, dynamic equation control, and surface modification and destruction test. Intense burst of neutrons have been observed from a low energy (3.6 kJ) Mather type plasma focus device operated in 0.4 Torr pressure of deuterium medium at IPR. The emitted neutrons (10 9 /shot), that are accompanied by a strong hard X-ray pulse, were found to be having energy up to 3.26 MeV in the axial direction of the device

  3. Theoretical and experimental investigation of plasma and wave characteristics of coaxial discharges at low pressures

    International Nuclear Information System (INIS)

    Neichev, Z; Benova, E; Gamero, A; Sola, A

    2006-01-01

    The paper discusses a new configuration of the surface-wave sustained plasma - 'the coaxial structure'. The coaxial structure is investigated on the base of one-dimensional axial fluid model. That model is adequate enough for low pressure plasma, when the main process for charged particles production is the direct ionization from the ground state and the loss of electrons is due to diffusion to the wall. The role of the geometric factors is evaluated and discussed, varying the discharge conditions in the theoretical model. The main equations of the model - the local dispersion relation and the wave energy balance equation are obtained from Maxwell's equations with appropriate boundary conditions. The phase diagrams, the radial profiles of the electric field and the axial profiles of dimensionless electron number density, wave number, wave power are obtained at various plasma radii and dielectric tube thickness. The results are compared with those for the typical cylindrical plasma column at similar conditions. For the purpose of modelling at low pressure of a coaxial discharge sustained by a travelling electromagnetic wave, some important characteristics of the propagation of surface waves have been investigated experimentally. The axial profiles of the propagation coefficient and radial profiles of the electric field at different experimental conditions have been obtained and discussed

  4. Low temperature carrier transport study of monolayer MoS{sub 2} field effect transistors prepared by chemical vapor deposition under an atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xinke, E-mail: xkliu@szu.edu.cn, E-mail: wujing026@gmail.com; He, Jiazhu; Tang, Dan; Lu, Youming; Zhu, Deliang; Liu, Wenjun; Cao, Peijiang; Han, Sun [College of Materials Science and Engineering, Shenzhen Engineering Laboratory for Advanced Technology of Ceramics, Nanshan District Key Lab for Biopolymer and Safety Evaluation, Shenzhen University, 3688 Nanhai Ave, Shenzhen 518060 (China); Liu, Qiang; Wen, Jiao; Yu, Wenjie [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, CAS, 865 Chang Ning Road, Shanghai 200050 (China); Liu, Wenjun [State Key Laboratory of ASIC and System, Department of Microelectronics, Fudan University, 220 Handan Road, Shanghai 200433 (China); Wu, Jing, E-mail: xkliu@szu.edu.cn, E-mail: wujing026@gmail.com [Department of Physics, National University of Singapore, 21 Lower Kent Ridge Road, 117576 Singapore (Singapore); He, Zhubing [Department of Materials Science and Engineering, South University of Science and Technology of China, 1088 Xueyuan Road, Shenzhen 518055 (China); Ang, Kah-Wee [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, 117583 Singapore (Singapore)

    2015-09-28

    Large size monolayer Molybdenum disulphide (MoS{sub 2}) was successfully grown by chemical vapor deposition method under an atmospheric pressure. The electrical transport properties of the fabricated back-gate monolayer MoS{sub 2} field effect transistors (FETs) were investigated under low temperatures; a peak field effect mobility of 59 cm{sup 2}V{sup −1}s{sup −1} was achieved. With the assist of Raman measurement under low temperature, this work identified the mobility limiting factor for the monolayer MoS{sub 2} FETs: homopolar phonon scattering under low temperature and electron-polar optical phonon scattering at room temperature.

  5. Technological uses of low temperature plasmas

    International Nuclear Information System (INIS)

    Lawton, J.

    1975-01-01

    Types of low temperature plasma sources considered include; arc discharge, high pressure discharge, low pressure discharge and flame. The problems of uniform heating of a gas are discussed and it is considered that the most reliable technique is the magnetically rotated arc, but expanded discharges of one kind or another are likely to be serious competitors in the future. The uses of low temperature plasma in chemistry and combustion are considered. The potential for plasma chemistry lies with processes in which the reactions occur in the plasma itself or its neighbouring gas phase, including those which require the vaporization of liquefaction of a refractory material and also highly endothermic reactions. The production of thixotropic silica and acetylene are discussed as examples of such reactions. The field of plasma and combustion including; ignition, flame ionization and soot formation, and the MHD generator, is considered. (U.K.)

  6. Highly informative multiclass profiling of lipids by ultra-high performance liquid chromatography - Low resolution (quadrupole) mass spectrometry by using electrospray ionization and atmospheric pressure chemical ionization interfaces.

    Science.gov (United States)

    Beccaria, Marco; Inferrera, Veronica; Rigano, Francesca; Gorynski, Krzysztof; Purcaro, Giorgia; Pawliszyn, Janusz; Dugo, Paola; Mondello, Luigi

    2017-08-04

    A simple, fast, and versatile method, using an ultra-high performance liquid chromatography system coupled with a low resolution (single quadrupole) mass spectrometer was optimized to perform multiclass lipid profiling of human plasma. Particular attention was made to develop a method suitable for both electrospray ionization and atmospheric pressure chemical ionization interfaces (sequentially in positive- and negative-ion mode), without any modification of the chromatographic conditions (mobile phase, flow-rate, gradient, etc.). Emphasis was given to the extrapolation of the structural information based on the fragmentation pattern obtained using atmospheric pressure chemical ionization interface, under each different ionization condition, highlighting the complementary information obtained using the electrospray ionization interface, of support for related molecule ions identification. Furthermore, mass spectra of phosphatidylserine and phosphatidylinositol obtained using the atmospheric pressure chemical ionization interface are reported and discussed for the first time. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  8. Equivalent effect of neutral gas pressure and transverse magnetic field in low-pressure glow discharge plasma

    International Nuclear Information System (INIS)

    Toma, M.; Rusu, Ioana; Pohoata, V.; Mihaila, I.

    2001-01-01

    In the paper it is emphasized the equivalent effect of the neutral gas pressure and the action of a transverse magnetic field (TMF), respectively, on a striated positive plasma column. Experimental and theoretical results prove that the distance between striations has the same variation under the influence of both neutral gas pressure and the action of TMF. The pressure modification as well as the action of a TMF can induce ionization instability in the plasma column which explains the standing striation appearance. (authors)

  9. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  10. Flow reactor studies of non-equilibrium plasma-assisted oxidation of n-alkanes.

    Science.gov (United States)

    Tsolas, Nicholas; Lee, Jong Guen; Yetter, Richard A

    2015-08-13

    The oxidation of n-alkanes (C1-C7) has been studied with and without the effects of a nanosecond, non-equilibrium plasma discharge at 1 atm pressure from 420 to 1250 K. Experiments have been performed under nearly isothermal conditions in a flow reactor, where reactive mixtures are diluted in Ar to minimize temperature changes from chemical reactions. Sample extraction performed at the exit of the reactor captures product and intermediate species and stores them in a multi-position valve for subsequent identification and quantification using gas chromatography. By fixing the flow rate in the reactor and varying the temperature, reactivity maps for the oxidation of fuels are achieved. Considering all the fuels studied, fuel consumption under the effects of the plasma is shown to have been enhanced significantly, particularly for the low-temperature regime (T<800 K). In fact, multiple transitions in the rates of fuel consumption are observed depending on fuel with the emergence of a negative-temperature-coefficient regime. For all fuels, the temperature for the transition into the high-temperature chemistry is lowered as a consequence of the plasma being able to increase the rate of fuel consumption. Using a phenomenological interpretation of the intermediate species formed, it can be shown that the active particles produced from the plasma enhance alkyl radical formation at all temperatures and enable low-temperature chain branching for fuels C3 and greater. The significance of this result demonstrates that the plasma provides an opportunity for low-temperature chain branching to occur at reduced pressures, which is typically observed at elevated pressures in thermal induced systems. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  11. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Czech Academy of Sciences Publication Activity Database

    Prysiazhnyi, V.; Slavíček, P.; Mikmeková, Eliška; Klíma, M.

    2016-01-01

    Roč. 18, č. 4 (2016), s. 430-437 ISSN 1009-0630 Institutional support: RVO:68081731 Keywords : atmospheric pressure plasma * plasma jet * aluminium * surface treatment * surface processing * chemical precleaning Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 0.830, year: 2016

  12. A handheld low temperature atmospheric pressure air plasma gun for nanomaterial synthesis in liquid phase

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Shuang; Wang, Kaile; Zuo, Shasha; Liu, Jiahui [Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871 (China); Zhang, Jue, E-mail: zhangjue@pku.edu.cn; Fang, Jing [Academy for Advanced Interdisciplinary Studies, Peking University, Beijing 100871 (China); College of Engineering, Peking University, Beijing 100871 (China)

    2015-10-15

    A handheld low temperature atmospheric pressure air plasma gun based on a dielectric barrier structure with hollow electrodes was proposed. The portable plasma gun with an embedded mini air pump was driven by a 12 V direct voltage battery. The air plasma jet generated from the gun could be touched without a common shock hazard. Besides working in air, the plasma gun can also work in water. The diagnostic result of optical emission spectroscopy showed the difference in reactive species of air plasma jet between in air and in water. The plasma gun was excited in 20 ml chloroauric acid aqueous solution with a concentration of 1.214 mM. A significant amount of gold nanoparticles were synthesized after 2 min continuous discharge. The plasma gun with these unique features is applicable in plasma medicine, etching, and s-nthesis of nanomaterials.

  13. Non-equilibrium plasma chemistry at high pressure and its applications

    International Nuclear Information System (INIS)

    Bai Xiyao; Zhang Zhitao; Bai Mindong; Zhu Qiaoying

    2000-01-01

    A review is presented of research and development of gas discharge and non-equilibrium plasma including, new ideas of non-equilibrium plasma at high gas pressure. With special technology, strong electric fields (>400 Td) can be achieved by which electrons are accelerated suddenly, becoming high energy electrons (> 10 eV) at high pressure. On impact with the electrons, the gas molecules dissociate into ions, atomic ions, atoms and free radicals, and new substances or molecules can be synthesized through custom design. Chemical reaction difficult to achieve by conventional method can be realized or accelerated. Non-equilibrium plasma chemistry at high pressure has wide application prospects

  14. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  15. Atmospheric Pressure Plasma Treatment for Grey Cotton Knitted Fabric

    Directory of Open Access Journals (Sweden)

    Chi-wai Kan

    2018-01-01

    Full Text Available 100% grey cotton knitted fabric contains impurities and yellowness and needs to be prepared for processing to make it suitable for coloration and finishing. Therefore, conventionally 100% grey cotton knitted fabric undergoes a process of scouring and bleaching, which involves the use of large amounts of water and chemicals, in order to remove impurities and yellowness. Due to increased environmental awareness, pursuing a reduction of water and chemicals is a current trend in textile processing. In this study, we explore the possibility of using atmospheric pressure plasma as a dry process to treat 100% grey cotton knitted fabric (single jersey and interlock before processing. Experimental results reveal that atmospheric pressure plasma treatment can effectively remove impurities from 100% grey cotton knitted fabrics and significantly improve its water absorption property. On the other hand, if 100% grey cotton knitted fabrics are pretreated with plasma and then undergo a normal scouring process, the treatment time is reduced. In addition, the surface morphological and chemical changes in plasma-treated fabrics were studied and compared with the conventionally treated fabrics using scanning electron microscope (SEM, Fourier-transform infrared spectroscopy-attenuated total reflection (FTIR-ATR and X-ray photoelectron spectroscopy (XPS. The decrease in carbon content, as shown in XPS, reveal the removal of surface impurities. The oxygen-to-carbon (O/C ratios of the plasma treated knitted fabrics reveal enhanced hydrophilicity.

  16. Magnetic pressure effects in a plasma-liner interface

    Science.gov (United States)

    García-Rubio, F.; Sanz, J.

    2018-04-01

    A theoretical analysis of magnetic pressure effects in a magnetized liner inertial fusion-like plasma is presented. In previous publications [F. García-Rubio and J. Sanz, Phys. Plasmas 24, 072710 (2017)], the evolution of a hot magnetized plasma in contact with a cold unmagnetized plasma, aiming to represent the hot spot and liner, respectively, was investigated in planar geometry. The analysis was made in a double limit low Mach and high thermal to magnetic pressure ratio β. In this paper, the analysis is extended to an arbitrary pressure ratio. Nernst, Ettingshausen, and Joule effects come into play in the energy balance. The region close to the liner is governed by thermal conduction, while the Joule dissipation becomes predominant far from it when the pressure ratio is low. Mass ablation, thermal energy, and magnetic flux losses are reduced with plasma magnetization, characterized by the electron Hall parameter ω e τ e , until β values of order unity are reached. From this point forward, increasing the electron Hall parameter no longer improves the magnetic flux conservation, and mass ablation is enhanced due to the magnetic pressure gradients. A thoughtful simplification of the problem that allows to reduce the order of the system of governing equations while still retaining the finite β effects is presented and compared to the exact case.

  17. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  18. Formation of a Boundary-Free Dust Cluster in a Low-Pressure Gas-Discharge Plasma

    International Nuclear Information System (INIS)

    Usachev, A. D.; Zobnin, A. V.; Petrov, O. F.; Fortov, V. E.; Annaratone, B. M.; Thoma, M. H.; Hoefner, H.; Kretschmer, M.; Fink, M.; Morfill, G. E.

    2009-01-01

    An attraction between negatively charged micron-sized plastic particles was observed in the bulk of a low-pressure gas-discharge plasma under microgravity conditions. This attraction had led to the formation of a boundary-free dust cluster, containing one big central particle with a radius of about 6 μm and about 30 1 μm-sized particles situated on a sphere with a radius of 190 μm and with the big particle in the center. The stability of this boundary-free dust cluster was possible due to its confinement by the plasma flux on the central dust particle

  19. Surface modification of chromatography adsorbents by low temperature low pressure plasma

    DEFF Research Database (Denmark)

    Arpanaei, Ayyoob; Winther-Jensen, Bjørn; Theodosiou, E.

    2010-01-01

    In this study we show how low temperature glow discharge plasma can be used to prepare bi-layered chromatography adsorbents with non-adsorptive exteriors. The commercial strong anion exchange expanded bed chromatography matrix, Q HyperZ, was treated with plasmas in one of two general ways. Using ...

  20. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    International Nuclear Information System (INIS)

    Prysiazhnyi, Vadym; Slavicek, Pavel; Klima, Milos; Mikmekova, Eliska

    2016-01-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O 2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure. (paper)

  1. Influence of Chemical Precleaning on the Plasma Treatment Efficiency of Aluminum by RF Plasma Pencil

    Science.gov (United States)

    Vadym, Prysiazhnyi; Pavel, Slavicek; Eliska, Mikmekova; Milos, Klima

    2016-04-01

    This paper is aimed to show the influence of initial chemical pretreatment prior to subsequent plasma activation of aluminum surfaces. The results of our study showed that the state of the topmost surface layer (i.e. the surface morphology and chemical groups) of plasma modified aluminum significantly depends on the chemical precleaning. Commonly used chemicals (isopropanol, trichlorethane, solution of NaOH in deionized water) were used as precleaning agents. The plasma treatments were done using a radio frequency driven atmospheric pressure plasma pencil developed at Masaryk University, which operates in Ar, Ar/O2 gas mixtures. The effectiveness of the plasma treatment was estimated by the wettability measurements, showing high wettability improvement already after 0.3 s treatment. The effects of surface cleaning (hydrocarbon removal), surface oxidation and activation (generation of OH groups) were estimated using infrared spectroscopy. The changes in the surface morphology were measured using scanning electron microscopy. Optical emission spectroscopy measurements in the near-to-surface region with temperature calculations showed that plasma itself depends on the sample precleaning procedure.

  2. A study on rare gas - oxygen reactions excited by low temperature plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ogawa, Hiroaki; Kiuchi, Kiyoshi; Saburi, Tei; Fukaya, Kiyoshi [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2001-03-01

    The concentration of radioactive rare gases like Xe and Kr in nuclear fuels on PWRs and BWRs increases promptly with dependent on the burn-up ratio. These gases are affect to the long performance of nuclear fuel elements due to accumulate in gap between cladding and fuel, because it has the low thermal conductivity. It is also required to develop the practical means to correct these gases including in the off-gas in nuclear plants for inhibiting the environmental pollution. On the present study, we carried out the fundamental research to evaluate the chemical reactivity of these gases under heavy irradiation. We proposed the new excitation mechanism of these gases by expecting the formation of low energy plasma under irradiation. The chemical reactivity on rare gas-oxygen system was examined by using the low energy plasma driven reaction apparatus installed the RF excitation source. The density of electrons and lower pressure limit for the RF excitation was depended on the ionization energy of each gas. It is clarified that Xe is easy to form gaseous oxide due to the high excitation efficiency in low energy plasma. (author)

  3. The driving frequency effects on the atmospheric pressure corona jet plasmas from low frequency to radio frequency

    International Nuclear Information System (INIS)

    Kim, Dan Bee; Jung, H.; Gweon, B.; Rhee, J. K.; Choe, W.; Moon, S. Y.

    2011-01-01

    Lately, the atmospheric pressure jet type corona plasma, which has been typically driven by dc to low frequency (LF: several tens of kHz), is often generated by using radio frequency of 13.56 MHz. Yet, the relationship between the plasma and its driving frequency has seldom been investigated. Hence, in this study, dependence of the atmospheric pressure corona plasma characteristics on the driving frequency was explored experimentally from LF to rf (5 kHz-13.56 MHz). The plasmas generated by the driving frequency under 2 MHz were cylindrical shape of several tens of millimeters long while the 13.56 MHz plasma is spherical and a few millimeters long. As the driving frequency was increased, the plasma length became shortened. At the lower driving frequencies (below 2 MHz), the plasmas existed as positive streamer and negative glow for each half period of the applied voltage, but the discharge was more continuous in time for the 13.56 MHz plasma. It was inferred from the measured I-V curves that the higher driving frequency induced higher discharge currents, and the gas temperature was increased as the driving frequency was increased.

  4. A Planar Source of Atmospheric-Pressure Plasma Jet

    Science.gov (United States)

    Zhdanova, O. S.; Kuznetsov, V. S.; Panarin, V. A.; Skakun, V. S.; Sosnin, E. A.; Tarasenko, V. F.

    2018-01-01

    In a single-barrier discharge with voltage sharpening and low gas consumption (up to 1 L/min), plane atmospheric pressure plasma jets with a width of up to 3 cm and length of up to 4 cm in air are formed in the slit geometry of the discharge zone. The energy, temperature, and spectral characteristics of the obtained jets have been measured. The radiation spectrum contains intense maxima corresponding to vibrational transitions of the second positive system of molecular nitrogen N2 ( C 3Π u → B 3Π g ) and comparatively weak transition lines of the first positive system of the N 2 + ion ( B 2Σ u + → X 2Σ g ). By an example of inactivation of the Staphylococcus aureus culture (strain ATCC 209), it is shown that plasma is a source of chemically active particles providing the inactivation of microorganisms.

  5. Removal of DLC film on polymeric materials by low temperature atmospheric-pressure plasma jet

    Science.gov (United States)

    Kobayashi, Daichi; Tanaka, Fumiyuki; Kasai, Yoshiyuki; Sahara, Junki; Asai, Tomohiko; Hiratsuka, Masanori; Takatsu, Mikio; Koguchi, Haruhisa

    2017-10-01

    Diamond-like carbon (DLC) thin film has various excellent functions. For example, high hardness, abrasion resistance, biocompatibility, etc. Because of these functionalities, DLC has been applied in various fields. Removal method of DLC has also been developed for purpose of microfabrication, recycling the substrate and so on. Oxygen plasma etching and shot-blast are most common method to remove DLC. However, the residual carbon, high cost, and damage onto the substrate are problems to be solved for further application. In order to solve these problems, removal method using low temperature atmospheric pressure plasma jet has been developed in this work. The removal effect of this method has been demonstrated for DLC on the SUS304 substrate. The principle of this method is considered that oxygen radical generated by plasma oxidize carbon constituting the DLC film and then the film is removed. In this study, in order to widen application range of this method and to understand the mechanism of film removal, plasma irradiation experiment has been attempted on DLC on the substrate with low heat resistance. The DLC was removed successfully without any significant thermal damage on the surface of polymeric material.

  6. Time-dependent two-temperature chemically non-equilibrium modelling of high-power Ar-N2 pulse-modulated inductively coupled plasmas at atmospheric pressure

    International Nuclear Information System (INIS)

    Tanaka, Yasunori

    2006-01-01

    A time-dependent, two-dimensional, two-temperature and chemical non-equilibrium model was developed for high-power Ar-N 2 pulse-modulated inductively coupled plasmas (PMICPs) at atmospheric pressure. The high-power PMICP is a new technique for sustaining high-power induction plasmas. It can control the plasma temperature and radical densities in the time domain. The PMICP promotes non-equilibrium effects by a sudden application of electric field, even in the high-power density plasmas. The developed model accounts separately for the time-dependent energy conservation equations of electrons and heavy particles. This model also considers reaction heat effects and energy transfer between electrons and heavy particles as well as enthalpy flow resulting from diffusion caused by the particle density gradient. Chemical non-equilibrium effects are also taken into account by solving time-dependent mass conservation equations for each particle, considering diffusion, convection and net production terms resulting from 30 chemical reactions. Transport and thermodynamic properties of Ar-N 2 plasmas are calculated self-consistently using the first order approximation of the Chapman-Enskog method at each position and iteration using the local particle composition, heavy particle temperature and electron temperature. This model is useful to discuss time evolution in temperature, gas flow fields and distribution of chemical species

  7. Low temperature plasma technology methods and applications

    CERN Document Server

    Chu, Paul K

    2013-01-01

    Written by a team of pioneering scientists from around the world, Low Temperature Plasma Technology: Methods and Applications brings together recent technological advances and research in the rapidly growing field of low temperature plasmas. The book provides a comprehensive overview of related phenomena such as plasma bullets, plasma penetration into biofilms, discharge-mode transition of atmospheric pressure plasmas, and self-organization of microdischarges. It describes relevant technology and diagnostics, including nanosecond pulsed discharge, cavity ringdown spectroscopy, and laser-induce

  8. State of the art in medical applications using non-thermal atmospheric pressure plasma

    Science.gov (United States)

    Tanaka, Hiromasa; Ishikawa, Kenji; Mizuno, Masaaki; Toyokuni, Shinya; Kajiyama, Hiroaki; Kikkawa, Fumitaka; Metelmann, Hans-Robert; Hori, Masaru

    2017-12-01

    Plasma medical science is a novel interdisciplinary field that combines studies on plasma science and medical science, with the anticipation that understanding the scientific principles governing plasma medical science will lead to innovations in the field. Non-thermal atmospheric pressure plasma has been used for medical treatments, such as for cancer, blood coagulation, and wound healing. The interactions that occur between plasma and cells/tissues have been analyzed extensively. Direct and indirect treatment of cells with plasma has broadened the applications of non-thermal atmospheric pressure plasma in medicine. Examples of indirect treatment include plasma-assisted immune-therapy and plasma-activated medium. Controlling intracellular redox balance may be key in plasma cancer treatment. Animal studies are required to test the effectiveness and safety of these treatments for future clinical applications.

  9. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  10. Plasma-assisted ignition and combustion: nanosecond discharges and development of kinetic mechanisms

    Science.gov (United States)

    Starikovskaia, S. M.

    2014-09-01

    This review covers the results obtained in the period 2006-2014 in the field of plasma-assisted combustion, and in particular the results on ignition and combustion triggered or sustained by pulsed nanosecond discharges in different geometries. Some benefits of pulsed high voltage discharges for kinetic study and for applications are demonstrated. The necessity of and the possibility of building a particular kinetic mechanism of plasma-assisted ignition and combustion are discussed. The most sensitive regions of parameters for plasma-combustion kinetic mechanisms are selected. A map of the pressure and temperature parameters (P-T diagram) is suggested, to unify the available data on ignition delay times, ignition lengths and densities of intermediate species reported by different authors.

  11. Wearable Atmospheric Pressure Plasma Fabrics Produced by Knitting Flexible Wire Electrodes for the Decontamination of Chemical Warfare Agents

    Science.gov (United States)

    Jung, Heesoo; Seo, Jin Ah; Choi, Seungki

    2017-01-01

    One of the key reasons for the limited use of atmospheric pressure plasma (APP) is its inability to treat non-flat, three-dimensional (3D) surface structures, such as electronic devices and the human body, because of the rigid electrode structure required. In this study, a new APP system design—wearable APP (WAPP)—that utilizes a knitting technique to assemble flexible co-axial wire electrodes into a large-area plasma fabric is presented. The WAPP device operates in ambient air with a fully enclosed power electrode and grounded outer electrode. The plasma fabric is flexible and lightweight, and it can be scaled up for larger areas, making it attractive for wearable APP applications. Here, we report the various plasma properties of the WAPP device and successful test results showing the decontamination of toxic chemical warfare agents, namely, mustard (HD), soman (GD), and nerve (VX) agents.

  12. Composition and partition functions of partially ionized hydrogen plasma in Non-Local Thermal Equilibrium (Non-LThE) and Non-Local Chemical Equilibrium (Non-LChE)

    International Nuclear Information System (INIS)

    Chen Kuan; Eddy, T.L.

    1993-01-01

    A GTME (Generalized MultiThermodynamic Equilibrium) plasma model is developed for plasmas in both Non-LThE (Non-Local Thermal Equilibrium) and Non-LChE (Non-Local Chemical Equilibrium). The model uses multitemperatures for thermal nonequilibrium and non-zero chemical affinities as a measure of the deviation from chemical equilibrium. The plasma is treated as an ideal gas with the Debye-Hueckel approximation employed for pressure correction. The proration method is used when the cutoff energy level is between two discrete levels. The composition and internal partition functions of a hydrogen plasma are presented for electron temperatures ranging from 5000 to 35000 K and pressures from 0.1 to 1000 kPa. Number densities of 7 different species of hydrogen plasma and internal partition functions of different energy modes (rotational, vibrational, and electronic excitation) are computed for three affinity values. The results differ from other plasma properties in that they 1) are not based on equilibrium properties; and 2) are expressed as a function of different energy distribution parameters (temperatures) within each energy mode of each species as appropriate. The computed number densities and partition functions are applicable to calculating the thermodynamic, transport, and radiation properties of a hydrogen plasma not in thermal and chemical equilibria. The nonequilibrium plasma model and plasma compositions presented in this paper are very useful to the diagnosis of high-speed and/or low-pressure plasma flows in which the assumptions of local thermal and chemical equilibrium are invalid. (orig.)

  13. Low pressure water vapour plasma treatment of surfaces for biomolecules decontamination

    DEFF Research Database (Denmark)

    Fumagalli, F; Kylian, O; Amato, Letizia

    2012-01-01

    Decontamination treatments of surfaces are performed on bacterial spores, albumin and brain homogenate used as models of biological contaminations in a low-pressure, inductively coupled plasma reactor operated with water-vapour-based gas mixtures. It is shown that removal of contamination can...... be achieved using pure H2O or Ar/H2O mixtures at low temperatures with removal rates comparable to oxygen-based mixtures. Particle fluxes (Ar+ ions, O and H atomic radicals and OH molecular radicals) from water vapour discharge are measured by optical emission spectroscopy and Langmuir probe under several...... operating conditions. Analysis of particle fluxes and removal rates measurements illustrates the role of ion bombardment associated with O radicals, governing the removal rates of organic matter. Auxiliary role of hydroxyl radicals is discussed on the basis of experimental data. The advantages of a water...

  14. Low pressure plasma spray deposition of W-Ni-Fe alloy

    International Nuclear Information System (INIS)

    Mutasim, Z.Z.; Smith, R.W.

    1991-01-01

    The production of net shape refractory metal structural preforms are increasing in importance in chemical processing, defense and aerospace applications. Conventional methods become limited for refractory metal processing due to the high melting temperatures and fabrication difficulties. Plasma spray forming, a high temperature process, has been shown to be capable of refractory metal powder consolidation in net shape products. The research reported here has evaluated this method for the deposition of heavy tungsten alloys. Plasma Melted Rapidly Solidified (PMRS) W 8%Ni-2%Fe refractory metal powders were spray formed using vacuum plasma spray (VPS) process and produced 99% dense, fine grain and homogeneous microstructures. In this paper plasma operating parameters (plasma arc gas type and flowrate plasma gun nozzle size and spray distance) were studied and their effects on deposit's density and microstructure are reported

  15. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  16. Plasma-assisted deposition of microcapsule containing Aloe vera extract for cosmeto-textiles

    Science.gov (United States)

    Nascimento do Carmo, S.; Zille, A.; Souto, A. P.

    2017-10-01

    Dielectric Barrier Discharge (DBD) atmospheric-pressure plasma was employed to enhance the deposition of commercial microcapsules (MCs) containing Aloe vera extract onto a cotton/polyester (50:50) fabric. DBD conditions were optimized in term of energy dosage and contact angle. The MCs were applied by padding and printing methods and the coatings were characterized in terms of SEM and FTIR. MCs display a spherical shape with size between 2 and 8 μm with an average wall thickness of 0.5 μm. The MCs applied by printing and pretreated with a plasma dosage of 1.6 kW m2 min-1 showed the best results with an increased adhesion of 200% and significant penetration of MCs into the fibres network. Plasma printed fabric retained 230% more MCs than untreated fabric after 10 washing cycles. However, the coating resistance between unwashed and washed samples was only improved by 5%. Considering the fact that no binder or crosslinking agents were used, the DBD plasma-assisted deposition of MCs revealed to be a promising environmental safe and low cost coating technology.

  17. Inactivation of Gram-Negative Bacteria by Low-Pressure RF Remote Plasma Excited in N2-O2 Mixture and SF6 Gases

    Directory of Open Access Journals (Sweden)

    Ayman Al-Mariri

    2013-12-01

    Full Text Available The role of low-pressure RF plasma in the inactivation of Escherichia coli O157, Klebsiella pneumoniae, Proteus mirabilis, and Enterobacter sakazakii using N2-O2 and SF6 gases was assessed. 1×109 colony-forming units (CFUs of each bacterial isolate were placed on three polymer foils. The effects of pressure, power, distance from the source, and exposure time to plasma gases were optimized. The best conditions to inactivate the four bacteria were a 91%N2-9%O2 mixture and a 30-minute exposure time. SF6 gas was more efficient for all the tested isolates in as much as the treatment time was reduced to only three minutes. Therefore, low-pressure plasma could be used to sterilize heat and/or moisture-sensitive medical instruments.

  18. Fast screening of analytes for chemical reactions by reactive low-temperature plasma ionization mass spectrometry.

    Science.gov (United States)

    Zhang, Wei; Huang, Guangming

    2015-11-15

    Approaches for analyte screening have been used to aid in the fine-tuning of chemical reactions. Herein, we present a simple and straightforward analyte screening method for chemical reactions via reactive low-temperature plasma ionization mass spectrometry (reactive LTP-MS). Solution-phase reagents deposited on sample substrates were desorbed into the vapor phase by action of the LTP and by thermal desorption. Treated with LTP, both reagents reacted through a vapor phase ion/molecule reaction to generate the product. Finally, protonated reagents and products were identified by LTP-MS. Reaction products from imine formation reaction, Eschweiler-Clarke methylation and the Eberlin reaction were detected via reactive LTP-MS. Products from the imine formation reaction with reagents substituted with different functional groups (26 out of 28 trials) were successfully screened in a time of 30 s each. Besides, two short-lived reactive intermediates of Eschweiler-Clarke methylation were also detected. LTP in this study serves both as an ambient ionization source for analyte identification (including reagents, intermediates and products) and as a means to produce reagent ions to assist gas-phase ion/molecule reactions. The present reactive LTP-MS method enables fast screening for several analytes from several chemical reactions, which possesses good reagent compatibility and the potential to perform high-throughput analyte screening. In addition, with the detection of various reactive intermediates (intermediates I and II of Eschweiler-Clarke methylation), the present method would also contribute to revealing and elucidating reaction mechanisms. Copyright © 2015 John Wiley & Sons, Ltd.

  19. Effect of low-frequency power on dual-frequency capacitively coupled plasmas

    International Nuclear Information System (INIS)

    Yuan, Q H; Xin, Y; Huang, X J; Sun, K; Ning, Z Y; Yin, G Q

    2008-01-01

    In low-pressure dual-frequency capacitively coupled plasmas driven with 60/13.56 MHz, the effect of low-frequency power on the plasma characteristics was investigated using a compensated Langmuir electrostatic probe. At lower pressures (about 10 mTorr), it was possible to control the plasma density and the ion bombardment energy independently. As the pressure increased, this independent control could not be achieved. As the low-frequency power increased for the fixed high-frequency power, the electron energy probability function (EEPF) changed from Druyvesteyn-like to Maxwellian-like at pressures of 50 mTorr and higher, along with a drop in electron temperature. The plasma parameters were calculated and compared with simulation results.

  20. Polydiagnostic calibration performed on a low pressure surface wave sustained argon plasma

    International Nuclear Information System (INIS)

    Vries, N de; Iordanova, E I; Van Veldhuizen, E M; Mullen, J J A M van der; Palomares, J M

    2008-01-01

    The electron density and electron temperature of a low pressure surface wave sustained argon plasma have been determined using passive and active (laser) spectroscopic methods simultaneously. In this way the validity of the various techniques is established while the plasma properties are determined more precisely. The electron density, n e , is determined with Thomson scattering (TS), absolute continuum measurements, Stark broadening and an extrapolation of the atomic state distribution function (ASDF). The electron temperature, T e , is obtained using TS and absolute line intensity (ALI) measurements combined with a collisional-radiative (CR) model for argon. At an argon pressure of 15 mbar, the n e values obtained with TS and Stark broadening agree with each other within the error bars and are equal to (4 ± 0.5) x 10 19 m -3 , whereas the n e value (2 ± 0.5) x 10 19 m -3 obtained from the continuum is about 30% lower. This suggests that the used formula and cross-section values for the continuum method have to be reconsidered. The electron density determined by means of extrapolation of the ASDF to the continuum is too high (∼10 20 m -3 ). This is most probably related to the fact that the plasma is strongly ionizing so that the extrapolation method is not justified. At 15 mbar, the T e values obtained with TS are equal to 13 400 ± 1100 K while the ALI/CR-model yields an electron temperature that is about 10% lower. It can be concluded that the passive results are in good or fair agreement with the active results. Therefore, the calibrated passive methods can be applied to other plasmas in a similar regime for which active diagnostic techniques cannot be used.

  1. Polydiagnostic calibration performed on a low pressure surface wave sustained argon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Vries, N de; Iordanova, E I; Van Veldhuizen, E M; Mullen, J J A M van der [Department of Applied Physics, Eindhoven University of Technology, PO Box 513, 5600 MB Eindhoven (Netherlands); Palomares, J M [Departamento de Fisica, Universidad de Cordoba, Campus de Rabanales, ed. C-2, 14071 Cordoba (Spain)], E-mail: j.j.a.m.v.d.Mullen@tue.nl

    2008-10-21

    The electron density and electron temperature of a low pressure surface wave sustained argon plasma have been determined using passive and active (laser) spectroscopic methods simultaneously. In this way the validity of the various techniques is established while the plasma properties are determined more precisely. The electron density, n{sub e}, is determined with Thomson scattering (TS), absolute continuum measurements, Stark broadening and an extrapolation of the atomic state distribution function (ASDF). The electron temperature, T{sub e}, is obtained using TS and absolute line intensity (ALI) measurements combined with a collisional-radiative (CR) model for argon. At an argon pressure of 15 mbar, the n{sub e} values obtained with TS and Stark broadening agree with each other within the error bars and are equal to (4 {+-} 0.5) x 10{sup 19} m{sup -3}, whereas the n{sub e} value (2 {+-} 0.5) x 10{sup 19} m{sup -3} obtained from the continuum is about 30% lower. This suggests that the used formula and cross-section values for the continuum method have to be reconsidered. The electron density determined by means of extrapolation of the ASDF to the continuum is too high ({approx}10{sup 20} m{sup -3}). This is most probably related to the fact that the plasma is strongly ionizing so that the extrapolation method is not justified. At 15 mbar, the T{sub e} values obtained with TS are equal to 13 400 {+-} 1100 K while the ALI/CR-model yields an electron temperature that is about 10% lower. It can be concluded that the passive results are in good or fair agreement with the active results. Therefore, the calibrated passive methods can be applied to other plasmas in a similar regime for which active diagnostic techniques cannot be used.

  2. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  5. Observations of nonlinear behaviour in a low-pressure discharge column

    International Nuclear Information System (INIS)

    Cartier, S.L.; Merlino, R.L.

    1984-01-01

    Sudden and abrupt jumps in the plasma density and discharge current of low-pressure magnetized argon and helium plasmas are observed. These jumps are found to depend on the discharge bias voltage, the neutral gas pressure, and the magnetic field strength and occur with a substantial hysteresis in those parameters. These jumps are accompanied by the onset of intense and coherent low-frequency plasma oscillations. In addition, under certain conditions, the radial density profile of the plasma is found to be significantly different following a jump. Some possibly related plasma instabilities are discussed

  6. Plasma Assisted Ignition and Combustion at Low Initial Gas Temperatures: Development of Kinetic Mechanism

    Science.gov (United States)

    2016-10-05

    R and Pouvesle J M 2009 Experimental study of a compact nanosecond plasma gun Plasma Processes and Polymers 6 795—802 [11] Heinlin J, Morfill G...radially symmetrical geometry. The thickness of the plasma layer in the direction perpendicular to the dielectric plane is about 1 mm. The central coaxial ...Positive and negative polarity discharge at elevated pres- sures Discharge in coaxial geometry has been developed for plasma assisted ignition at high

  7. Diffusion in plasma: The Hall effect, compositional waves, and chemical spots

    Energy Technology Data Exchange (ETDEWEB)

    Urpin, V., E-mail: Vadim.urpin@uv.es [Ioffe Institute of Physics and Technology (Russian Federation)

    2017-03-15

    Diffusion caused by a combined influence of the electric current and Hall effect is considered, and it is argued that such diffusion can form inhomogeneities of a chemical composition in plasma. The considered mechanism can be responsible for the formation of element spots in laboratory and astrophysical plasmas. This current-driven diffusion can be accompanied by propagation of a particular type of waves in which the impurity number density oscillates alone. These compositional waves exist if the magnetic pressure in plasma is much greater than the gas pressure.

  8. Photon-assisted Beam Probes for Low Temperature Plasmas and Installation of Neutral Beam Probe in Helimak

    Science.gov (United States)

    Garcia de Gorordo, Alvaro; Hallock, Gary A.; Kandadai, Nirmala

    2008-11-01

    The Heavy Ion Beam Probe (HIBP) diagnostic has successfully measured the electric potential in a number of major plasma devices in the fusion community. In contrast to a Langmuir probe, the HIBP measures the exact electric potential rather than the floating potential. It is also has the advantage of being a very nonperturbing diagnostic. We propose a new photon-assisted beam probe technique that would extend the HIBP type of diagnostics into the low temperature plasma regime. We expect this method to probe plasmas colder than 10 eV. The novelty of the proposed diagnostic is a VUV laser that ionizes the probing particle. Excimer lasers produce the pulsed VUV radiation needed. The lasers on the market don't have a short enough wavelength too ionize any ion directly and so we calculate the population density of excited states in a NLTE plasma. These new photo-ionization techniques can take an instantaneous one-dimensional potential measurement of a plasma and are ideal for nonmagnitized plasmas where continuous time resolution is not required. Also the status of the Neutral Beam Probe installation on the Helimak experiment will be presented.

  9. Effect of plasma colloid osmotic pressure on intraocular pressure during haemodialysis

    OpenAIRE

    Tokuyama, T.; Ikeda, T.; Sato, K.

    1998-01-01

    BACKGROUND—In a previous case report, it was shown that an increase in plasma colloid osmotic pressure induced by the removal of fluid during haemodialysis was instrumental in decreasing intraocular pressure. The relation between changes in intraocular pressure, plasma osmolarity, plasma colloid osmotic pressure, and body weight before and after haemodialysis is evaluated.
METHODS—Intraocular pressure, plasma osmolarity, plasma colloid osmotic pressure, and body weight were evaluated before a...

  10. Sterilization and decontamination of surfaces using atmospheric pressure plasma discharges

    Energy Technology Data Exchange (ETDEWEB)

    Garate, E.; Gornostaeva, O.; Alexeff, I.; Kang, W.L.

    1999-07-01

    The goal of the program is to demonstrate that an atmospheric pressure plasma discharge can rapidly and effectively sterilize or decontaminate surfaces that are contaminated with model biological and chemical warfare agents. The plasma is produced by corona discharge from an array of pins and a ground plane. The array is constructed so that various gases, like argon or helium, can be flowed past the pins where the discharge is initiated. The pin array can be biased using either DC. AC or pulsed discharges. the work done to date has focused on the sterilization of aluminum, polished steel and tantalum foil metal coupons, about 2 cm on a side and 2 mm thick, which have been inoculated with up to 10{sup 6} spores per coupon of Bacillus subtilis var niger or Bascillus stearothermorphilus. Results indicate that 5 minute exposures to the atmospheric pressure plasma discharge can reduce the viable spore count by 4 orders of magnitude. The atmospheric pressure discharge is also effective in decomposing organic phosphate compounds that are stimulants for chemical warfare agents. Details of the decomposition chemistry, by-product formation, and electrical energy consumption of the system will be discussed.

  11. Modeling of Plasma Assisted Combustion

    Science.gov (United States)

    Akashi, Haruaki

    2012-10-01

    Recently, many experimental study of plasma-assisted combustion has been done. However, numerous complex reactions in combustion of hydrocarbons are preventing from theoritical study for clarifying inside the plasma-assisted combustion, and the effect of plasma-assist is still not understood. Shinohara and Sasaki [1,2] have reported that the shortening of flame length by irradiating microwave without increase of gas temperature. And they also reported that the same phenomena would occur when applying dielectric barrier discharges to the flame using simple hydrocarbon, methane. It is suggested that these phenomena may result by the electron heating. To clarify this phenomena, electron behavior under microwave and DBD was examined. For the first step of DBD plasma-assisted combustion simulation, electron Monte Carlo simulation in methane, oxygen and argon mixture gas(0.05:0.14:0.81) [2] has been done. Electron swarm parameters are sampled and electron energy distribution function (EEDF)s are also determined. In the combustion, gas temperature is higher(>1700K), so reduced electric field E/N becomes relatively high(>10V/cm/Torr). The electrons are accelerated to around 14 eV. This result agree with the optical emission from argon obtained by the experiment of reference [2]. Dissociation frequency of methane and oxygens are obtained in high. This might be one of the effect of plasma-assist. And it is suggested that the electrons should be high enough to dissociate methane, but plasma is not needed.[4pt] [1] K. Shinohara et al, J. Phys. D:Appl. Phys., 42, 182008 (1-7) (2009).[0pt] [2] K. Sasaki, 64th Annual Gaseous Electronic Conference, 56, 15 CT3.00001(2011).

  12. Elemental analysis of bead samples using a laser-induced plasma at low pressure

    International Nuclear Information System (INIS)

    Lie, Tjung Jie; Kurniawan, Koo Hendrik; Kurniawan, Davy P.; Pardede, Marincan; Suliyanti, Maria Margaretha; Khumaeni, Ali; Natiq, Shouny A.; Abdulmadjid, Syahrun Nur; Lee, Yong Inn; Kagawa, Kiichiro; Idris, Nasrullah; Tjia, May On

    2006-01-01

    An Nd:YAG laser (1064 nm, 8 ns, 30 mJ) was focused on various types of fresh, fossilized white coral and giant shell samples, including samples of imitation shell and marble. Such samples are extremely important as material for preparing prayer beads that are extensively used in the Buddhist faith. The aim of this research was to develop a non-destructive method to distinguish original beads from their imitations by means of spectral measurements of the carbon, hydrogen, sodium and magnesium emission intensities and by measuring the hardness of the sample using the ratio between Ca (II) 396.8 nm and Ca (I) 422.6 nm. Based on these measurements, original fresh coral beads can be distinguished from any imitation made from hard wood. The same technique was also effective in distinguishing beads made of shell from its imitation. A spectral analysis of bead was also performed on a fossilized white coral sample and the result can be used to distinguish to some extent the fossilized white coral beads from any imitation made from marble. It was also found that the plasma plume should be generated at low ambient pressure to significantly improve the hydrogen and carbon emission intensity and also to avoid energy loss inside the crater during laser irradiation at atmospheric pressure. The results of this study confirm that operating the laser-induced plasma spectroscopy at reduced ambient pressure offers distinct advantage for bead analysis over the conventional laser-induced breakdown spectroscopy (LIBS) technique operated at atmospheric pressure

  13. Elemental analysis of bead samples using a laser-induced plasma at low pressure

    Energy Technology Data Exchange (ETDEWEB)

    Lie, Tjung Jie [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia); Kurniawan, Koo Hendrik [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia)]. E-mail: kurnia18@cbn.net.id; Kurniawan, Davy P. [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia); Pardede, Marincan [Research Center of Maju Makmur Mandiri Foundation, 40 Srengseng Raya, Kembangan, Jakarta Barat 11630 (Indonesia); Suliyanti, Maria Margaretha [Graduate Program in Opto Electrotechniques and Laser Applications, Faculty of Engineering, The University of Indonesia, 4 Salemba Raya, Jakarta 10430 (Indonesia); Khumaeni, Ali [Department of Physics, Faculty of Mathematics and Natural Sciences, Diponegoro University, Tembalang Campus, Semarang 50275 (Indonesia); Natiq, Shouny A. [Department of Physics, Faculty of Mathematics and Natural Sciences, Diponegoro University, Tembalang Campus, Semarang 50275 (Indonesia); Abdulmadjid, Syahrun Nur [Department of Physics, Faculty of Mathematics and Natural Sciences, Syiah Kuala University, Darussalam, Banda Aceh 23116 (Indonesia); Lee, Yong Inn [Physics Department, Chonbuk National University, Chonju 561-756, South Korea (Korea); Kagawa, Kiichiro [Department of Physics, Faculty of Education and Regional Studies, Fukui University, 9-1 bunkyo 3-chome, Fukui 910-8507 (Japan); Idris, Nasrullah [Department of Physics, Faculty of Education and Regional Studies, Fukui University, 9-1 bunkyo 3-chome, Fukui 910-8507 (Japan); Tjia, May On [Department of Physics, Faculty of Mathematics and Natural Sciences, Bandung Institute of Technology, 10 Ganesha, Bandung 40132 (Indonesia)

    2006-01-15

    An Nd:YAG laser (1064 nm, 8 ns, 30 mJ) was focused on various types of fresh, fossilized white coral and giant shell samples, including samples of imitation shell and marble. Such samples are extremely important as material for preparing prayer beads that are extensively used in the Buddhist faith. The aim of this research was to develop a non-destructive method to distinguish original beads from their imitations by means of spectral measurements of the carbon, hydrogen, sodium and magnesium emission intensities and by measuring the hardness of the sample using the ratio between Ca (II) 396.8 nm and Ca (I) 422.6 nm. Based on these measurements, original fresh coral beads can be distinguished from any imitation made from hard wood. The same technique was also effective in distinguishing beads made of shell from its imitation. A spectral analysis of bead was also performed on a fossilized white coral sample and the result can be used to distinguish to some extent the fossilized white coral beads from any imitation made from marble. It was also found that the plasma plume should be generated at low ambient pressure to significantly improve the hydrogen and carbon emission intensity and also to avoid energy loss inside the crater during laser irradiation at atmospheric pressure. The results of this study confirm that operating the laser-induced plasma spectroscopy at reduced ambient pressure offers distinct advantage for bead analysis over the conventional laser-induced breakdown spectroscopy (LIBS) technique operated at atmospheric pressure.

  14. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  15. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  16. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  17. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    Science.gov (United States)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  18. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  19. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  20. Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance

    Energy Technology Data Exchange (ETDEWEB)

    Marsik, Premysl, E-mail: marsik@physics.muni.c [UFKL, Masaryk University, Kotlarska 2, 61137 Brno (Czech Republic); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Urbanowicz, Adam M. [UFKL, Masaryk University, Kotlarska 2, 61137 Brno (Czech Republic); Verdonck, Patrick [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); De Roest, David; Sprey, Hessel [ASM Belgium, Kapeldreef 75, 3001 Leuven (Belgium); Baklanov, Mikhail R. [IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2011-03-31

    A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at {lambda} = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH{sub 3} plasma (used for the CuO{sub x} reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of Si-CH{sub 3} groups and their replacement with Si-H. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH{sub 3} plasma exposure is driven mainly by the film porosity.

  1. Gas chromatography interfaced with atmospheric pressure ionization-quadrupole time-of-flight-mass spectrometry by low-temperature plasma ionization

    DEFF Research Database (Denmark)

    Norgaard, Asger W.; Kofoed-Sorensen, Vivi; Svensmark, Bo

    2013-01-01

    A low temperature plasma (LTP) ionization interface between a gas chromatograph (GC) and an atmospheric pressure inlet mass spectrometer, was constructed. This enabled time-of-flight mass spectrometric detection of GC-eluting compounds. The performance of the setup was evaluated by injection...

  2. Atmospheric-pressure plasma technology

    International Nuclear Information System (INIS)

    Kogelschatz, U

    2004-01-01

    Major industrial plasma processes operating close to atmospheric pressure are discussed. Applications of thermal plasmas include electric arc furnaces and plasma torches for generation of powders, for spraying refractory materials, for cutting and welding and for destruction of hazardous waste. Other applications include miniature circuit breakers and electrical discharge machining. Non-equilibrium cold plasmas at atmospheric pressure are obtained in corona discharges used in electrostatic precipitators and in dielectric-barrier discharges used for generation of ozone, for pollution control and for surface treatment. More recent applications include UV excimer lamps, mercury-free fluorescent lamps and flat plasma displays

  3. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  4. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  5. Boundary-Layer Separation Control under Low-Pressure Turbine Airfoil Conditions using Glow-Discharge Plasma Actuators

    Science.gov (United States)

    Hultgren, Lennart S.; Ashpis, David E.

    2003-01-01

    transition. Gad-el-Hak provides a review of various techniques for flow control in general and Volino discusses recent studies on separation control under low-pressure-turbine conditions utilizing passive as well as active devices. As pointed out by Volino, passive devices optimized for separation control at low Reynolds numbers tend to increase losses at high Reynolds numbers, Active devices have the attractive feature that they can be utilized only in operational regimes where they are needed and when turned off would not affect the flow. The focus in the present paper is an experimental Separation is induced on a flat plate installed in a closed-circuit wind tunnel by a shaped insert on the opposite wall. The flow conditions represent flow over the suction surface of a modem low-pressure-turbine airfoil ('Pak-B'). The Reynolds number, based on wetted plate length and nominal exit velocity, is varied from 50,000 to 300,000, covering cruise to takeoff conditions. Low (0.2%) and high (2.5%) Gee-stream turbulence intensities are set using passive grids. A spanwise-oriented phased-plasma-array actuator, fabricated on a printed circuit board, is surface- flush-mounted upstream of the separation point and can provide forcing in a wide frequency range. Static surface pressure measurements and hot-wire anemometry of the base and controlled flows are performed and indicate that the glow-discharge plasma actuator is an effective device for separation control. of active separation control using glow discharge plasma actuators.

  6. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  7. International symposium on high pressure low temperature plasma chemistry. Contributed papers

    International Nuclear Information System (INIS)

    1998-01-01

    The proceedings contain the texts of 77 contributions, of which 31 contributions fall within the scope of the INIS database. The latter deal with various aspects of plasma behavior in pulsed electric discharges of various types, with the spectroscopic and probe diagnostics of a discharge plasma, and with the computer simulation of ionization and breakdown processes in the glow, corona, and arc discharges at atmospheric pressure. (J.U.)

  8. International symposium on high pressure low temperature plasma chemistry. Contributed papers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-06-01

    The proceedings contain the texts of 77 contributions, of which 31 contributions fall within the scope of the INIS database. The latter deal with various aspects of plasma behavior in pulsed electric discharges of various types, with the spectroscopic and probe diagnostics of a discharge plasma, and with the computer simulation of ionization and breakdown processes in the glow, corona, and arc discharges at atmospheric pressure. (J.U.).

  9. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  10. Marangoni flows induced by atmospheric-pressure plasma jets

    International Nuclear Information System (INIS)

    Berendsen, C W J; Van Veldhuizen, E M; Kroesen, G M W; Darhuber, A A

    2015-01-01

    We studied the interaction of atmospheric-pressure plasma jets of Ar or air with liquid films of an aliphatic hydrocarbon on moving solid substrates. The hydrodynamic jet-liquid interaction induces a track of lower film thickness. The chemical plasma-surface interaction oxidizes the liquid, leading to a local increase of the surface tension and a self-organized redistribution of the liquid film. We developed a numerical model that qualitatively reproduces the formation, instability and coarsening of the flow patterns observed in the experiments. Monitoring the liquid flow has potential as an in-situ, spatially and temporally resolved, diagnostic tool for the plasma-liquid surface interaction. (paper)

  11. Formation of electrostatic double-layers and electron-holes in a low pressure mercury plasma column

    International Nuclear Information System (INIS)

    Petraconi, G; Maciel, Homero S

    2003-01-01

    Experimental studies of the formation of electrostatic double layers (DLs) and electron-holes (e-holes) are reported. The measurements were performed in the positive column of a mercury arc discharge operating in the low-pressure range of (2.0-14.0) x 10 -2 Pa with current density in the range of (3.0-8.0) x 10 3 A m -2 . Stable and unstable modes of the discharge were identified as the current was gradually increased, keeping constant the vapour pressure. The discharge remains stable until a critical current from which a slight increase of the current leads to an unstable regime characterized by high discharge impedance and strong oscillations. This mode ceased after a DL was formed in the plasma column. To induce the DL formation and to transport it smoothly along the discharge column, a low intensity B-field (7-10) x 10 -3 T produced by a movable single coil was used. The B-field locally increases the electron current density and makes the DL form at the centre of the magnetic constriction where it remained at rest. Electrostatic potential structures compatible with ordinary DLs and multiple-layers could be formed in the plasma column by dealing with the combined effects of the operational parameters of the discharge. It is noticeable that a pure e-hole, which is a symmetric triple-layer having a bell shape potential profile, could easily be formed by means of this experimental technique. A partial kinetic description, based on the space charge structure derived from an experimental e-hole, is presented in order to infer the charged particle populations that could contribute to the space charge of the e-hole. Evidence is shown that strong e-hole formation might be driven by an ion beam, therefore it could not be formed in isolation since its formation requires a nearby ion accelerating potential structure. Probe measurements of the plasma properties, at various radial positions of the stable positive column, are also presented. In the stable mode, prior to

  12. Synthesis and characterization of carbon coated nanoparticles produced by a continuous low-pressure plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Panchal, Vineet; Neergat, Manoj [Indian Institute of Technology Bombay, Department of Energy Science and Engineering (India); Bhandarkar, Upendra, E-mail: bhandarkar@iitb.ac.in [Indian Institute of Technology Bombay, Department of Mechanical Engineering (India)

    2011-09-15

    Core-shell nanoparticles coated with carbon have been synthesized in a single chamber using a continuous and entirely low-pressure plasma-based process. Nanoparticles are formed in an argon plasma using iron pentacarbonyl Fe(CO){sub 5} as a precursor. These particles are trapped in a pure argon plasma by shutting off the precursor and then coated with carbon by passing acetylene along with argon as the main background gas. Characterization of the particles was carried out using TEM for morphology, XPS for elemental composition and PPMS for magnetic properties. Iron nanoparticles obtained were a mixture of FeO and Fe{sub 3}O{sub 4}. TEM analysis shows an average size of 7-14 nm for uncoated particles and 15-24 nm for coated particles. The effect of the carbon coating on magnetic properties of the nanoparticles is studied in detail.

  13. Development of bio/blood compatible polypropylene through low pressure nitrogen plasma surface modification

    International Nuclear Information System (INIS)

    Gomathi, N.; Rajasekar, R.; Babu, R. Rajesh; Mishra, Debasish; Neogi, S.

    2012-01-01

    Surface modification of polypropylene by nitrogen containing plasma was performed in this work in order to improve the wettability which resulted in enhanced biocompatibility and blood compatibility. Various nitrogen containing functional groups as well as oxygen containing functional groups were found to be incorporated to the polymer surface during plasma treatment and post plasma reaction respectively. Wettability of the polymers was evaluated by static contact angle measurement to show the improvement in hydrophilicity of plasma treated polypropylene. Cross linking and surface modification were reported to be dominating in the case of nitrogen plasma treatment compared to degradation. The effect of various process variables namely power, pressure, flow rate and treatment time on surface energy and weight loss was studied at various levels according to the central composite design of response surface methodology (RSM). Except pressure the other variables resulted in increased weight loss due to etching whereas with increasing pressure weight loss was found to increase and then decrease. The effect of process variables on surface morphology of polymers was evaluated by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). Well spread fibroblast cells on nitrogen plasma treated polypropylene due to the presence of CO, NH 2+ and NH + was observed. Reduced platelet adhesion and increased partial thromboplastin time evidenced the increased blood compatibility. - Highlights: ► Improved biocompatibility and blood compatibility of polypropylene. ► Nitrogen plasma surface modification. ► Maintaining a balance between polar group incorporation and weight loss due to etching. ► Optimization of process conditions by response surface methodology.

  14. Plasma-Assisted Co-evaporation of S and Se for Wide Band Gap Chalcopyrite Photovoltaics: Final Subcontract Report, December 2001 -- April 2005

    Energy Technology Data Exchange (ETDEWEB)

    Repins, I.; Wolden, C.

    2005-08-01

    In this work, ITN Energy Systems (ITN) and lower-tier subcontractor Colorado School of Mines (CSM) explore the replacement of the molecular chalcogen precursors during deposition (e.g., Se2 or H2Se) with more reactive chalcogen monomers or radicals (e.g., Se). Molecular species are converted to atomic species in a low-pressure inductively coupled plasma (ICP). This program explored the use of plasma-activated chalcogen sources in CIGS co-evaporation to lower CIGS deposition temperature, increase utilization, increase deposition rate, and improve S:Se stoichiometry control. Plasma activation sources were designed and built, then operated and characterized over a wide range of conditions. Optical emission and mass spectrometry data show that chalcogens are effectively dissociated in the plasma. The enhanced reactivity achieved by the plasma processing was demonstrated by conversion of pre-deposited metal films to respective chalcogen-containing phases at low temperature and low chalcogen flux. The plasma-assisted co-evaporation (PACE) sources were also implemented in CIGS co-evaporation. No benefit from PACE was observed in device results, and frequent deposition failures occurred.

  15. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  16. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  17. Temporal evolution of electron density in a low pressure pulsed two-frequency (60 MHz/2 MHz) capacitively coupled plasma discharge

    International Nuclear Information System (INIS)

    Sirse, N; Ellingboe, A R; Jeon, M H; Yeom, G Y

    2014-01-01

    Time-resolved electron density, n e , is measured in a low pressure pulsed two-frequency capacitively coupled plasma discharge sustained in Ar and in Ar/CF 4 /O 2 (80 : 10 : 10) gas mixture using a floating resonance hairpin probe. The top electrode is powered by 60 MHz in pulse mode and the bottom electrode is powered by 2 MHz in continuous wave mode. The dependence of time-resolved n e on the low frequency (LF) and high frequency (HF) power levels, operating gas pressure, pulse repetition frequency (PRF) and duty cycle are investigated. It is found that the steady state n e in the long on-phase is greatly influenced by the HF power level and slightly affected by the LF power level in both Ar and Ar/CF 4 /O 2 plasma. The decay time of n e is slow (∼30–90 µs) in the case of Ar plasma and strongly depends on the LF power level, whereas in the case of Ar/CF 4 /O 2 gas mixture it is very fast (∼15 µs) and marginally dependent on LF power level. In Ar plasma the steady state n e is increasing with a rise in operating gas pressure, however, in Ar/CF 4 /O 2 plasma it first increases with gas pressure reaching to the maximum (at 20 mTorr) value and then decreases. The pressure dependence of decay time constant mimics the pressure variation of steady state n e . Furthermore, it is observed that the on-phase electron density is greatly affected by changing the PRF and duty cycle. This effect is more prominent in Ar/CF 4 /O 2 plasma when compared to Ar discharge. In addition, n e is observed to overshoot the steady state densities in the beginning of the on-phase in Ar/CF 4 /O 2 gas mixture, but this effect is either small or absent in the case of Ar plasma. (paper)

  18. The influence of surface microstructure and chemical composition on corrosion behaviour in fuel-grade bio-ethanol of low-alloy steel modified by plasma nitro-carburizing and post-oxidizing

    Science.gov (United States)

    Boniatti, Rosiana; Bandeira, Aline L.; Crespi, Ângela E.; Aguzzoli, Cesar; Baumvol, Israel J. R.; Figueroa, Carlos A.

    2013-09-01

    The interaction of bio-ethanol on steel surfaces modified by plasma-assisted diffusion technologies is studied for the first time. The influence of surface microstructure and chemical composition on corrosion behaviour of AISI 4140 low-alloy steel in fuel-grade bio-ethanol was investigated. The steel surfaces were modified by plasma nitro-carburizing followed plasma oxidizing. X-ray diffraction, scanning electron microscopy, optical microscopy, X-ray dispersive spectroscopy, and glow-discharge optical emission spectroscopy were used to characterize the modified surface before and after immersion tests in bio-ethanol up to 77 days. The main corrosion mechanism is pit formation. The pit density and pit size were measured in order to quantify the corrosion resistance which was found to depend more strongly on microstructure and morphology of the oxide layer than on its thickness. The best corrosion protection was observed for samples post-oxidized at 480 °C and 90 min.

  19. The influence of surface microstructure and chemical composition on corrosion behaviour in fuel-grade bio-ethanol of low-alloy steel modified by plasma nitro-carburizing and post-oxidizing

    International Nuclear Information System (INIS)

    Boniatti, Rosiana; Bandeira, Aline L.; Crespi, Ângela E.; Aguzzoli, Cesar; Baumvol, Israel J.R.; Figueroa, Carlos A.

    2013-01-01

    The interaction of bio-ethanol on steel surfaces modified by plasma-assisted diffusion technologies is studied for the first time. The influence of surface microstructure and chemical composition on corrosion behaviour of AISI 4140 low-alloy steel in fuel-grade bio-ethanol was investigated. The steel surfaces were modified by plasma nitro-carburizing followed plasma oxidizing. X-ray diffraction, scanning electron microscopy, optical microscopy, X-ray dispersive spectroscopy, and glow-discharge optical emission spectroscopy were used to characterize the modified surface before and after immersion tests in bio-ethanol up to 77 days. The main corrosion mechanism is pit formation. The pit density and pit size were measured in order to quantify the corrosion resistance which was found to depend more strongly on microstructure and morphology of the oxide layer than on its thickness. The best corrosion protection was observed for samples post-oxidized at 480 °C and 90 min.

  20. In-situ monitoring of etching of bovine serum albumin using low-temperature atmospheric plasma jet

    Science.gov (United States)

    Kousal, J.; Shelemin, A.; Kylián, O.; Slavínská, D.; Biederman, H.

    2017-01-01

    Bio-decontamination of surfaces by means of atmospheric pressure plasma is nowadays extensively studied as it represents promising alternative to commonly used sterilization/decontamination techniques. The non-equilibrium atmospheric pressure plasmas were already reported to be highly effective in removal of a wide range of biological residual from surfaces. Nevertheless the kinetics of removal of biological contamination from surfaces is still not well understood as the majority of performed studies were based on ex-situ evaluation of etching rates, which did not allow investigating details of plasma action on biomolecules. This study therefore presents a real-time, in-situ ellipsometric characterization of removal of bovine serum albumin (BSA) from surfaces by low-temperature atmospheric plasma jet operated in argon. Non-linear and at shorter distances between treated samples and nozzle of the plasma jet also non-monotonic dependence of the removal rate on the treatment duration was observed. According to additional measurements focused on the determination of chemical changes of treated BSA as well as temperature measurements, the observed behavior is most likely connected with two opposing effects: the formation of a thin layer on the top of BSA deposit enriched in inorganic compounds, whose presence causes a gradual decrease of removal efficiency, and slight heating of BSA that facilitates its degradation and volatilization induced by chemically active radicals produced by the plasma.

  1. Remote plasma-assisted nitridation (RPN): applications to Zr and Hf silicate alloys and Al2O3

    International Nuclear Information System (INIS)

    Hinkle, Chris; Lucovsky, Gerry

    2003-01-01

    Remote plasma-assisted nitridation or RPN is demonstrated to be a processing pathway for nitridation of Zr and Hf silicate alloys, and for Al 2 O 3 , as well. The dependence of nitrogen incorporation on the process pressure is qualitatively similar to what has been reported for the plasma-assisted nitridation of SiO 2 , the lower the process pressure the greater the nitrogen incorporation in the film. The increased incorporation of nitrogen has been correlated with the penetration of the plasma-glow into the process chamber, and the accompanying increase in the concentration of N 2 + ions that participate in the reactions leading to bulk incorporation. The nitrogen incorporation as been studied by Auger electron spectroscopy (AES), secondary ion mass spectrometry (SIMS) and X-ray absorption spectroscopy (XAS)

  2. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  3. Low-pressure

    Energy Technology Data Exchange (ETDEWEB)

    Baker, Richard [Membrane Technology And Research, Inc., Newark, CA (United States); Kniep, Jay [Membrane Technology And Research, Inc., Newark, CA (United States); Hao, Pingjiao [Membrane Technology And Research, Inc., Newark, CA (United States); Chan, Chi Cheng [Membrane Technology And Research, Inc., Newark, CA (United States); Nguyen, Vincent [Membrane Technology And Research, Inc., Newark, CA (United States); Huang, Ivy [Membrane Technology And Research, Inc., Newark, CA (United States); Amo, Karl [Membrane Technology And Research, Inc., Newark, CA (United States); Freeman, Brice [Membrane Technology And Research, Inc., Newark, CA (United States); Fulton, Don [Membrane Technology And Research, Inc., Newark, CA (United States); Ly, Jennifer [Membrane Technology And Research, Inc., Newark, CA (United States); Lipscomb, Glenn [Membrane Technology And Research, Inc., Newark, CA (United States); Lou, Yuecun [Membrane Technology And Research, Inc., Newark, CA (United States); Gogar, Ravikumar [Membrane Technology And Research, Inc., Newark, CA (United States)

    2015-01-29

    This final technical progress report describes work conducted by Membrane Technology and Research, Inc. (MTR) for the Department of Energy (DOE NETL) on development of low-pressure membrane contactors for carbon dioxide (CO2) capture from power plant flue gas (award number DE-FE0007553). The work was conducted from October 1, 2011 through September 30, 2014. The overall goal of this three-year project was to build and operate a prototype 500 m2 low-pressure sweep membrane module specifically designed to separate CO2 from coal-fired power plant flue gas. MTR was assisted in this project by a research group at the University of Toledo, which contributed to the computational fluid dynamics (CFD) analysis of module design and process simulation. This report details the work conducted to develop a new type of membrane contactor specifically designed for the high-gas-flow, low-pressure, countercurrent sweep operation required for affordable membrane-based CO2 capture at coal power plants. Work for this project included module development and testing, design and assembly of a large membrane module test unit at MTR, CFD comparative analysis of cross-flow, countercurrent, and novel partial-countercurrent sweep membrane module designs, CFD analysis of membrane spacers, design and fabrication of a 500 m2 membrane module skid for field tests, a detailed performance and cost analysis of the MTR CO2 capture process with low-pressure sweep modules, and a process design analysis of a membrane-hybrid separation process for CO2 removal from coal-fired flue gas. Key results for each major task are discussed in the report.

  4. Atmospheric-pressure-plasma-enhanced fabrication of nonfouling nanocoatings for 316 stainless steel biomaterial interfaces

    Science.gov (United States)

    Huang, Chun; Lin, Jin-He; Li, Chi-Heng; Yu, I.-Chun; Chen, Ting-Lun

    2018-03-01

    Atmospheric-pressure plasma, which was generated with electrical RF power, was fed to a tetramethyldisiloxane/argon gas mixture to prepare bioinert organosilicon coatings for 316 stainless steel. The surface characteristics of atmospheric-pressure-plasma-deposited nanocoatings were evaluated as a function of RF plasma power, precursor gas flow, and plasma working distance. After surface deposition, the chemical features, elemental compositions, and surface morphologies of the organosilicon nanocoatings were examined. It was found that RF plasma power and plasma working distance are the essential factors that affect the formation of plasma-deposited nanocoatings. Fourier transform infrared spectroscopy spectra indicate that the atmospheric-pressure-plasma-deposited nanocoatings formed showed inorganic features. Atomic force microscopy analysis showed the surface roughness variation of the plasma-deposited nanocoating at different RF plasma powers and plasma working distances during surface treatment. From these surface analyses, it was found that the plasma-deposited organosilicon nanocoatings under specific operational conditions have relatively hydrophobic and inorganic characteristics, which are essential for producing an anti-biofouling interface on 316 stainless steel. The experimental results also show that atmospheric-pressure-plasma-deposited nanocoatings have potential use as a cell-resistant layer on 316 stainless steel.

  5. Discharge physics and chemistry of a novel atmospheric pressure plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.; Henins, I.; Hermann, J.W.; Selwyn, G.S.; Jeong, J.Y.; Hickis, R.

    1999-07-01

    The atmospheric pressure plasma jet (APPJ) is a unique plasma source operating at atmospheric pressure. The APPJ operates with RF power and produces a stable non-thermal discharge in capacitively-coupled configuration. The discharge is spatially and temporally homogeneous and provides a unique gas phase chemistry that is well suited for various applications including etching, film deposition, surface treatment and decontamination of chemical and biological warfare (CBW) agents. A theoretical model shows electron densities of 0.2--2 x 10{sup 11} cm{sup {minus}3} for a helium discharge at a power level of 3--30 W cm{sup {minus}3}. The APPJ also produces a large flux, equivalent of up to 10,000 monolayer s{sup {minus}1}, of chemically-active, atomic and metastable molecular species which can impinge surfaces several cm downstream of the confined source. In addition, the efforts are in progress to measure the electron density using microwave diagnostics and to benchmark the gas phase chemical model by using LIF and titration.

  6. Amine Enrichment of Thin-Film Composite Membranes via Low Pressure Plasma Polymerization for Antimicrobial Adhesion.

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F; He, Li; She, Fenghua; Orbell, John D; Winther-Jensen, Bjorn; Duke, Mikel C

    2015-07-15

    Thin-film composite membranes, primarily based on poly(amide) (PA) semipermeable materials, are nowadays the dominant technology used in pressure driven water desalination systems. Despite offering superior water permeation and salt selectivity, their surface properties, such as their charge and roughness, cannot be extensively tuned due to the intrinsic fabrication process of the membranes by interfacial polymerization. The alteration of these properties would lead to a better control of the materials surface zeta potential, which is critical to finely tune selectivity and enhance the membrane materials stability when exposed to complex industrial waste streams. Low pressure plasma was employed to introduce amine functionalities onto the PA surface of commercially available thin-film composite (TFC) membranes. Morphological changes after plasma polymerization were analyzed by SEM and AFM, and average surface roughness decreased by 29%. Amine enrichment provided isoelectric point changes from pH 3.7 to 5.2 for 5 to 15 min of plasma polymerization time. Synchrotron FTIR mappings of the amine-modified surface indicated the addition of a discrete 60 nm film to the PA layer. Furthermore, metal affinity was confirmed by the enhanced binding of silver to the modified surface, supported by an increased antimicrobial functionality with demonstrable elimination of E. coli growth. Essential salt rejection was shown minimally compromised for faster polymerization processes. Plasma polymerization is therefore a viable route to producing functional amine enriched thin-film composite PA membrane surfaces.

  7. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  8. Design and development of a low cost, high current density power supply for streamer free atmospheric pressure DBD plasma generation in air.

    Science.gov (United States)

    Jain, Vishal; Visani, Anand; Srinivasan, R; Agarwal, Vivek

    2018-03-01

    This paper presents a new power supply architecture for generating a uniform dielectric barrier discharge (DBD) plasma in air medium at atmospheric pressure. It is quite a challenge to generate atmospheric pressure uniform glow discharge plasma, especially in air. This is because air plasma needs very high voltage for initiation of discharge. If the high voltage is used along with high current density, it leads to the formation of streamers, which is undesirable for most applications like textile treatment, etc. Researchers have tried to generate high-density plasma using a RF source, nanosecond pulsed DC source, and medium frequency AC source. However, these solutions suffer from low current discharge and low efficiency due to the addition of an external resistor to control the discharge current. Moreover, they are relatively costly and bulky. This paper presents a new power supply configuration which is very compact and generates high average density (∼0.28 W/cm 2 ) uniform glow DBD plasma in air at atmospheric pressure. The efficiency is also higher as no external resistor is required to control the discharge current. An inherent feature of this topology is that it can drive higher current oscillations (∼50 A peak and 2-3 MHz frequency) into the plasma that damp out due to the plasma dissipation only. A newly proposed model has been used with experimental validation in this paper. Simulations and experimental validation of the proposed topology are included. Also, the application of the generated plasma for polymer film treatment is demonstrated.

  9. Modification of the surface properties of glass-ceramic materials at low-pressure RF plasma stream

    Science.gov (United States)

    Tovstopyat, Alexander; Gafarov, Ildar; Galeev, Vadim; Azarova, Valentina; Golyaeva, Anastasia

    2018-05-01

    The surface roughness has a huge effect on the mechanical, optical, and electronic properties of materials. In modern optical systems, the specifications for the surface accuracy and smoothness of substrates are becoming even more stringent. Commercially available pre-polished glass-ceramic substrates were treated with the radio frequency (RF) inductively coupled (13.56 MHz) low-pressure plasma to clean the surface of the samples and decrease the roughness. Optical emission spectroscopy was used to investigate the plasma stream parameters and phase-shifted interferometry to investigate the surface of the specimen. In this work, the dependence of RF inductively coupled plasma on macroscopic parameters was investigated with the focus on improving the surfaces. The ion energy, sputtering rate, and homogeneity were investigated. The improvements of the glass-ceramic surfaces from 2.6 to 2.2 Å root mean square by removing the "waste" after the previous operations had been achieved.

  10. Comment on 'The equations for electronegative plasmas are not singular at the plasma centre at low pressures' by R N Franklin

    International Nuclear Information System (INIS)

    Lampe, Martin; Manheimer, Wallace M; Fernsler, Richard F; Slinker, Steven P; Joyce, Glenn

    2006-01-01

    Franklin's criticism (Franklin R N 2005 J. Phys. D: Appl. Phys. 38 2790) of our previous paper (Lampe M et al 2004 Plasma Sources Sci. Technol. 13 15-26) is based on three arguments: (1) that the limit of weak attachment is equivalent to low pressure, where our model is inappropriate; (2) that our use of the T n → 0 limit is inappropriate; (3) that the negative ion density n n is never singular at the centre. We point out that the weak attachment limit also corresponds (at high pressure) to low fraction of attaching gas, give conditions for the T n → 0 limit and discuss its consequences, and reiterate that we never argued that n n (0) is infinite, but rather discussed a quite different type of singularity. This correspondence is now closed. (comment)

  11. Atmospheric pressure plasma polymerization of 1,3-butadiene for hydrophobic finishing of textile substrates

    International Nuclear Information System (INIS)

    Samanta, Kartick K; Jassal, Manjeet; Agrawal, Ashwini K

    2010-01-01

    Atmospheric pressure plasma processing of textile has both ecological and economical advantages over the wet-chemical processing. However, reaction in atmospheric pressure plasma has important challenges to be overcome before it can be successfully used for finishing applications in textile. These challenges are (i) generating stable glow plasma in presence liquid/gaseous monomer, and (ii) keeping the generated radicals active in the presence of contaminants such as oxygen and air. In this study, a stable glow plasma was generated at atmospheric pressure in the mixture of gaseous reactive monomer-1,3-butadiene and He and was made to react with cellulosic textile substrate. After 12 min of plasma treatment, the hydrophilic surface of the cellulosic substrate turned into highly hydrophobic surface. The hydrophobic finish was found to be durable to soap washing. After soap washing, a water drop of 37 μl took around 250 s to get absorbed in the treated sample compared to 0 . Both top and bottom sides of the fabric showed similar hydrophobic results in terms of water absorbency and contact angle. The results may be attributed to chemical reaction of butadiene with the cellulosic textile substrate. The surface characterization of the plasma modified samples under SEM and AFM revealed modification of the surface under <100 nm. The results showed that atmospheric pressure plasma can be successfully used for carrying out reaction of 1,3-butadiene with cellulosic textile substrates for producing hydrophobic surface finish.

  12. Generating of low energy intensive ion streams in conditions of low pressure

    International Nuclear Information System (INIS)

    Zinoviev, D.V.; Tseluyko, A.F.; Chunadra, A.G.; Yunakov, N.N.

    2000-01-01

    In the work the method of forming of low energy ion streams near the sample surface with separating the generation area of plasma and the acceleration area of ion is offered.It allows to lower pressure in acceleration area essentially (0.01 Pa and below).The separating of the areas takes place at the expense of vacuum resistance in a plasma generating device.The dependence of plasma parameters on exterior parameters of the device is determined and the way of the further decreasing of working pressure in the modification area up to 10 -3 - 10 -4 Pa are shown

  13. Ultrasonic nebulization extraction/low pressure photoionization mass spectrometry for direct analysis of chemicals in matrices.

    Science.gov (United States)

    Liu, Chengyuan; Zhu, Yanan; Zhou, Zhongyue; Yang, Jiuzhong; Qi, Fei; Pan, Yang

    2015-09-03

    A novel ultrasonic nebulization extraction/low-pressure photoionization (UNE-LPPI) system has been designed and employed for the rapid mass spectrometric analysis of chemicals in matrices. An ultrasonic nebulizer was used to extract the chemicals in solid sample and nebulize the solvent in the nebulization cell. Aerosols formed by ultrasonic were evaporated by passing through a transferring tube, and desolvated chemicals were ionized by the emitted light (10.6 eV) from a Krypton discharge lamp at low pressure (∼68 Pa). First, a series of semi/non-volatile compounds with different polarities, such as polycyclic aromatic hydrocarbons (PAHs), amino acids, dipeptides, drugs, nucleic acids, alkaloids, and steroids were used to test the system. Then, the quantification capability of UNE-LPPI was checked with: 1) pure chemicals, such as 9,10-phenanthrenequinone and 1,4-naphthoquinone dissolved in solvent; 2) soil powder spiked with different amounts of phenanthrene and pyrene. For pure chemicals, the correlation coefficient (R(2)) for the standard curve of 9,10-phenanthrenequinone in the range of 3 ng-20 μg mL(-1) was 0.9922, and the measured limits of detection (LOD) was 1 ng ml(-1). In the case of soil powder, linear relationships for phenanthrene and pyrene from 10 to 400 ng mg(-1) were obtained with correlation coefficients of 0.9889 and 0.9893, respectively. At last, the feasibility of UNE-LPPI for the detection of chemicals in real matrices such as tablets and biological tissues (tea, Citrus aurantium peel and sage (Salvia officinalis) leaf) were successfully demonstrated. Copyright © 2015 Elsevier B.V. All rights reserved.

  14. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  15. Final Report of “Collaborative research: Fundamental science of low temperature plasma-biological material interactions” (Award# DE-SC0005105)

    Energy Technology Data Exchange (ETDEWEB)

    Oehrlein, Gottlieb S. [Univ. of Maryland, College Park, MD (United States); Seog, Joonil [Univ. of Maryland, College Park, MD (United States); Graves, David [Univ. of California, Berkeley, CA (United States); Chu, J. -W. [Univ. of California, Berkeley, CA (United States)

    2014-09-24

    Low temperature plasma (LTP) treatment of biological tissue is a promising path toward sterilization of bacteria due to its versatility and ability to operate under well-controlled and relatively mild conditions. The present collaborative research of an interdisciplinary team of investigators at University of Maryland, College Park (UMD), and University of California, Berkeley (UCB) focused on establishing our knowledge on low temperature plasma-induced chemical modifications in biomolecules that result in inactivation due to various plasma species, including ions, reactive radicals, and UV/VUV photons. The overall goals of the project were to identify the mechanisms by which low and atmospheric pressure plasma (APP) deactivates endotoxic biomolecules. Additionally, we wanted to understand how deactivation processes depend on the interaction of APP with the environment. Various low pressure plasma sources, a vacuum beam system and several atmospheric pressure plasma sources were used to accomplish these objectives. In our work we elucidated for the first time the role of ions, VUV photons and radicals in biological deactivation of model endotoxic biomolecules, both in a UHV beam system and an inductively coupled, low pressure plasma system, and established the associated atomistic modifications in biomolecules. While we showed that both ions and VUV photons can be very efficient in deactivation of biomolecules, significant etching and/or deep modification (~200 nm) were accompanied by these biological effects. One of the most important findings in this work is that the significant deactivation and surface modification can occur with minimal etching using radical species. However, if radical fluxes and corresponding etch rates are relatively high, for example, at atmospheric pressure, inactivation of endotoxic biomolecule film may require near-complete removal of the film. These findings motivated further work at atmospheric pressure using several types of low

  16. Pressure-assisted sintering of high purity barium titanate

    NARCIS (Netherlands)

    van den Cruijsem, S.; Varst, van der P.G.T.; With, de G.; Bortzmeyer, D.; Boussuge, M.; Chartier, Th.; Hausonne, J.M.; Mocellin, A.; Rousset, A.; Thevenot, F.

    1997-01-01

    The dielectric behaviour of High Purity Barium titanate (HPB) ceramics is strongly dependent on the grain size and porosity. For applications, control of grain size and porosity is required. Pressure-assisted sintering techniques at relatively low temperatures meet these requirements. In this study,

  17. Atmospheric pressure plasma polymerization of 1,3-butadiene for hydrophobic finishing of textile substrates

    Energy Technology Data Exchange (ETDEWEB)

    Samanta, Kartick K; Jassal, Manjeet; Agrawal, Ashwini K, E-mail: ashwini@smita-iitd.co, E-mail: manjeet.jassal@smita-iitd.co [Smart and Innovative Textile Materials Group (SMITA), Department of Textile Technology, Indian Institute of Technology, Hauz Khas, New Delhi-110016 (India)

    2010-02-01

    Atmospheric pressure plasma processing of textile has both ecological and economical advantages over the wet-chemical processing. However, reaction in atmospheric pressure plasma has important challenges to be overcome before it can be successfully used for finishing applications in textile. These challenges are (i) generating stable glow plasma in presence liquid/gaseous monomer, and (ii) keeping the generated radicals active in the presence of contaminants such as oxygen and air. In this study, a stable glow plasma was generated at atmospheric pressure in the mixture of gaseous reactive monomer-1,3-butadiene and He and was made to react with cellulosic textile substrate. After 12 min of plasma treatment, the hydrophilic surface of the cellulosic substrate turned into highly hydrophobic surface. The hydrophobic finish was found to be durable to soap washing. After soap washing, a water drop of 37 {mu}l took around 250 s to get absorbed in the treated sample compared to < 1 s in the untreated samples. The plasma modified samples showed water contact angle of around 134{sup 0}. Both top and bottom sides of the fabric showed similar hydrophobic results in terms of water absorbency and contact angle. The results may be attributed to chemical reaction of butadiene with the cellulosic textile substrate. The surface characterization of the plasma modified samples under SEM and AFM revealed modification of the surface under <100 nm. The results showed that atmospheric pressure plasma can be successfully used for carrying out reaction of 1,3-butadiene with cellulosic textile substrates for producing hydrophobic surface finish.

  18. PumpKin: A tool to find principal pathways in plasma chemical models

    Science.gov (United States)

    Markosyan, A. H.; Luque, A.; Gordillo-Vázquez, F. J.; Ebert, U.

    2014-10-01

    PumpKin is a software package to find all principal pathways, i.e. the dominant reaction sequences, in chemical reaction systems. Although many tools are available to integrate numerically arbitrarily complex chemical reaction systems, few tools exist in order to analyze the results and interpret them in relatively simple terms. In particular, due to the large disparity in the lifetimes of the interacting components, it is often useful to group reactions into pathways that recycle the fastest species. This allows a researcher to focus on the slow chemical dynamics, eliminating the shortest timescales. Based on the algorithm described by Lehmann (2004), PumpKin automates the process of finding such pathways, allowing the user to analyze complex kinetics and to understand the consumption and production of a certain species of interest. We designed PumpKin with an emphasis on plasma chemical systems but it can also be applied to atmospheric modeling and to industrial applications such as plasma medicine and plasma-assisted combustion.

  19. Low pressure arc discharges with hollow cathodes and their using in plasma generators and charged particle sources

    CERN Document Server

    Vintizenko, L G; Koval, N N; Tolkachev, V S; Lopatin, I V; Shchanin, P M

    2001-01-01

    Paper presents the results of investigation into arc discharges with a hollow cathode generating 10 sup 1 sup 0 -10 sup 1 sup 2 concentration gas-discharge plasma in essential (approx 1 m sup 3) volumes at low (10 sup - sup 2 -1 Pa) pressures and up to 200 A discharge currents. One studied design of discharge systems with heated and cold cathodes their peculiar features, presented the parameters of plasma generators and of charged particle sources based on arc discharges and discussed, as well, the problems of more rational application of those systems in the processes for surface modification of solids

  20. Measurement of the non-thermal properties in a low-pressure spraying plasma

    International Nuclear Information System (INIS)

    Jung, Yong Ho; Chung, Kyu Sun

    2002-01-01

    The non-thermal properties of a low-pressure spraying plasma have been characterized by using optical emission spectroscopy and single probes installed in a fast scanning probe system. A two-temperature model of the electrons is introduced to explain their non-isothermal properties, which are measured using single probes. The excitation temperatures of the atomic and the ionic lines are calculated from measurements of the emission intensities of Ar (I) and Ar (II), and those temperatures can be explained by using a local thermodynamic equilibrium (LTE) or a non-local thermodynamic equilibrium (non-LTE) model. In order to deduce more reasonable values (excitation temperatures), we introduce a multi-thermodynamic equilibrium (MTE) model, which gives different temperatures, depending upon the atomic excitation states

  1. Effects of Input Voltage on Flow Separation Control for Low-Pressure Turbine at Low Reynolds Number by Plasma Actuators

    Directory of Open Access Journals (Sweden)

    Takayuki Matsunuma

    2012-01-01

    Full Text Available Active flow control using dielectric barrier discharge (DBD plasma actuators was investigated to reattach the simulated boundary layer separation on the suction surface of a turbine blade at low Reynolds number, Re = 1.7 × 104. The flow separation is induced on a curved plate installed in the test section of a low-speed wind tunnel. Particle image velocimetry (PIV was used to obtain instantaneous and time-averaged two-dimensional velocity measurements. The amplitude of input voltage for the plasma actuator was varied from ±2.0 kV to ±2.8 kV. The separated flow reattached on the curved wall when the input voltage was ±2.4 kV and above. The displacement thickness of the boundary layer near the trailing edge decreased by 20% at ±2.0 kV. The displacement thickness was suddenly reduced as much as 56% at ±2.2 kV, and it was reduced gradually from ±2.4 kV to ±2.8 kV (77% reduction. The total pressure loss coefficient, estimated from the boundary layer displacement thickness and momentum thickness, was 0.172 at the baseline (actuator off condition. The total pressure loss was reduced to 0.107 (38% reduction at ±2.2 kV and 0.078 (55% reduction at ±2.8 kV.

  2. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan, E-mail: alan.doolittle@ece.gatech.edu [Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Bresnahan, Rich C. [Veeco Instruments, St. Paul, Minnesota 55127 (United States)

    2015-10-21

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N{sub 2} while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N{sub 2} and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10{sup 16} to 3.8 × 10{sup 19} cm{sup −3} were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10{sup 15} cm{sup −3}. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be

  3. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-01-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N 2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N 2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10 16 to 3.8 × 10 19 cm −3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10 15 cm −3 . The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the

  4. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  5. Investigating the Plasma-Assisted and Thermal Catalytic Dry Methane Reforming for Syngas Production: Process Design, Simulation and Evaluation

    Directory of Open Access Journals (Sweden)

    Evangelos Delikonstantis

    2017-09-01

    Full Text Available The growing surplus of green electricity generated by renewable energy technologies has fueled research towards chemical industry electrification. By adapting power-to-chemical concepts, such as plasma-assisted processes, cheap resources could be converted into fuels and base chemicals. However, the feasibility of those electrified processes at large scale has not been investigated yet. Thus, the current work strives to compare, for first time in the literature, plasma-assisted production of syngas, from CH4 and CO2 (dry methane reforming, with thermal catalytic dry methane reforming. Specifically, both processes are conceptually designed to deliver syngas suitable for methanol synthesis (H2/CO ≥ 2 in mole. The processes are simulated in the Aspen Plus process simulator where different process steps are investigated. Heat integration and equipment cost estimation are performed for the most promising process flow diagrams. Collectively, plasma-assisted dry methane reforming integrated with combined steam/CO2 methane reforming is an effective way to deliver syngas for methanol production. It is more sustainable than combined thermal catalytic dry methane reforming with steam methane reforming, which has also been proposed for syngas production of H2/CO ≥ 2; in the former process, 40% more CO2 is captured, while 38% less H2O is consumed per mol of syngas. Furthermore, the plasma-assisted process is less complex than the thermal catalytic one; it requires higher amount of utilities, but comparable capital investment.

  6. A two-temperature chemical non-equilibrium modeling of DC arc plasma

    International Nuclear Information System (INIS)

    Qian Haiyang; Wu Bin

    2011-01-01

    To a better understanding of non-equilibrium characteristics of DC arc plasma,a two-dimensional axisymmetric two-temperature chemical non-equilibrium (2T-NCE) model is applied for direct current arc argon plasma generator with water-cooled constrictor at atmospheric pressure. The results show that the electron temperature and heavy particle temperature has a relationship under different working parameters, indicating that DC arc plasma has a strong non-equilibrium characteristic, and the variation is obvious. (authors)

  7. Characterization of a steam plasma jet at atmospheric pressure

    International Nuclear Information System (INIS)

    Ni Guohua; Zhao Peng; Cheng Cheng; Song Ye; Meng Yuedong; Toyoda, Hirotaka

    2012-01-01

    An atmospheric steam plasma jet generated by an original dc water plasma torch is investigated using electrical and spectroscopic techniques. Because it directly uses the water used for cooling electrodes as the plasma-forming gas, the water plasma torch has high thermal efficiency and a compact structure. The operational features of the water plasma torch and the generation of the steam plasma jet are analyzed based on the temporal evolution of voltage, current and steam pressure in the arc chamber. The influence of the output characteristics of the power source, the fluctuation of the arc and current intensity on the unsteadiness of the steam plasma jet is studied. The restrike mode is identified as the fluctuation characteristic of the steam arc, which contributes significantly to the instabilities of the steam plasma jet. In addition, the emission spectroscopic technique is employed to diagnose the steam plasma. The axial distributions of plasma parameters in the steam plasma jet, such as gas temperature, excitation temperature and electron number density, are determined by the diatomic molecule OH fitting method, Boltzmann slope method and H β Stark broadening, respectively. The steam plasma jet at atmospheric pressure is found to be close to the local thermodynamic equilibrium (LTE) state by comparing the measured electron density with the threshold value of electron density for the LTE state. Moreover, based on the assumption of LTE, the axial distributions of reactive species in the steam plasma jet are estimated, which indicates that the steam plasma has high chemical activity.

  8. Effect of surface modification of poly(lactic acid) by low-pressure ammonia plasma on adsorption of human serum albumin

    Energy Technology Data Exchange (ETDEWEB)

    Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayuthaya Road, Bangkok 10400 (Thailand); Boonyawan, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayuthaya Road, Bangkok 10400 (Thailand); Chaiwong, C., E-mail: cchwng@gmail.com [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayuthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Highlights: • Poly(lactic acid) (PLA) films were treated by low-pressure ammonia plasma. • Human serum albumin (HSA) attachment on the treated PLA was reduced. • The treated PLA films were characterized. • Hydrophilicity enhancement due to polar groups introduced was the reason. • Reduced HSA adhesion could promote cell attachment on PLA for biomedicine. - Abstract: The final goal of the study was to promote understanding of mechanisms involved in cell attachment on biomedical polymer poly(lactic acid) (PLA). As the cell attachment on the material surface was preceded by blood protein adsorption which would critically affect subsequent cell adhesion, for the clinic application purpose, human serum albumin (HSA) was used in the investigation on its adsorption on PLA, which was however treated by low-pressure ammonia (NH{sub 3}) plasma. The NH{sub 3}-plasma-treated PLA was found to adsorb less HSA than the untreated PLA. The PLA was characterized using various techniques such as atomic force microscopy, contact angle and surface energy analysis and x-ray photoelectron spectroscopy. All of the characterization results indicated that due to NH{sub 3}-plasma-induced polar groups the PLA enhanced its hydrophilicity which in turn inhibited the HSA adsorption. The decreased HSA adsorption would consequently increase the cell attachment because of the cell adhesion barrier reduced.

  9. Excitation mechanisms in 1 mJ picosecond laser induced low pressure He plasma and the resulting spectral quality enhancement

    Energy Technology Data Exchange (ETDEWEB)

    Idris, Nasrullah; Lahna, Kurnia; Abdulmadjid, Syahrun Nur [Department of Physics, Faculty of Mathematics and Natural Sciences, Syiah Kuala University, Darussalam, Banda Aceh 23111, NAD (Indonesia); Ramli, Muliadi [Department of Chemistry, Faculty of Mathematics and Natural Sciences, Syiah Kuala University, Darussalam, Banda Aceh 23111, NAD (Indonesia); Suyanto, Hery [Department of Physics, Faculty of Mathematics and Natural Sciences, Udayana University, Kampus Bukit Jimbaran, Denpasar 80361, Bali (Indonesia); Marpaung, Alion Mangasi [Department of Physics, Faculty of Mathematics and Natural Sciences, Jakarta State University, 10 Rawamangun, Jakarta (Indonesia); Pardede, Marincan [Department of Electrical Engineering, University of Pelita Harapan, 1100 M.H. Thamrin Boulevard, Lippo Village, Tangerang 15811 (Indonesia); Jobiliong, Eric [Department of Industrial Engineering, University of Pelita Harapan, 1100 M.H. Thamrin Boulevard, Lippo Village, Tangerang 15811 (Indonesia); Hedwig, Rinda; Lie, Zener Sukra [Department of Computer Engineering, Bina Nusantara University, 9 K.H. Syahdan, Jakarta 14810 (Indonesia); Research Center of Maju Makmur Mandiri Foundation, 40/80 Srengseng Raya, Jakarta 11630 (Indonesia); Suliyanti, Maria Margaretha [Research Center for Physics, Indonesia Institute of Sciences, Kawasan PUSPIPTEK, Serpong, Tangerang Selatan 15314, Banten (Indonesia); Lie, Tjung Jie; Kurniawan, Koo Hendrik, E-mail: kurnia18@cbn.net.id [Research Center of Maju Makmur Mandiri Foundation, 40/80 Srengseng Raya, Jakarta 11630 (Indonesia); Kagawa, Kiichiro [Fukui Science Education Academy, Takagi Chuou 2 choume, Fukui 910-0804 (Japan); Tjia, May On [Research Center of Maju Makmur Mandiri Foundation, 40/80 Srengseng Raya, Jakarta 11630 (Indonesia); Physics of Magnetism and Photonics Group, Faculty of Mathematics and Natural Sciences, Bandung Institute of Technology, 10 Ganesha, Bandung 40132 (Indonesia)

    2015-06-14

    We report in this paper the results of an experimental study on the spectral and dynamical characteristics of plasma emission induced by 1 mJ picoseconds (ps) Nd-YAG laser using spatially resolved imaging and time resolved measurement of the emission intensities of copper sample. This study has provided the experimental evidence concerning the dynamical characteristics of the excitation mechanisms in various stages of the plasma formation, which largely consolidate the basic scenarios of excitation processes commonly accepted so far. However, it is also clearly shown that the duration of the shock wave excitation process induced by ps laser pulses is much shorter than those observed in laser induced breakdown spectroscopy employing nanosecond laser at higher output energy. This allows the detection of atomic emission due exclusively to He assisted excitation in low pressure He plasma by proper gating of the detection time. Furthermore, the triplet excited state associated with He I 587.6 nm is shown to be the one most likely involved in the process responsible for the excellent spectral quality as evidenced by its application to spectrochemical analysis of a number of samples. The use of very low energy laser pulses also leads to minimal destructive effect marked by the resulted craters of merely about 10 μm diameter and only 10 nm deep. It is especially noteworthy that the excellent emission spectrum of deuterium detected from D-doped titanium sample is free of spectral interference from the undesirable ubiquitous water molecules without a precleaning procedure as applied previously and yielding an impressive detection limit of less than 10 μg/g. Finally, the result of this study also shows a promising application to depth profiling of impurity distribution in the sample investigated.

  10. Cold plasma brush generated at atmospheric pressure

    International Nuclear Information System (INIS)

    Duan Yixiang; Huang, C.; Yu, Q. S.

    2007-01-01

    A cold plasma brush is generated at atmospheric pressure with low power consumption in the level of several watts (as low as 4 W) up to tens of watts (up to 45 W). The plasma can be ignited and sustained in both continuous and pulsed modes with different plasma gases such as argon or helium, but argon was selected as a primary gas for use in this work. The brush-shaped plasma is formed and extended outside of the discharge chamber with typical dimension of 10-15 mm in width and less than 1.0 mm in thickness, which are adjustable by changing the discharge chamber design and operating conditions. The brush-shaped plasma provides some unique features and distinct nonequilibrium plasma characteristics. Temperature measurements using a thermocouple thermometer showed that the gas phase temperatures of the plasma brush are close to room temperature (as low as 42 deg. C) when running with a relatively high gas flow rate of about 3500 ml/min. For an argon plasma brush, the operating voltage from less than 500 V to about 2500 V was tested, with an argon gas flow rate varied from less than 1000 to 3500 ml/min. The cold plasma brush can most efficiently use the discharge power as well as the plasma gas for material and surface treatment. The very low power consumption of such an atmospheric argon plasma brush provides many unique advantages in practical applications including battery-powered operation and use in large-scale applications. Several polymer film samples were tested for surface treatment with the newly developed device, and successful changes of the wettability property from hydrophobic to hydrophilic were achieved within a few seconds

  11. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  12. Chemical interaction and adhesion characteristics at the interface of metals (Cu, Ta) and low-k cyclohexane-based plasma polymer (CHexPP) films

    International Nuclear Information System (INIS)

    Kim, K.J.; Kim, K.S.; Lee, N.-E.; Choi, J.; Jung, D.

    2001-01-01

    Chemical interaction and adhesion characteristics between metals (Cu, Ta) and low-k plasma-treated cyclohexane-based plasma polymer (CHexPP) films were studied. In order to generate new functional groups that may contribute to the improvement of adhesion between metal and plasma polymer, we performed O 2 , N 2 , and H 2 /He mixture plasma treatment on the surfaces of CHexPP films. Chemical interactions at the interface between metals (Cu, Ta) and plasma-treated CHexPP films were analyzed by x-ray photoelectron spectroscopy. The effect of plasma treatment and thermal annealing on the adhesion characteristics was measured by a tape test and scratch test. The formation of new binding states on the surface of plasma-treated CHexPP films improved adhesion characteristics between metals and CHexPP films. Thermal annealing improves the adhesion property of Cu/CHexPP films, but degrades the adhesion property of Ta/CHexPP films

  13. Electrostatic fluctuation in Low-{beta} plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Krane, B

    1997-11-01

    The thesis gives an overview, which by no means is complete, to two dimensional plasma flows. The plasma is taken to be strongly magnetized such that magnetic fields generated by internal currents are small compared to the background magnetic field. This requires that the magnetic pressure exerted by the ambient magnetic field is large compared to the pressure due to thermal fluctuations, i.e. low-{beta} plasma. The author also assume low frequency electrostatic fluctuations with {omega}<<{omega}{sub c}i where {omega}{sub c}i is the ion gyro frequency. A brief introduction to nonlinear phenomena in two dimensional plasma flows has been presented. Particular attention was given to simple models describing flute and drift modes. Although the derivations of the model equations are based on different assumptions regarding the plasma conditions, the resulting equations exhibit similar behavior in some respects. For instance, both the simple guiding center model and the Hasegawa-Mima model have stable dipolar structures. The inverse cascade was also found in both models. However, it is evident that there are significant differences, first of all the Hasegawa-Mima model assumes a background density gradient which makes it an inhomogeneous model. Secondly, in this model the electrons respond instantaneously to variations in the ion density by moving along the magnetic field, thereby introducing Debye shielding.

  14. Electrostatic fluctuation in Lowplasmas

    International Nuclear Information System (INIS)

    Krane, B

    1997-11-01

    The thesis gives an overview, which by no means is complete, to two dimensional plasma flows. The plasma is taken to be strongly magnetized such that magnetic fields generated by internal currents are small compared to the background magnetic field. This requires that the magnetic pressure exerted by the ambient magnetic field is large compared to the pressure due to thermal fluctuations, i.e. lowplasma. The author also assume low frequency electrostatic fluctuations with ω c i where ω c i is the ion gyro frequency. A brief introduction to nonlinear phenomena in two dimensional plasma flows has been presented. Particular attention was given to simple models describing flute and drift modes. Although the derivations of the model equations are based on different assumptions regarding the plasma conditions, the resulting equations exhibit similar behavior in some respects. For instance, both the simple guiding center model and the Hasegawa-Mima model have stable dipolar structures. The inverse cascade was also found in both models. However, it is evident that there are significant differences, first of all the Hasegawa-Mima model assumes a background density gradient which makes it an inhomogeneous model. Secondly, in this model the electrons respond instantaneously to variations in the ion density by moving along the magnetic field, thereby introducing Debye shielding

  15. Study of discharges produced by surface waves under medium and high pressure: application to chemical analysis

    International Nuclear Information System (INIS)

    Laye epouse Granier, Agnes

    1986-01-01

    This report deals with the study of microwave discharges produced in argon gas by surface waves in the 20-760 Torr pressure range. Application to chemical analysis by emission optical spectroscopy is also investigated. First of all we study the propagation of a surface wave in a bounded plasma in which the effective collision frequency for momentum transfer ν is higher than the excitation one. The axial electron density profile is determined from two diagnostic techniques, i.e., phase variations of the wave field and Stark broadening of H β line. Then we deduce the discharge characteristics ν, θ (maintaining power of an electron-ion pair) and E eff (effective electric field for discharge sustaining) from the electron density profile. Then an energy balance of the discharge is developed. It explains the change of operating conditions in the 20-50 Torr range. At low pressure the discharge is governed by ambipolar diffusion whereas at high pressure, the electrons are mainly lost by volume recombination of Ar 2 + . Finally, we report on chemical analysis experiment of gases (optimum sensibility in found near 100 Torr) and of metallic solutions sprayed by a graphite oven. Performances of such a design and ICP plasma torches are compared. (author) [fr

  16. Using atmospheric pressure plasma treatment for treating grey cotton fabric.

    Science.gov (United States)

    Kan, Chi-Wai; Lam, Chui-Fung; Chan, Chee-Kooi; Ng, Sun-Pui

    2014-02-15

    Conventional wet treatment, desizing, scouring and bleaching, for grey cotton fabric involves the use of high water, chemical and energy consumption which may not be considered as a clean process. This study aims to investigate the efficiency of the atmospheric pressure plasma (APP) treatment on treating grey cotton fabric when compared with the conventional wet treatment. Grey cotton fabrics were treated with different combinations of plasma parameters with helium and oxygen gases and also through conventional desizing, scouring and bleaching processes in order to obtain comparable results. The results obtained from wicking and water drop tests showed that wettability of grey cotton fabrics was greatly improved after plasma treatment and yielded better results than conventional desizing and scouring. The weight reduction of plasma treated grey cotton fabrics revealed that plasma treatment can help remove sizing materials and impurities. Chemical and morphological changes in plasma treated samples were analysed by FTIR and SEM, respectively. Finally, dyeability of the plasma treated and conventional wet treated grey cotton fabrics was compared and the results showed that similar dyeing results were obtained. This can prove that plasma treatment would be another choice for treating grey cotton fabrics. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. Modification of carbon fabrics by radio-frequency capacitive discharge at low pressure to regulate mechanical properties of carbon fiber reinforced plastics based on it

    International Nuclear Information System (INIS)

    Garifullin, A R; Krasina, I V; Skidchenko, E A; Shaekhov, M F; Tikhonova, N V

    2017-01-01

    To increase the values of mechanical properties of carbon fiber (CF) composite materials used in sports equipment production the method of radio-frequency capacitive (RFC) low-pressure plasma treatment in air was proposed. Previously it was found that this type of modification allows to effectively regulate the surface properties of fibers of different nature. This treatment method differs from the traditional ones by efficiency and environmental friendliness as it does not require the use of aggressive, environmentally hazardous chemicals. In this paper it was established that RFC low-pressure air plasma treatment of carbon fabrics enhances the interlaminar shear strength (ILSS) of carbon fiber reinforced plastic (CFRP). As a result of experimental studies of CF by Fourier Transform Infrared (FTIR) spectroscopy method it was proved that after radio-frequency capacitive plasma treatment at low pressure in air the oxygen-containing functional groups is grafted on the surface. These groups improve adhesion at the interface “matrix-fiber”. (paper)

  18. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  19. Enhancement of laser-induced breakdown spectroscopy (LIBS) Detection limit using a low-pressure and short-pulse laser-induced plasma process.

    Science.gov (United States)

    Wang, Zhen Zhen; Deguchi, Yoshihiro; Kuwahara, Masakazu; Yan, Jun Jie; Liu, Ji Ping

    2013-11-01

    Laser-induced breakdown spectroscopy (LIBS) technology is an appealing technique compared with many other types of elemental analysis because of the fast response, high sensitivity, real-time, and noncontact features. One of the challenging targets of LIBS is the enhancement of the detection limit. In this study, the detection limit of gas-phase LIBS analysis has been improved by controlling the pressure and laser pulse width. In order to verify this method, low-pressure gas plasma was induced using nanosecond and picosecond lasers. The method was applied to the detection of Hg. The emission intensity ratio of the Hg atom to NO (IHg/INO) was analyzed to evaluate the LIBS detection limit because the NO emission (interference signal) was formed during the plasma generation and cooling process of N2 and O2 in the air. It was demonstrated that the enhancement of IHg/INO arose by decreasing the pressure to a few kilopascals, and the IHg/INO of the picosecond breakdown was always much higher than that of the nanosecond breakdown at low buffer gas pressure. Enhancement of IHg/INO increased more than 10 times at 700 Pa using picosecond laser with 35 ps pulse width. The detection limit was enhanced to 0.03 ppm (parts per million). We also saw that the spectra from the center and edge parts of plasma showed different features. Comparing the central spectra with the edge spectra, IHg/INO of the edge spectra was higher than that of the central spectra using the picosecond laser breakdown process.

  20. Negative Ions in low pressure discharges

    NARCIS (Netherlands)

    Stoffels - Adamowicz, E.; Stoffels, W.W.; Vender, D.; Haverlag, M.; Kroesen, G.M.W.; Hoog, de F.J.

    1995-01-01

    Several aspects of negative ions in low pressure discharges are treated. The elementary processes, in which negative ions are produced and destroyed, are summarized. The influence of negative ions on plasma operation is analyzed in terms of transport equations. It is shown that diffusion, electric

  1. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  2. Low Plasma Volume in Normotensive Formerly Preeclamptic Women Predisposes to Hypertension.

    Science.gov (United States)

    Scholten, Ralph R; Lotgering, Fred K; Hopman, Maria T; Van Dijk, Arie; Van de Vlugt, Maureen; Janssen, Mirian C H; Spaanderman, Marc E A

    2015-11-01

    Formerly preeclamptic women are at risk for cardiovascular disease. Low plasma volume may reflect latent hypertension and potentially links preeclampsia with chronic cardiovascular disease. We hypothesized that low plasma volume in normotensive formerly preeclamptic women predisposes to hypertension. We longitudinally studied n=104 formerly preeclamptic women in whom plasma volume was measured 3 to 30 months after the preeclamptic pregnancy. Cardiovascular variables were assessed at 2 points in time (3-30 months postpartum and 2-5 years thereafter). Study population was divided into low plasma volume (≤1373 mL/m(2)) and normal plasma volume (>1373 mL/m(2)). Primary end point was hypertension at the second visit: defined as ≥140 mm Hg systolic or ≥90 mm Hg diastolic. Secondary outcome of this study was change in traditional cardiovascular risk profile between visits. Variables correlating univariately with change in blood pressure between visits were introduced in regression analysis. Eighteen of 104 (17%) formerly preeclamptic women who were normotensive at first visit had hypertension at second evaluation 2 to 5 years later. Hypertension developed more often in women with low plasma volume (10/35 [29%]) than in women with normal plasma volume (8/69 [12%]; odds ratio, 3.2; 95% confidence interval, 1.4-8.6). After adjustments, relationship between plasma volume status and subsequent hypertension persisted (adjusted odds ratio, 3.0; 95% confidence interval, 1.1-8.5). Mean arterial pressure at second visit correlated inverse linearly with plasma volume (r=-0.49; Phypertension within 5 years. Women with low plasma volume have higher chance to develop hypertension than women with normal plasma volume. Clinically, follow-up of blood pressure seems warranted in women with history of preeclampsia, even when initially normotensive. © 2015 American Heart Association, Inc.

  3. Room-temperature atmospheric pressure plasma plume for biomedical applications

    International Nuclear Information System (INIS)

    Laroussi, M.; Lu, X.

    2005-01-01

    As low-temperature nonequilibrium plasmas come to play an increasing role in biomedical applications, reliable and user-friendly sources need to be developed. These plasma sources have to meet stringent requirements such as low temperature (at or near room temperature), no risk of arcing, operation at atmospheric pressure, preferably hand-held operation, low concentration of ozone generation, etc. In this letter, we present a device that meets exactly such requirements. This device is capable of generating a cold plasma plume several centimeters in length. It exhibits low power requirements as shown by its current-voltage characteristics. Using helium as a carrier gas, very little ozone is generated and the gas temperature, as measured by emission spectroscopy, remains at room temperature even after hours of operations. The plasma plume can be touched by bare hands and can be directed manually by a user to come in contact with delicate objects and materials including skin and dental gum without causing any heating or painful sensation

  4. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Atomic hydrogen determination in medium-pressure microwave discharge hydrogen plasmas via emission actinometry

    International Nuclear Information System (INIS)

    Geng Zicai; Xu Yong; Yang Xuefeng; Wang Weiguo; Zhu Aimin

    2005-01-01

    Atomic hydrogen plays an important role in the chemical vapour deposition of functional materials, plasma etching and new approaches to the chemical synthesis of hydrogen-containing compounds. This work reports experimental determinations of atomic hydrogen in microwave discharge hydrogen plasmas formed from the TM 01 microwave mode in an ASTeX-type reactor, via optical emission spectroscopy using Ar as an actinometer. The relative intensities of the H atom Balmer lines and Ar-750.4 nm emissions as functions of input power and gas pressure have been investigated. At an input microwave power density of 13.5 W cm -3 , the approximate hydrogen dissociation fractions calculated from electron-impact excitation and quenching cross sections in the literature, decreased from ∼0.08 to ∼0.03 as the gas pressure was increased from 5 to 25 Torr. The influences of the above cross sections, and the electron and gas temperatures of the plasmas on the determination of the hydrogen dissociation fraction data have been discussed

  6. MicroScale - Atmospheric Pressure Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Sankaran, Mohan [Case Western Reserve University

    2012-01-25

    Low-temperature plasmas play an essential role in the manufacturing of integrated circuits which are ubiquitous in modern society. In recent years, these top-down approaches to materials processing have reached a physical limit. As a result, alternative approaches to materials processing are being developed that will allow the fabrication of nanoscale materials from the bottom up. The aim of our research is to develop a new class of plasmas, termed “microplasmas” for nanomaterials synthesis. Microplasmas are a special class of plasmas formed in geometries where at least one dimension is less than 1 mm. Plasma confinement leads to several unique properties including high-pressure stability and non-equilibrium that make microplasams suitable for nanomaterials synthesis. Vapor-phase precursors can be dissociated to homogeneously nucleate nanometer-sized metal and alloyed nanoparticles. Alternatively, metal salts dispersed in liquids or polymer films can be electrochemically reduced to form metal nanoparticles. In this talk, I will discuss these topics in detail, highlighting the advantages of microplasma-based systems for the synthesis of well-defined nanomaterials.

  7. Characterization of a segmented plasma torch assisted High Heat Flux (HHF) system for performance evaluation of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Ngangom, Aomoa; Sarmah, Trinayan; Sah, Puspa; Kakati, Mayur; Ghosh, Joydeep

    2015-01-01

    A wide variety of high heat and particle flux test facilities are being used by the fusion community to evaluate the thermal performance of plasma facing materials/components, which includes electron beam, ion beam, neutral beam and thermal plasma assisted sources. In addition to simulate heat loads, plasma sources have the additional advantage of reproducing exact fusion plasma like conditions, in terms of plasma density, temperature and particle flux. At CPP-IPR, Assam, we have developed a high heat and particle flux facility using a DC, non-transferred, segmented thermal plasma torch system, which can produce a constricted, stabilized plasma jet with high ion density. In this system, the plasma torch exhausts into a low pressure chamber containing the materials to be irradiated, which produces an expanded plasma jet with more uniform profiles, compared to plasma torches operated at atmospheric pressure. The heat flux of the plasma beam was studied by using circular calorimeters of different diameters (2 and 3 cm) for different input power (5-55 kW). The effect of the change in gas (argon) flow rate and mixing of gases (argon + hydrogen) was also studied. The heat profile of the plasma beam was also studied by using a pipe calorimeter. From this, the radial heat flux was calculated by using Abel inversion. It is seen that the required heat flux of 10 MW/m 2 is achievable in our system for pure argon plasma as well as for plasma with gas mixtures. The plasma parameters like the temperature, density and the beam velocity were studied by using optical emission spectroscopy. For this, a McPherson made 1.33 meter focal length spectrometer; model number 209, was used. A plane grating with 1800 g/mm was used which gave a spectral resolution of 0.007 nm. A detailed characterization with respect to these plasma parameters for different gas (argon) flow rate and mixing of gases (argon+hydrogen) for different input power will be presented in this paper. The plasma

  8. Current limitation in low pressure mercury arcs

    International Nuclear Information System (INIS)

    Torven, S.; Babic, M.

    1976-06-01

    When the electric current in a low pressure arc with a long positive column is increased sufficiently, an electrostatic instability develops in the plasma which leads to formation of thin space charge layers across the column. The instability is investigated in a mercury plasma column kept axially homogeneous by a special technique. Values of some plasma parameters are measured at the instability threshold. It is found that the plasma is in a weakly ionized state in contrast to predictions by widely accepted current limitation theories. It is concluded that new types of theories are required to explain the observations. (Auth.)

  9. Atmospheric pressure microwave plasma system with ring waveguide

    International Nuclear Information System (INIS)

    Liu Liang; Zhang Guixin; Zhu Zhijie; Luo Chengmu

    2007-01-01

    Some scientists used waveguide as the cavity to produce a plasma jet, while large volume microwave plasma was relatively hard to get in atmospheric pressure. However, a few research institutes have already developed devices to generate large volume of atmospheric pressure microwave plasma, such as CYRANNUS and SLAN series, which can be widely applied. In this paper, present a microwave plasma system with ring waveguide to excite large volume of atmospheric pressure microwave plasma, plot curves on theoretical disruption electric field of some working gases, emulate the cavity through software, measure the power density to validate and show the appearance of microwave plasma. At present, large volume of argon and helium plasma have already been generated steadily by atmospheric pressure microwave plasma system. This research can build a theoretical basis of microwave plasma excitation under atmospheric pressure and will be useful in study of the device. (authors)

  10. First results on nitriding aluminium alloys in a low-pressure RF plasma

    International Nuclear Information System (INIS)

    Fewell, M.P.; Priest, J.M.; Collins, G.A.; Short, K.T.

    2000-01-01

    Full text: Aluminium alloys are now well established as materials of choice for many commercial applications, especially where strength-to-weight ratio is a critical parameter. However, their more widespread use is inhibited by their low surface hardness. For steels, similar problems can be overcome by nitriding. The nitrogen-rich surface layer has high hardness and load-bearing capacity, and is very well bonded to the substrate. The development of a similar surface-treatment process for aluminium alloys is clearly a desirable goal. It is therefore not surprising that many research groups worldwide have attempted to nitride aluminium. Much of this work studied pure aluminium, a material of no interest for structural applications. Previous investigations into nitriding aluminium alloys' had indifferent results. However, they have served to identify the key issues, which are the importance of a pre-cleaning steps to remove the surface oxide, of impurity control during the nitriding and the desirability of using as low a process temperature as possible. In all of these areas, our process using a low-pressure RF plasma is likely to be competitive. In view of this, we have undertaken a comparative study of a range of commercially available aluminium alloys. All treatments were carried out in the hot-wall nitriding reactor at ANSTO. The samples consist of disks 25mm in diameter and ∼3mm thick which were polished and ultrasonically cleaned in alcohol prior to treatment. The samples were stored in air at all times except when in the nitriding reactor. In a series of treatments, the treatment time was varied in the range 1-16 h and the temperature in the range 350-500 deg C. All treatments were preceeded by a plasma cleaning step in a H 2 /50%Ar mixture for a duration of 1.5-2.0 h while the reactor reached processing temperature. The treatments all used pure N 2 at a pressure of 0.4Pa and a nitrogen flow rate of 12μmol s -1 , with 245W of rf power at 13.56MHz applied to

  11. Solid oxide fuel cell electrolytes produced via very low pressure suspension plasma spray and electrophoretic deposition

    Science.gov (United States)

    Fleetwood, James D.

    Solid oxide fuel cells (SOFCs) are a promising element of comprehensive energy policies due to their direct mechanism for converting the oxidization of fuel, such as hydrogen, into electrical energy. Both very low pressure plasma spray and electrophoretic deposition allow working with high melting temperature SOFC suspension based feedstock on complex surfaces, such as in non-planar SOFC designs. Dense, thin electrolytes of ideal composition for SOFCs can be fabricated with each of these processes, while compositional control is achieved with dissolved dopant compounds that are incorporated into the coating during deposition. In the work reported, sub-micron 8 mole % Y2O3-ZrO2 (YSZ) and gadolinia-doped ceria (GDC), powders, including those in suspension with scandium-nitrate dopants, were deposited on NiO-YSZ anodes, via very low pressure suspension plasma spray (VLPSPS) at Sandia National Laboratories' Thermal Spray Research Laboratory and electrophoretic deposition (EPD) at Purdue University. Plasma spray was carried out in a chamber held at 320 - 1300 Pa, with the plasma composed of argon, hydrogen, and helium. EPD was characterized utilizing constant current deposition at 10 mm electrode separation, with deposits sintered from 1300 -- 1500 °C for 2 hours. The role of suspension constituents in EPD was analyzed based on a parametric study of powder loading, powder specific surface area, polyvinyl butyral (PVB) content, polyethyleneimine (PEI) content, and acetic acid content. Increasing PVB content and reduction of particle specific surface area were found to eliminate the formation of cracks when drying. PEI and acetic acid content were used to control suspension stability and the adhesion of deposits. Additionally, EPD was used to fabricate YSZ/GDC bilayer electrolyte systems. The resultant YSZ electrolytes were 2-27 microns thick and up to 97% dense. Electrolyte performance as part of a SOFC system with screen printed LSCF cathodes was evaluated with peak

  12. A Plasma-Assisted Route to the Rapid Preparation of Transition-Metal Phosphides for Energy Conversion and Storage

    KAUST Repository

    Liang, Hanfeng

    2017-06-06

    Transition-metal phosphides (TMPs) are important materials that have been widely used in catalysis, supercapacitors, batteries, sensors, light-emitting diodes, and magnets. The physical and chemical structure of a metal phosphide varies with the method of preparation as the electronic, catalytic, and magnetic properties of the metal phosphides strongly depend on their synthesis routes. Commonly practiced processes such as solid-state synthesis and ball milling have proven to be reliable routes to prepare TMPs but they generally require high temperature and long reaction time. Here, a recently developed plasma-assisted conversion route for the preparation of TMPs is reviewed, along with their applications in energy conversion and storage, including water oxidation electrocatalysis, sodium-ion batteries, and supercapacitors. The plasma-assisted synthetic route should open up a new avenue to prepare TMPs with tailored structure and morphology for various applications. In fact, the process may be further extended to the synthesis of a wide range of transition-metal compounds such as borides and fluorides at low temperature and in a rapid manner.

  13. Ultrasensitive detection of explosives and chemical warfare agents by low-pressure photoionization mass spectrometry.

    Science.gov (United States)

    Sun, Wanqi; Liang, Miao; Li, Zhen; Shu, Jinian; Yang, Bo; Xu, Ce; Zou, Yao

    2016-08-15

    On-spot monitoring of threat agents needs high sensitive instrument. In this study, a low-pressure photoionization mass spectrometer (LPPI-MS) was employed to detect trace amounts of vapor-phase explosives and chemical warfare agent mimetics under ambient conditions. Under 10-s detection time, the limits of detection of 2,4-dinitrotoluene, nitrotoluene, nitrobenzene, and dimethyl methyl phosphonate were 30, 0.5, 4, and 1 parts per trillion by volume, respectively. As compared to those obtained previously with PI mass spectrometric techniques, an improvement of 3-4 orders of magnitude was achieved. This study indicates that LPPI-MS will open new opportunities for the sensitive detection of explosives and chemical warfare agents. Copyright © 2016 Elsevier B.V. All rights reserved.

  14. Cryotrapping assisted mass spectrometry for the analysis of complex gas mixtures

    International Nuclear Information System (INIS)

    Ferreira, Jose A.; Tabares, Francisco L.

    2007-01-01

    A simple method is described for the unambiguous identification of the individual components in a gas mixture showing strong overlapping of their mass spectrometric cracking patterns. The method, herein referred to as cryotrapping assisted mass spectrometry, takes advantage of the different vapor pressure values of the individual components at low temperature (78 K for liquid nitrogen traps), and thus of the different depletion efficiencies and outgassing patterns during the fast cooling and slow warming up of the trap, respectively. Examples of the use of this technique for gas mixtures with application to plasma enhanced chemical vapor deposition of carbon and carbon-nitrogen hard films are shown. Detection of traces of specific C 3 hydrocarbons ( 2 containing deposition plasmas are addressed as representative examples of specific applications of the technique

  15. Plasma-assisted self-formation of nanotip arrays on the surface of Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zimin, Sergey P.; Mokrov, Dmitry A. [Yaroslavl State University (Russian Federation); Gorlachev, Egor S.; Amirov, Ildar I.; Naumov, Viktor V. [Institute of Physics and Technology, Russian Academy of Sciences, Yaroslavl (Russian Federation); Gremenok, Valery F. [Scientific-Practical Materials Research Center, NAS of Belarus, Minsk (Belarus); Bente, Klaus [Applied Mineralogy, University Tuebingen (Germany); Kim, Woo Y. [Fusion Research Center, Hoseo University, Asan-City (Korea, Republic of)

    2017-06-15

    In this paper, we report on the phenomenon of nanostructure self-formation on the surface of Cu(In,Ga)Se{sub 2} (CIGS) thin films during inductively coupled argon plasma treatment with its duration varied from 10 to 120 s. The initial films were grown on glass substrates using the selenization technique. During the CIGS film surface treatment in the high-density low-pressure radio-frequency inductively coupled argon plasma there took place a formation of arrays of uniform vertical nanostructures, which shape with increasing processing duration changed from nanocones to nanorods and back to nanocones. A model of the nanotip plasma-assisted self-formation associated with the implementation of micromasking and vapor-liquid-solid mechanisms involving metallic In-Ga (In-Ga-Cu) liquid alloy droplets is proposed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Crystalline phase control and growth selectivity of β-MnO{sub 2} thin films by remote plasma assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Abi-Akl, M.; Tabbal, M., E-mail: malek.tabbal@aub.edu.lb; Kassem, W.

    2016-08-01

    In this paper, we exploit the effect of coupling an oxygen remote plasma source to Pulsed Laser Deposition (PLD) for the growth of pure and well crystallized β-MnO{sub 2} films. Films were grown on Si substrates by laser ablation of a MnO target in oxygen ambient and remote plasma. X-Ray Diffraction, Fourier Transform Infra-Red spectroscopy and Raman scattering were used to determine the crystalline structure and bonding in the grown layers, whereas Atomic Force Microscopy was used to study their morphology and surface roughness. Deposition at 500 °C and high oxygen pressure (33.3–66.6 Pa) resulted in the formation of films with roughness of 12 nm consisting of nsutite γ-MnO{sub 2}, a structure characterized by the intergrowth of the pyrolusite β-MnO{sub 2} in a ramsdellite R-MnO{sub 2} matrix. Deposition at the same temperature but low pressure (1.33–3.33 Pa) in oxygen ambient lead to the formation of Mn{sub 2}O{sub 3} whereas plasma activation within the same pressure range induced the growth of single phase highly crystalline β-MnO{sub 2} having smooth surfaces with a roughness value of 0.6 nm. Such results underline the capability of remote plasma assisted PLD in selecting and controlling the crystalline phase of manganese oxide layers. - Highlights: • MnO{sub 2} films were grown by Remote Plasma Assisted Pulsed Laser Deposition. • Crystalline MnO{sub 2} is formed at a substrate temperature of 500 °C. • Smooth crystalline single phase β-MnO{sub 2} films were obtained at 1.33–3.33 Pa. • Deposition at 1.33–3.33 Pa without plasma activation lead to the growth of Mn{sub 2}O{sub 3}. • Without plasma, mixed phases of MnO{sub 2} polymorphs are obtained at 33.3 Pa and above.

  17. Cold atmospheric-pressure plasma and bacteria: understanding the mode of action using vibrational microspectroscopy

    International Nuclear Information System (INIS)

    Kartaschew, Konstantin; Mischo, Meike; Bründermann, Erik; Havenith, Martina; Baldus, Sabrina; Awakowicz, Peter

    2016-01-01

    Cold atmospheric-pressure plasma show promising antimicrobial effects, however the detailed biochemical mechanism of the bacterial inactivation is still unknown. We investigated, for the first time, plasma-treated Gram-positive Bacillus subtilis and Gram-negative Escherichia coli bacteria with Raman and infrared microspectroscopy. A dielectric barrier discharge was used as a plasma source. We were able to detect several plasma-induced chemical modifications, which suggest a pronounced oxidative effect on the cell envelope, cellular proteins and nucleotides as well as a generation of organic nitrates in the treated bacteria. Vibrational microspectroscopy is used as a comprehensive and a powerful tool for the analysis of plasma interactions with whole organisms such as bacteria. Analysis of reaction kinetics of chemical modifications allow a time-dependent insight into the plasma-mediated impact. Investigating possible synergistic effects between the plasma-produced components, our observations strongly indicate that the detected plasma-mediated chemical alterations can be mainly explained by the particle effect of the generated reactive species. By changing the polarity of the applied voltage pulse, and hence the propagation mechanisms of streamers, no significant effect on the spectral results could be detected. This method allows the analysis of the individual impact of each plasma constituent for particular chemical modifications. Our approach shows great potential to contribute to a better understanding of plasma-cell interactions. (paper)

  18. Improving the low temperature dyeability of polyethylene terephthalate fabric with dispersive dyes by atmospheric pressure plasma discharge

    International Nuclear Information System (INIS)

    Elabid, Amel E.A.; Zhang, Jie; Shi, Jianjun; Guo, Ying; Ding, Ke; Zhang, Jing

    2016-01-01

    Graphical abstract: - Highlights: • Atmospheric pressure glow-like plasma with fine and uniform filament discharge has been successfully applied to the low temperature dyeing (95 °C) of PET fabric. • Simultaneously the dye uptake was increased as twice as much and the color strength rate was increased by about 20% for less than 3 min plasma treated PET. • Dyeing mechanism research showed the significance of surface roughing and functional group introduction by this kind of discharge. • Results highlight a novel environmentally friendly dyeing process for one of the largest commodity in polymer fabric. - Abstract: Polyethylene terephthalate (PET) fiber and textile is one of the largest synthetic polymer commodity in the world. The great energy consumption and pollution caused by the high temperature and pressure dyeing of PET fibers and fabrics with disperse dyes has been caused concern these years. In this study, an atmospheric pressure plasma with fine and uniform filament discharge operated at 20 kHz has been used to improve the low temperature dyeability of PET fabric at 95 °C with three cation disperse dyes: Red 73, Blue 183 and Yellow 211. The dyes uptake percentage of the treated PET fabrics was observed to increase as twice as much of untreated fabric. The color strength rate was increased more than 20%. The reducing of the water contact angle and the raising of the capillary height of treated PET fabric strip indicate its hydrophilicity improvement. Scanning electron microscope (SEM) results display nano to micro size of etching pits appeared uniformly on the fiber surface of the treated PET. Simultaneously, X-ray photoelectron spectroscopy (XPS) analysis indicates an increase of the oxygen content in the surface caused by the introduction of polar groups such as C=O and COOH. The rough surface with improved polar oxygen groups showed hydrophilicity and affinity to C.I. dispersive dyes and is believed to be caused by the strong and very fine

  19. Physico-chemical induced modification of seed germination and early development in artichoke (Cynara scolymus L.) using low energy plasma technology

    Science.gov (United States)

    Hosseini, Seyed Iman; Mohsenimehr, Soad; Hadian, Javad; Ghorbanpour, Mansour; Shokri, Babak

    2018-01-01

    In this study, low pressure non-thermal radiofrequency nitrogen plasma at very low power has been used to treat the artichoke seeds on the powered cathode for the first time. The influence of treatment time on the surface physical properties, germination rate, seedling growth, and enzyme activity of the seeds has been investigated. Results showed that plasma treatment considerably improved the germination rate and seedling growth. The root length grew by 28.5% and 50% and root dry weight increased by 13% and 53%, respectively, for 10 and 15 min of treatment. The same trend has been found for the shoot growth parameters although the greater stimulatory efficacy on root has been obtained. The nitrogen plasma treatment substantially made the seeds' surface hydrophilic which leads to 36.9% improvement in seed's water uptake at 15 min of treatment. Our study showed the activity of peroxidase and catalase enzymes slightly increased after the plasma treatment.

  20. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  1. Atmospheric-pressure electric discharge as an instrument of chemical activation of water solutions

    Science.gov (United States)

    Rybkin, V. V.; Shutov, D. A.

    2017-11-01

    Results of experimental studies and numerical simulations of physicochemical characteristics of plasmas generated in different types of atmospheric-pressure discharges (pulsed streamer corona, gliding electric arc, dielectric barrier discharge, glow-discharge electrolysis, diaphragmatic discharge, and dc glow discharge) used to initiate various chemical processes in water solutions are analyzed. Typical reactor designs are considered. Data on the power supply characteristics, plasma electron parameters, gas temperatures, and densities of active particles in different types of discharges excited in different gases and their dependences on the external parameters of discharges are presented. The chemical composition of active particles formed in water is described. Possible mechanisms of production and loss of plasma particles are discussed.

  2. Surface processing and ageing behavior of silk fabrics treated with atmospheric-pressure plasma for pigment-based ink-jet printing

    Science.gov (United States)

    Zhang, Chunming; Wang, Libing; Yu, Miao; Qu, Lijun; Men, Yajing; Zhang, Xiangwu

    2018-03-01

    Pigment inkjet printing has highlighted the advantages of cost-effective, short production cycle and environment-friendly. However, patterns directly printed with pigment inks usually have low color yields and blurry images which are caused by bleeding phenomenon. This work presents an atmospheric-pressure plasma method for improving the pigment-based ink-jet printing performance of silk fabrics. The effects of surface changes induced are discussed, with data derived from morphological study by atomic force microscopy (AFM), chemical analysis using X-ray photoelectron spectroscopy (XPS) and contact angle measurement. Ink-jet printing experiments were conducted to study the influence of measured changes on anti-bleeding property and color strength of treated and original samples. The ageing experiment indicates that the modified silk fabrics should be printed within 24 h after plasma processing for maximum color yields. This study explores an effective approach for the atmospheric-pressure plasma, which can provide its significant use in improving the surface properties and ink-jet printing performance of fabrics.

  3. Mercury-free electrodeless discharge lamp: effect of xenon pressure and plasma parameters on luminance

    International Nuclear Information System (INIS)

    Nazri Dagang Ahmad; Kondo, Akira; Motomura, Hideki; Jinno, Masafumi

    2009-01-01

    Since there is much concern about environmental preservation, the authors have paid attention to the uses of mercury in lighting application. They have focused on the application of the xenon low-pressure inductively coupled plasma (ICP) discharge in developing cylindrical type mercury-free light sources. ICP can be operated at low filling gas pressures and demonstrates significant potential in producing high density plasma. Xenon pressure was varied from 0.1 to 100 Torr and the lamp luminance was measured. The gas pressure dependence shows an increase in luminance at pressures below 1 Torr. In order to clarify this behaviour, measurement of plasma parameters was carried out using the double probe method and its relation to lamp luminance is discussed. As the gas pressure is decreased (from 1 to 0.01 Torr), the electron temperature increases while the electron density decreases while at the same time the lamp luminance increases. There are several factors that are believed to contribute to the increase in luminance in the very low pressure region. Increases in luminance are considered to be due to the electron-ion recombination process which brings a strong recombination radiation in continuum in the visible region and also due to the effect of stochastic heating.

  4. Correlation between Balmer α emission and hydrogen flux through a superpermeable niobium membrane in a low-pressure multicusp plasma source

    International Nuclear Information System (INIS)

    Bruneteau, A.M.; Notkin, M.E.; Livshits, A.I.; Bacal, M.

    2002-01-01

    The purpose of this paper is to correlate hydrogen or deuterium flux through super permeable membranes with incident hydrogen or deuterium atom flux from the plasma. To this aim a hydrogen or deuterium plasma is created in a hybrid multicusp plasma source. We investigate Balmer α emission from the multicusp plasma and the output pressure behind a superpermeable niobium membrane immersed in the plasma.The output pressure is proportional to the flux of atoms and ions arriving on the membrane. We find that both output pressure and excited atoms emission satisfy plasma parameters relations. It is thus verified that plasma-driven superpermeation of hydrogen is due essentially to neutral atoms from the plasma incident to the membrane

  5. Low-Energy Electron Scattering Data for Chemical Plasma Treatment of Biomass

    International Nuclear Information System (INIS)

    Lima, Marco A.P.

    2014-01-01

    Full text: Replacing fossil fuels with biofuels from renewable sources is an important goal for reducing greenhouse gas emissions. Many countries are already using few percent of ethanol in the gasoline and few of them, with more aggressive programs, have developed flex fuel engines that can run with any mixture of gasoline and ethanol. An important point is how to produce ethanol in a sustainable way and with which technology? Biomass is a good candidate since it has cellulose and hemicellulose as source of sugars. In order to liberate these sugars for fermentation, it is important to learn how to separate the main components. Chemical routes (acid treatment) and biological routes (enzymatic hydrolysis) are combined and used for these purposes. Atmospheric plasmas can be useful for attacking the biomass in a controlled manner and low-energy electrons may have an important role in the process. Recently we have been studying the interaction of electrons with lignin subunits (phenol, guaiacol, p-coumaryl alcohol), cellulose components, β-D-glucose and cellobiose (β(1 - 4) linked glucose dimer) and hemicellulose components (β-D-xylose). We also obtained results for the amylose subunits α-D-glucose and maltose (α(1 - 4) linked glucose dimer). Altogether, the resonance spectra of lignin, cellulose and hemicellulose components establish a physical–chemical basis for electron-induced biomass pretreatment that could be applied to biofuel production. In my talk I will give a progress report on this matter. We will also discuss microsolvation effects on the electron-phenol scattering process and present our strategy to study molecular dissociation through electronic excitation of low energy triplet states. (author)

  6. Spectroscopy of reactive species produced by low-energy atmospheric-pressure plasma on conductive target material surface

    International Nuclear Information System (INIS)

    Yamada, Hiromasa; Sakakita, Hajime; Kato, Susumu; Kim, Jaeho; Kiyama, Satoru; Fujiwara, Masanori; Itagaki, Hirotomo; Ikehara, Yuzuru; Okazaki, Toshiya; Ikehara, Sanae; Nakanishi, Hayao; Shimizu, Nobuyuki

    2016-01-01

    A method for blood coagulation using low-energy atmospheric-pressure plasma (LEAPP) is confirmed as an alternative procedure to reduce tissue damage caused by heat. Blood coagulation using LEAPP behaves differently depending on working gas species; helium is more effective than argon in promoting fast coagulation. To analyse the difference in reactive species produced by helium and argon plasma, spectroscopic measurements were conducted without and with a target material. To compare emissions, blood coagulation experiments using LEAPP for both plasmas were performed under almost identical conditions. Although many kinds of reactive species such as hydroxyl radicals and excited nitrogen molecules were observed with similar intensity in both plasmas, intensities of nitrogen ion molecules and nitric oxide molecules were extremely strong in the helium plasma. It is considered that nitrogen ion molecules were mainly produced by penning ionization by helium metastable. Near the target, a significant increase in the emissions of reactive species is observed. There is a possibility that electron acceleration was induced in a local electric field formed on the surface. However, in argon plasma, emissions from nitrogen ion were not measured even near the target surface. These differences between the two plasmas may be producing the difference in blood coagulation behaviour. To control the surrounding gas of the plasma, a gas-component-controllable chamber was assembled. Filling the chamber with O 2 /He or N 2 /He gas mixtures selectively produces either reactive oxygen species or reactive nitrogen species. Through selective treatments, this chamber would be useful in studying the effects of specific reactive species on blood coagulation. (paper)

  7. Spectroscopy of reactive species produced by low-energy atmospheric-pressure plasma on conductive target material surface

    Science.gov (United States)

    Yamada, Hiromasa; Sakakita, Hajime; Kato, Susumu; Kim, Jaeho; Kiyama, Satoru; Fujiwara, Masanori; Itagaki, Hirotomo; Okazaki, Toshiya; Ikehara, Sanae; Nakanishi, Hayao; Shimizu, Nobuyuki; Ikehara, Yuzuru

    2016-10-01

    A method for blood coagulation using low-energy atmospheric-pressure plasma (LEAPP) is confirmed as an alternative procedure to reduce tissue damage caused by heat. Blood coagulation using LEAPP behaves differently depending on working gas species; helium is more effective than argon in promoting fast coagulation. To analyse the difference in reactive species produced by helium and argon plasma, spectroscopic measurements were conducted without and with a target material. To compare emissions, blood coagulation experiments using LEAPP for both plasmas were performed under almost identical conditions. Although many kinds of reactive species such as hydroxyl radicals and excited nitrogen molecules were observed with similar intensity in both plasmas, intensities of nitrogen ion molecules and nitric oxide molecules were extremely strong in the helium plasma. It is considered that nitrogen ion molecules were mainly produced by penning ionization by helium metastable. Near the target, a significant increase in the emissions of reactive species is observed. There is a possibility that electron acceleration was induced in a local electric field formed on the surface. However, in argon plasma, emissions from nitrogen ion were not measured even near the target surface. These differences between the two plasmas may be producing the difference in blood coagulation behaviour. To control the surrounding gas of the plasma, a gas-component-controllable chamber was assembled. Filling the chamber with O2/He or N2/He gas mixtures selectively produces either reactive oxygen species or reactive nitrogen species. Through selective treatments, this chamber would be useful in studying the effects of specific reactive species on blood coagulation.

  8. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mTorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mTorr argon pressures. The modelling of electron trajectories near

  9. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  10. Plasma catalysis for nitrogen fixation reactions

    NARCIS (Netherlands)

    Patil, B.S.; Wang, Q.; Hessel, V.; Lang, J.; Stankiewicz, A.; Stefanidis, G.

    2016-01-01

    The preferences for localized chemicals production and changing scenarios of renewable electricity cost gives a renewed boost to plasma-assisted valuable chemicals production. Especially, plasma-assisted nitrogen fixation for fertilizer production has the potential to largely change the energy

  11. Note: Interpolation for evaluation of a two-dimensional spatial profile of plasma densities at low gas pressures

    International Nuclear Information System (INIS)

    Oh, Se-Jin; Kim, Young-Chul; Chung, Chin-Wook

    2011-01-01

    An interpolation algorithm for the evaluation of the spatial profile of plasma densities in a cylindrical reactor was developed for low gas pressures. The algorithm is based on a collisionless two-dimensional fluid model. Contrary to the collisional case, i.e., diffusion fluid model, the fitting algorithm depends on the aspect ratio of the cylindrical reactor. The spatial density profile of the collisionless fitting algorithm is presented in two-dimensional images and compared with the results of the diffusion fluid model.

  12. Application of low temperature plasmas for restoration/conservation of archaeological objects

    Science.gov (United States)

    Krčma, F.; Blahová, L.; Fojtíková, P.; Graham, W. G.; Grossmannová, H.; Hlochová, L.; Horák, J.; Janová, D.; Kelsey, C. P.; Kozáková, Z.; Mazánková, V.; Procházka, M.; Přikryl, R.; Řádková, L.; Sázavská, V.; Vašíček, M.; Veverková, R.; Zmrzlý, M.

    2014-12-01

    The low-temperature low-pressure hydrogen based plasmas were used to study the influence of processes and discharge conditions on corrosion removal. The capacitive coupled RF discharge in the continuous or pulsed regime was used at operating pressure of 100-200 Pa. Plasma treatment was monitored by optical emission spectroscopy. To be able to study influence of various process parameters, the model corroded samples with and without sandy incrustation were prepared. The SEM-EDX analyzes were carried out to verify corrosion removal efficiency. Experimental conditions were optimized for the selected most frequent materials of original metallic archaeological objects (iron, bronze, copper, and brass). Chlorides removal is based on hydrogen ion reactions while oxides are removed mainly by neutral species interactions. A special focus was kept for the samples temperature because it was necessary to avoid any metallographic changes in the material structure. The application of higher power pulsed regime with low duty cycle seems be the best treatment regime. The low pressure hydrogen plasma is not applicable for objects with a very broken structure or for nonmetallic objects due to the non-uniform heat stress. Due to this fact, the new developed plasmas generated in liquids were applied on selected original archaeological glass materials.

  13. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  14. Nanodiamonds in dusty low-pressure plasmas

    International Nuclear Information System (INIS)

    Vandenbulcke, L.; Gries, T.; Rouzaud, J. N.

    2009-01-01

    Dusty plasmas composed of carbon, hydrogen, and oxygen have been evidenced by optical emission spectroscopy and microwave interferometry, due to the increase in electron energy and the decrease in electron density. These plasmas allow homogeneous synthesis of nanodiamond grains composed of either pure diamond nanocrystals only (2-10 nm in size) or of diamond nanocrystals and some sp 2 -hybridized carbon entities. The control of their size and their microstructure could open ways for a wide range of fields. Their formation from a plasma-activated gaseous phase is also attractive because the formation of nanodiamonds in the universe is still a matter of controversy

  15. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  16. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  17. Laser Thomson scattering diagnostics of non-equilibrium high pressure plasmas

    International Nuclear Information System (INIS)

    Muraoka, K.; Uchino, K.; Bowden, M.D.; Noguchi, Y.

    2001-01-01

    For various applications of non-equilibrium high pressure plasmas, knowledge of electron properties, such as electron density, electron temperature and/or electron energy distribution function (eedf), is prerequisite for any rational approach to understanding physical and chemical processes occurring in the plasmas. For this purpose, laser Thomson scattering has been successfully applied for the first time to measure the electron properties in plasmas for excimer laser pumping and in microdischarges. Although this diagnostic technique is well established for measurements in high temperature plasmas, its applications to these glow discharge plasmas have had various inherent difficulties, such as a presence of high density neutral particles (>10 21 m -3 ) in the excimer laser pumping discharges and an extremely small plasma size (<0.1 mm) and the presence of nearby walls for microdischarges. These difficulties have been overcome and clear signals have been obtained. The measured results are presented and their implications in the respective discharge phenomena are discussed

  18. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  19. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  20. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  1. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  2. Quality Management and Control of Low Pressure Cast Aluminum Alloy

    Science.gov (United States)

    Zhang, Dianxi; Zhang, Yanbo; Yang, Xiufan; Chen, Zhaosong; Jiang, Zelan

    2018-01-01

    This paper briefly reviews the history of low pressure casting and summarizes the major production processes of low pressure casting. It briefly introduces the quality management and control of low pressure cast aluminum alloy. The main processes include are: preparation of raw materials, Melting, refining, physical and chemical analysis, K-mode inspection, sand core, mold, heat treatment and so on.

  3. Radio frequency energy coupling to high-pressure optically pumped nonequilibrium plasmas

    International Nuclear Information System (INIS)

    Plonjes, Elke; Palm, Peter; Lee, Wonchul; Lempert, Walter R.; Adamovich, Igor V.

    2001-01-01

    This article presents an experimental demonstration of a high-pressure unconditionally stable nonequilibrium molecular plasma sustained by a combination of a continuous wave CO laser and a sub-breakdown radio frequency (rf) electric field. The plasma is sustained in a CO/N 2 mixture containing trace amounts of NO or O 2 at pressures of P=0.4 - 1.2atm. The initial ionization of the gases is produced by an associative ionization mechanism in collisions of two CO molecules excited to high vibrational levels by resonance absorption of the CO laser radiation with subsequent vibration-vibration (V-V) pumping. Further vibrational excitation of both CO and N 2 is produced by free electrons heated by the applied rf field, which in turn produces additional ionization of these species by the associative ionization mechanism. In the present experiments, the reduced electric field, E/N, is sufficiently low to preclude field-induced electron impact ionization. Unconditional stability of the resultant cold molecular plasma is enabled by the negative feedback between gas heating and the associative ionization rate. Trace amounts of nitric oxide or oxygen added to the baseline CO/N 2 gas mixture considerably reduce the electron - ion dissociative recombination rate and thereby significantly increase the initial electron density. This allows triggering of the rf power coupling to the vibrational energy modes of the gas mixture. Vibrational level populations of CO and N 2 are monitored by infrared emission spectroscopy and spontaneous Raman spectroscopy. The experiments demonstrate that the use of a sub-breakdown rf field in addition to the CO laser allows an increase of the plasma volume by about an order of magnitude. Also, CO infrared emission spectra show that with the rf voltage turned on the number of vibrationally excited CO molecules along the line of sight increase by a factor of 3 - 7. Finally, spontaneous Raman spectra of N 2 show that with the rf voltage the vibrational

  4. Plasma polymerization by Softplasma

    DEFF Research Database (Denmark)

    Jiang, J.; Wu, Zhenning; Benter, Maike

    2008-01-01

    , external electrode, and electrodeless microwave or high frequency reactors. [3] Softplasma™ is an internal electrode plasma setup powered by low frequenc~ gower supply. It was developed in late 90s for surface treatment of silicone rubber. [ ]- 5] It is a low pressure, low electron density, 3D homogenous......In the late 19th century, the first depositions - known today as plasma polymers, were reported. In the last century, more and more research has been put into plasma polymers. Many different deposition systems have been developed. [1, 2] Shi F. F. broadly classified them into internal electrode...... plasma. In this study, we are presenting the surface modification"pf polymers by plasma polymerization using Softplasma™. Softplasma™ can be used for two major types of polymerization: polymerization of vinyl monomers, where plasma acts as initiator; chemical vapour deposition, where plasma acts...

  5. Nonequilibrium atmospheric pressure plasma jet using a combination of 50 kHz/2 MHz dual-frequency power sources

    International Nuclear Information System (INIS)

    Zhou, Yong-Jie; Yuan, Qiang-Hua; Li, Fei; Wang, Xiao-Min; Yin, Gui-Qin; Dong, Chen-Zhong

    2013-01-01

    An atmospheric pressure plasma jet is generated by dual sinusoidal wave (50 kHz and 2 MHz). The dual-frequency plasma jet exhibits the advantages of both low frequency and radio frequency plasmas, namely, the long plasma plume and the high electron density. The radio frequency ignition voltage can be reduced significantly by using dual-frequency excitation compared to the conventional radio frequency without the aid of the low frequency excitation source. A larger operating range of α mode discharge can be obtained using dual-frequency excitation which is important to obtain homogeneous and low-temperature plasma. A larger controllable range of the gas temperature of atmospheric pressure plasma could also be obtained using dual-frequency excitation

  6. Effects of pressure anisotropy on plasma transport

    International Nuclear Information System (INIS)

    Zawaideh, E.; Najmabadi, F.; Conn, R.W.

    1986-03-01

    In a recent paper a new set of generalized two-field equations is derived which describes plasma transport along the field lines of a space and time dependent magnetic field. These equations are valid for collisional to weakly collisional plasmas; they reduce to the conventional fluid equations of Braginskii for highly collisional plasmas. An important feature of these equations is that the anisotropy in the ion pressure is explicitly included. In this paper, these generalized transport equations are applied to a model problem of plasma flow through a magnetic mirror field. The profiles of the plasma parameters (density, flow speed, and pressures) are numerically calculated for plasma in different collisionality regimes. These profiles are explained by examining the competing terms in the transport equation. The pressure anisotropy is found to profoundly impact the plasma flow behavior. As a result, the new generalized equations predict flow behavior more accurately than the conventional transport equations. A large density and pressure drop is predicted as the flow passes through a magnetic mirror. Further, the new equations uniquely predict oscillations in the density profile, an effect missing in results from the conventional equations

  7. UV excimer laser and low temperature plasma treatments of polyamide materials

    Science.gov (United States)

    Yip, Yiu Wan Joanne

    Polyamides have found widespread application in various industrial sectors, for example, they are used in apparel, home furnishings and similar uses. However, the requirements for high quality performance products are continually increasing and these promote a variety of surface treatments for polymer modification. UV excimer laser and low temperature plasma treatments are ideally suited for polyamide modification because they can change the physical and chemical properties of the material without affecting its bulk features. This project aimed to study the modification of polyamides by UV excimer laser irradiation and low temperature plasma treatment. The morphological changes in the resulting samples were analysed by scanning electron microscopy (SEM) and tapping mode atomic force microscopy (TM-AFM). The chemical modifications were studied by x-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (ToF-SIMS) and chemical force microscopy (CFM). Change in degree of crystallinity was examined by differential scanning calorimetry (DSC). After high-fluence laser irradiation, topographical results showed that ripples of micrometer size form on the fibre surface. By contrast, sub-micrometer size structures form on the polyamide surface when the applied laser energy is well below its ablation threshold. After high-fluence laser irradiation, chemical studies showed that the surface oxygen content of polyamide is reduced. A reverse result is obtained with low-fluence treatment. The DSC result showed no significant change in degree of crystallinity in either high-fluence or low-fluence treated samples. The same modifications in polyamide surfaces were studied after low temperature plasma treatment with oxygen, argon or tetrafluoromethane gas. The most significant result was that the surface oxygen content of polyamide increased after oxygen and argon plasma treatments. Both treatments induced many hydroxyl (-OH) and carboxylic acid (-COOH

  8. Effects of low pressure plasma treatments on DSSCs based on rutile TiO2 array photoanodes

    International Nuclear Information System (INIS)

    Wang, Weiqi; Chen, Jiazang; Luo, Jianqiang; Zhang, Yuzhi; Gao, Lian; Liu, Yangqiao; Sun, Jing

    2015-01-01

    Graphical abstract: - Highlights: • Plasma treatment effects on rutile nanorod arrays studied. • Dye adsorption amount increased by all plasma treatment. • Flat-band potential positively shifted after NP and OP treatments. • Cell performance improved by NP and OP treatments. - Abstract: In this paper, three types of low pressure plasma including hydrogen (HP), oxygen (OP) and nitrogen (NP) treatments have been utilized for the first time to improve DSSCs based on rutile TiO 2 array photoanodes. Their effects on the photoanodes and the cell performance have been systematically compared by characterizing the dye loading amount, flat-band potential, donor concentration, electron lifetime and the photovoltaic parameters. Experimental results show that all the three plasma treatments increase the dye loading owing to improved hydrophilicity or enhanced surface roughness. It is found that NP and OP treatments significantly increase the TiO 2 donor concentration and decrease trapping sites. By this way, the electron transport is enhanced and the electron recombination is effectively restrained. These comprehensive effects make NP and OP treatments beneficial for the overall performance, by which 13% and 5% increases in efficiency are achieved. However, HP treatment causes obvious reduction in the donor concentration and more severe electron recombination, which decreases the efficiency by about 15%

  9. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    -level aerosol chemical and biological threats. Polymer solution concentration, electrospinning voltage, and deposition areal density were varied to establish the relationship of processing-structure-filtration efficiency for electrospun fiber mats. A high barrier efficiency of greater than 99.5% was achieved on electrospun fiber mats without sacrificing air permeability and pressure drop. ii) Fabrication and Characterization of Multifunctional ZnO/Nylon 6 nanofibers ZnO/Nylon 6 nanofiber mats were prepared by an electrospinning-electrospraying hybrid process, The electrospinning of polymer solution and electrospraying of ZnO particles were carried out simultaneously such that the ZnO nanoparticles were dispersed on the surface of Nylon 6 nanofibers. The prepared ZnO/Nylon 6 nanofiber mats were tested for detoxifying characteristics against simulants of C-B agents. The results showed that ZnO/Nylon 6 functional nanofiber mats exhibited good detoxification action against paraoxon and have antibacterial efficiency over 99.99% against both the gram-negative E. coli and gram positive B. cereus bacteria. iii) Improving adhesion of electrospun nanofiber mat onto woven fabric by plasma pretreatment of substrate fabric and plasma-electrospinning hybrid process Electrospun nanofibers were deposited onto plasma-pretreated woven fabric to improve the adhesion. In addition, the plasma-electrospinning hybrid process was developed and used in which the nanofibers were subjected to in-situ plasma treatment during electrospinning. The effects of plasma treatement on substrate fabric and electrospun fibers were characterized by water contact angle test, XPS analyses. The improvement of nanofiber adhesive properties on fabric substrate was evaluated by peel test, flex resistance test and abrasion resistance test. The test results showed that the plasma treatment caused introduction of active chemical groups on substrate fabric and electrospun nanofibers. These active chemical assisted in possible

  10. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  11. Low temperature plasma biomedicine: A tutorial review

    International Nuclear Information System (INIS)

    Graves, David B.

    2014-01-01

    Gas discharge plasmas formed at atmospheric pressure and near room temperature have recently been shown to be potentially useful for surface and wound sterilization, antisepsis, bleeding cessation, wound healing, and cancer treatment, among other biomedical applications. This tutorial review summarizes the field, stressing the likely role of reactive oxygen and nitrogen species created in these plasmas as the biologically and therapeutically active agents. Reactive species, including radicals and non-radical compounds, are generated naturally within the body and are now understood to be essential for normal biological functions. These species are known to be active agents in existing therapies for wound healing, infection control, and cancer treatment. But they are also observed at elevated levels in persons with many diseases and are associated with aging. The physical and chemical complexity of plasma medical devices and their associated biochemical effects makes the development of safe, effective plasma medical devices and procedures a challenge, but encouragingly rapid progress has been reported around the world in the last several years

  12. Low temperature plasma biomedicine: A tutorial review

    Energy Technology Data Exchange (ETDEWEB)

    Graves, David B., E-mail: graves@berkeley.edu [University of California at Berkeley, Berkeley, California 94720 (United States)

    2014-08-15

    Gas discharge plasmas formed at atmospheric pressure and near room temperature have recently been shown to be potentially useful for surface and wound sterilization, antisepsis, bleeding cessation, wound healing, and cancer treatment, among other biomedical applications. This tutorial review summarizes the field, stressing the likely role of reactive oxygen and nitrogen species created in these plasmas as the biologically and therapeutically active agents. Reactive species, including radicals and non-radical compounds, are generated naturally within the body and are now understood to be essential for normal biological functions. These species are known to be active agents in existing therapies for wound healing, infection control, and cancer treatment. But they are also observed at elevated levels in persons with many diseases and are associated with aging. The physical and chemical complexity of plasma medical devices and their associated biochemical effects makes the development of safe, effective plasma medical devices and procedures a challenge, but encouragingly rapid progress has been reported around the world in the last several years.

  13. Low temperature plasma biomedicine: A tutorial reviewa)

    Science.gov (United States)

    Graves, David B.

    2014-08-01

    Gas discharge plasmas formed at atmospheric pressure and near room temperature have recently been shown to be potentially useful for surface and wound sterilization, antisepsis, bleeding cessation, wound healing, and cancer treatment, among other biomedical applications. This tutorial review summarizes the field, stressing the likely role of reactive oxygen and nitrogen species created in these plasmas as the biologically and therapeutically active agents. Reactive species, including radicals and non-radical compounds, are generated naturally within the body and are now understood to be essential for normal biological functions. These species are known to be active agents in existing therapies for wound healing, infection control, and cancer treatment. But they are also observed at elevated levels in persons with many diseases and are associated with aging. The physical and chemical complexity of plasma medical devices and their associated biochemical effects makes the development of safe, effective plasma medical devices and procedures a challenge, but encouragingly rapid progress has been reported around the world in the last several years.

  14. Effects of low-pressure nitrogen plasma treatment on the surface properties and electrochemical performance of the polyethylene separator used lithium-ion batteries

    Science.gov (United States)

    Li, Chun; Li, Hsiao-Ling; Li, Chi-Heng; Liu, Yu-Shuan; Sung, Yu-Ching; Huang, Chun

    2018-01-01

    In this paper, we describe the surface transition of the polyethylene (PE) separator used in lithium-ion batteries treated by low-pressure nitrogen plasma discharge. The nitrogen-plasma-treated PE separator was characterized by contact angle measurement, Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, and scanning electron microscopy. The electrochemical performance of the lithium ion batteries fabricated with the nitrogen-plasma-treated separator was also evaluated. Results showed that polar functionalization groups were induced on the PE surface by the nitrogen plasma discharge, causing the surface to become hydrophilic. The increases in surface wettability and surface free energy result in electrolyte retention improvement. Moreover, the nitrogen plasma-treated PE separator leads to superior performance in lithium-ion battery assembly.

  15. On atmospheric-pressure non-equilibrium plasma jets and plasma bullets

    International Nuclear Information System (INIS)

    Lu, X; Laroussi, M; Puech, V

    2012-01-01

    Atmospheric-pressure non-equilibrium plasma jets (APNP-Js), which generate plasma in open space rather than in a confined discharge gap, have recently been a topic of great interest. In this paper, the development of APNP-Js will be reviewed. Firstly, the APNP-Js are grouped based on the type of gas used to ignite them and their characteristics are discussed in detail. Secondly, one of the most interesting phenomena of APNP-Js, the ‘plasma bullet’, is discussed and its behavior described. Thirdly, the very recent developments on the behavior of plasma jets when launched in a controlled environment and pressure are also introduced. This is followed by a discussion on the interaction between plasma jets. Finally, perspectives on APNP-J research are presented. (paper)

  16. An Alternative to Annealing TiO2 Nanotubes for Morphology Preservation: Atmospheric Pressure Plasma Jet Treatment.

    Science.gov (United States)

    Seo, Sang-Hee; Uhm, Soo-Hyuk; Kwon, Jae-Sung; Choi, Eun Ha; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2015-03-01

    Titanium oxide nanotube layer formed by plasma electrolytic oxidation (PEO) is known to be excellent in biomaterial applications. However, the annealing process which is commonly performed on the TiO2 nanotubes cause defects in the nanotubular structure. The purpose of this work was to apply a non-thermal atmospheric pressure plasma jet on diameter-controlled TiO2 nanotubes to mimic the effects of annealing while maintaining the tubular structure for use as biomaterial. Diameter-controlled nanotube samples fabricated by plasma electrolytic oxidation were dried and prepared under three different conditions: untreated, annealed at 450 °C for 1 h in air with a heating rate of 10 °C/min, and treated with an air-based non-thermal atmospheric pressure plasma jet for 5 minutes. The contact angle measurement was investigated to confirm the enhanced hydrophilicity of the TiO2 nanotubes. The chemical composition of the surface was studied using X-ray photoelectron spectroscopy, and the morphology of TiO2 nanotubes was examined by field emission scanning electron microscopy. For the viability of the cell, the attachment of the osteoblastic cell line MC3T3-E1 was determined using the water-soluble tetrazolium salt assay. We found that there are no morphological changes in the TiO2 nanotubular structure after the plasma treatment. Also, we investigated a change in the chemical composition and enhanced hydrophilicity which result in improved cell behavior. The results of this study indicated that the non-thermal atmospheric pressure plasma jet results in osteoblast functionality that is comparable to annealed samples while maintaining the tubular structure of the TiO2 nanotubes. Therefore, this study concluded that the use of a non-thermal atmospheric pressure plasma jet on nanotube surfaces may replace the annealing process following plasma electrolytic oxidation.

  17. Gas Diffusion Barriers Prepared by Spatial Atmospheric Pressure Plasma Enhanced ALD.

    Science.gov (United States)

    Hoffmann, Lukas; Theirich, Detlef; Pack, Sven; Kocak, Firat; Schlamm, Daniel; Hasselmann, Tim; Fahl, Henry; Räupke, André; Gargouri, Hassan; Riedl, Thomas

    2017-02-01

    In this work, we report on aluminum oxide (Al 2 O 3 ) gas permeation barriers prepared by spatial ALD (SALD) at atmospheric pressure. We compare the growth characteristics and layer properties using trimethylaluminum (TMA) in combination with an Ar/O 2 remote atmospheric pressure plasma for different substrate velocities and different temperatures. The resulting Al 2 O 3 films show ultralow water vapor transmission rates (WVTR) on the order of 10 -6 gm -2 d -1 . In notable contrast, plasma based layers already show good barrier properties at low deposition temperatures (75 °C), while water based processes require a growth temperature above 100 °C to achieve equally low WVTRs. The activation energy for the water permeation mechanism was determined to be 62 kJ/mol.

  18. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  19. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  20. Investigation of low pressure ES-SAGD

    Energy Technology Data Exchange (ETDEWEB)

    Ivory, J.; Zheng, R.; Nasr, T.; Deng, X.; Beaulieu, G.; Heck, G. [Alberta Research Council, Edmonton, AB (Canada)

    2008-10-15

    This paper described a scaled model experiment conducted to investigate the effectiveness of expanding solvent steam assisted gravity drainage (ES-SAGD) processes at low pressures. Lower SAGD pressures typically result in reduced oil production as a result of correspondingly lower steam temperatures. However, lower pressures may also result in a reduced steam to oil ratio (SOR) and a higher vaporization heat. Steam was injected into an injection well at 33 cm{sup 3} per minute and in a production well at 31 cm{sup 3} per minute. Steam and solvents were then co-injected into the injection well at a temperature of 206 degrees C. The experiment was history-matched and a parametric analysis was conducted using a simulation tool. The 2-D and 3-D field-scale simulations investigated the impact of operating pressures, injection rates; sub-cool; oil and gas phase diffusion and dispersion; live oil versus dead oil performance; and the use of drawdown when oil rates declined. Low pressure ES-SAGD was then compared with low-pressure SAGD. Results of the study suggested that production pressures, sub-cool and solvent concentrations are important parameters in ES-SAGD processes. At 1500 kPa production pressure and 10 degrees C sub-cool, the co-injection of solvent with steam increased average oil rates by 15 per cent more than the SAGD process. SOR was also reduced. 6 refs., 8 tabs., 20 figs.

  1. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  2. Pressure balance between lobe and plasma sheet

    International Nuclear Information System (INIS)

    Baumjohann, W.; Paschmann, G.; Luehr, H.

    1990-01-01

    Using eight months of AMPTE/IRM plasma and magnetic field data, the authors have done a statistical survey on the balance of total (thermal and magnetic) pressure in the Earth's plasma sheet and tail lobe. About 300,000 measurements obtained in the plasma sheet and the lobe were compared for different levels of magnetic activity as well as different distances from the Earth. The data show that lobe and plasma sheet pressure balance very well. Even in the worst case they do not deviate by more than half of the variance in the data itself. Approximately constant total pressure was also seen during a quiet time pass when IRM traversed nearly the whole magnetotail in the vertical direction, from the southern hemisphere lobe through the neutral sheet and into the northern plasma sheet boundary layer

  3. The Plasma Window: A Windowless High Pressure-Vacuum Interface for Various Accelerator Applications

    International Nuclear Information System (INIS)

    Hershcovitch, A. I.; Johnson, E. D.; Lanza, R. C.

    1999-01-01

    The Plasma Window is a stabilized plasma arc used as an interface between accelerator vacuum and pressurized targets. There is no solid material introduced into the beam and thus it is also capable of transmitting particle beams and electromagnetic radiation with low loss and of sustaining high beam currents without damage. Measurements on a prototype system with a 3 mm diameter opening have shown that pressure differences of more than 2.5 atmospheres can be sustained with an input pressure of ∼ 10 -6 Torr. The system is capable of scaling to higher-pressure differences and larger apertures. Various plasma window applications for synchrotron light sources, high power lasers, internal targets, high current accelerators such as the HAWK, ATW, APT, DARHT, spallation sources, as well as for a number of commercial applications, is discussed

  4. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  5. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  6. An extended CFD model to predict the pumping curve in low pressure plasma etch chamber

    Science.gov (United States)

    Zhou, Ning; Wu, Yuanhao; Han, Wenbin; Pan, Shaowu

    2014-12-01

    Continuum based CFD model is extended with slip wall approximation and rarefaction effect on viscosity, in an attempt to predict the pumping flow characteristics in low pressure plasma etch chambers. The flow regime inside the chamber ranges from slip wall (Kn ˜ 0.01), and up to free molecular (Kn = 10). Momentum accommodation coefficient and parameters for Kn-modified viscosity are first calibrated against one set of measured pumping curve. Then the validity of this calibrated CFD models are demonstrated in comparison with additional pumping curves measured in chambers of different geometry configurations. More detailed comparison against DSMC model for flow conductance over slits with contraction and expansion sections is also discussed.

  7. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  8. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  9. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  10. Gas and plasma dynamics of RF discharge jet of low pressure in a vacuum chamber with flat electrodes and inside tube, influence of RF discharge on the steel surface parameters

    Science.gov (United States)

    Khristoliubova, V. I.; Kashapov, N. F.; Shaekhov, M. F.

    2016-06-01

    Researches results of the characteristics of the RF discharge jet of low pressure and the discharge influence on the surface modification of high speed and structural steels are introduced in the article. Gas dynamics, power and energy parameters of the RF low pressure discharge flow in the discharge chamber and the electrode gap are studied in the presence of the materials. Plasma flow rate, discharge power, the concentration of electrons, the density of RF power, the ion current density, and the energy of the ions bombarding the surface materials are considered for the definition of basic properties crucial for the process of surface modification of materials as they were put in the plasma jet. The influence of the workpiece and effect of products complex configuration on the RF discharge jet of low pressure is defined. The correlation of the input parameters of the plasma unit on the characteristics of the discharge is established.

  11. Formation of hydrophobic coating on glass surface using atmospheric pressure non-thermal plasma in ambient air

    International Nuclear Information System (INIS)

    Fang, Z; Qiu, Y; Kuffel, E

    2004-01-01

    Non-thermal plasmas under atmospheric pressure are of great interest in material surface processing because of their convenience, effectiveness and low cost. In this paper, the treatment of a glass surface for improving hydrophobicity using a non-thermal plasma generated by a dielectric barrier corona discharge (DBCD) with a needle array-to-plane electrode arrangement in atmospheric air is conducted, and the surface properties of the glass before and after the DBCD treatment are studied using contact angle measurement, surface resistance measurement and the wet flashover voltage test. The effects of the plasma dose (the product of average discharge power and treatment time) of DBCD on the surface modification are studied, and the mechanism of interaction between the plasma and glass surface is discussed. It is found that a layer of hydrophobic coating is formed on the glass surface through DBCD treatment, and the improvement of hydrophobicity depends on the plasma dose of the DBCD. It seems that there is an optimum plasma dose for the surface treatment. The test results of thermal ageing and chemical ageing show that the hydrophobic layer has quite stable characteristics

  12. Synergistic effects of non-thermal plasma-assisted catalyst and ultrasound on toluene removal.

    Science.gov (United States)

    Sun, Yongli; Zhou, Libo; Zhang, Luhong; Sui, Hong

    2012-01-01

    A wire-mesh catalyst coated by La0.8Sr0.2MnO3 was combined with a dielectric barrier discharge (DBD) reactor for toluene removal at atmospheric pressure. It was found that toluene removal efficiency and carbon dioxide selectivity were enhanced in the catalytic packed-bed reactor. In addition, ozone and nitrogen monoxide from the gas effluent byproducts decreased. This is the first time that ultrasound combined with plasma has been used for toluene removal. A synergistic effect on toluene removal was observed in the plasma-assisted ultrasound system. At the same time, the system increased toluene conversion and reduced ozone emission.

  13. Optimization of Gas Composition Used in Plasma Chemical Vaporization Machining for Figuring of Reaction-Sintered Silicon Carbide with Low Surface Roughness.

    Science.gov (United States)

    Sun, Rongyan; Yang, Xu; Ohkubo, Yuji; Endo, Katsuyoshi; Yamamura, Kazuya

    2018-02-05

    In recent years, reaction-sintered silicon carbide (RS-SiC) has been of interest in many engineering fields because of its excellent properties, such as its light weight, high rigidity, high heat conductance and low coefficient of thermal expansion. However, RS-SiC is difficult to machine owing to its high hardness and chemical inertness and because it contains multiple components. To overcome the problem of the poor machinability of RS-SiC in conventional machining, the application of atmospheric-pressure plasma chemical vaporization machining (AP-PCVM) to RS-SiC was proposed. As a highly efficient and damage-free figuring technique, AP-PCVM has been widely applied for the figuring of single-component materials, such as Si, SiC, quartz crystal wafers, and so forth. However, it has not been applied to RS-SiC since it is composed of multiple components. In this study, we investigated the AP-PCVM etching characteristics for RS-SiC by optimizing the gas composition. It was found that the different etching rates of the different components led to a large surface roughness. A smooth surface was obtained by applying the optimum gas composition, for which the etching rate of the Si component was equal to that of the SiC component.

  14. CO2 Dissociation by Low Current Gliding Discharge in the Reverse Vortex Flow

    Science.gov (United States)

    Gutsol, Alexander

    2012-10-01

    If performed with high energy efficiency, plasma-chemical dissociation of carbon dioxide can be a way of converting and storing energy when there is an excess of electric energy, for example generated by solar elements of wind turbines. CO2 dissociation with efficiency of up to 90% was reported earlier for low pressure microwave discharge in supersonic flow. A new plasma-chemical system uses a low current gliding discharge in the reverse vortex flow of plasma gas. The system is a development of the Gliding Arc in Tornado reactor. The system was used to study dissociation of CO2 in wide ranges of the following experimental parameters: reactor pressure (15-150 kPa), discharge current (50-500 mA), gas flow rate (3-30 liters per minute), and electrode gap length (1-10 cm). Additionally, the effect of thermal energy recuperation on CO2 dissociation efficiency was tested. Plasma chemical efficiency of CO2 dissociation is very low (about 3%) in a short discharge at low pressures (about 15 kPa) when it is defined by electronic excitation. The highest efficiency (above 40%) was reached at pressures 50-70 kPa in a long discharge with thermal energy recuperation. It means that the process is controlled by thermal dissociation with subsequent effective quenching. Plasma chemical efficiency was determined from the data of chromatographic analysis and oscilloscope electric power integration, and also was checked calorimetrically by the thermal balance of the system.

  15. Atmospheric Pressure Plasma Jet as a Dry Alternative to Inkjet Printing in Flexible Electronics

    Science.gov (United States)

    Gandhiraman, Ram Prasad; Lopez, Arlene; Koehne, Jessica; Meyyappan, M.

    2016-01-01

    We have developed an atmospheric pressure plasma jet printing system that works at room temperature to 50 deg C unlike conventional aerosol assisted techniques which require a high temperature sintering step to obtain desired thin films. Multiple jets can be configured to increase throughput or to deposit multiple materials, and the jet(s) can be moved across large areas using a x-y stage. The plasma jet has been used to deposit carbon nanotubes, graphene, silver nanowires, copper nanoparticles and other materials on substrates such as paper, cotton, plastic and thin metal foils.

  16. Final Report for Award DE-FG02-99ER54554 Kinetics of Electron Fluxes in Low-Pressure Nonthermal Plasmas

    International Nuclear Information System (INIS)

    Uwe Kortshagen

    2004-01-01

    This grant has focused on the study of several aspects of electron kinetics in low pressure plasmas. Entirely new effects arise from the fact that the electron kinetics is governed by non-local effects, in which the electron distribution function is not equilibrium with the local electric field but is governed by spatial transport effects. In this grant, we were able to demonstrate several previously un-studied effects which are a direct result of the nonlocal transport. These are: (1) The existence of a ''convective cell' in electron phase space. The phenomenon was observed and studied in CW plasma conditions. (2) The occurrence of non-collisional cooling of electrons through an effect known as ''diffusive cooling''

  17. Investigation of flame structure in plasma-assisted turbulent premixed methane-air flame

    Science.gov (United States)

    Hualei, ZHANG; Liming, HE; Jinlu, YU; Wentao, QI; Gaocheng, CHEN

    2018-02-01

    The mechanism of plasma-assisted combustion at increasing discharge voltage is investigated in detail at two distinctive system schemes (pretreatment of reactants and direct in situ discharge). OH-planar laser-induced fluorescence (PLIF) technique is used to diagnose the turbulent structure methane-air flame, and the experimental apparatus consists of dump burner, plasma-generating system, gas supply system and OH-PLIF system. Results have shown that the effect of pretreatment of reactants on flame can be categorized into three regimes: regime I for voltage lower than 6.6 kV; regime II for voltage between 6.6 and 11.1 kV; and regime III for voltage between 11.1 and 12.5 kV. In regime I, aerodynamic effect and slower oxidation of higher hydrocarbons generated around the inner electrode tip plays a dominate role, while in regime III, the temperature rising effect will probably superimpose on the chemical effect and amplify it. For wire-cylinder dielectric barrier discharge reactor with spatially uneven electric field, the amount of radicals and hydrocarbons are decreased monotonically in radial direction which affects the flame shape. With regard to in situ plasma discharge in flames, the discharge pattern changes from streamer type to glow type. Compared with the case of reactants pretreatment, the flame propagates further in the upstream direction. In the discharge region, the OH intensity is highest for in situ plasma assisted combustion, indicating that the plasma energy is coupled into flame reaction zone.

  18. Chemical kinetics and relaxation of non-equilibrium air plasma generated by energetic photon and electron beams

    International Nuclear Information System (INIS)

    Maulois, Melissa; Ribière, Maxime; Eichwald, Olivier; Yousfi, Mohammed; Azaïs, Bruno

    2016-01-01

    The comprehension of electromagnetic perturbations of electronic devices, due to air plasma-induced electromagnetic field, requires a thorough study on air plasma. In the aim to understand the phenomena at the origin of the formation of non-equilibrium air plasma, we simulate, using a volume average chemical kinetics model (0D model), the time evolution of a non-equilibrium air plasma generated by an energetic X-ray flash. The simulation is undertaken in synthetic air (80% N_2 and 20% O_2) at ambient temperature and atmospheric pressure. When the X-ray flash crosses the gas, non-relativistic Compton electrons (low energy) and a relativistic Compton electron beam (high energy) are simultaneously generated and interact with the gas. The considered chemical kinetics scheme involves 26 influent species (electrons, positive ions, negative ions, and neutral atoms and molecules in their ground or metastable excited states) reacting following 164 selected reactions. The kinetics model describing the plasma chemistry was coupled to the conservation equation of the electron mean energy, in order to calculate at each time step of the non-equilibrium plasma evolution, the coefficients of reactions involving electrons while the energy of the heavy species (positive and negative ions and neutral atoms and molecules) is assumed remaining close to ambient temperature. It has been shown that it is the relativistic Compton electron beam directly created by the X-ray flash which is mainly responsible for the non-equilibrium plasma formation. Indeed, the low energy electrons (i.e., the non-relativistic ones) directly ejected from molecules by Compton collisions contribute to less than 1% on the creation of electrons in the plasma. In our simulation conditions, a non-equilibrium plasma with a low electron mean energy close to 1 eV and a concentration of charged species close to 10"1"3" cm"−"3 is formed a few nanoseconds after the peak of X-ray flash intensity. 200 ns after the

  19. Mycotoxin Decontamination of Food: Cold Atmospheric Pressure Plasma versus "Classic" Decontamination.

    Science.gov (United States)

    Hojnik, Nataša; Cvelbar, Uroš; Tavčar-Kalcher, Gabrijela; Walsh, James L; Križaj, Igor

    2017-04-28

    Mycotoxins are secondary metabolites produced by several filamentous fungi, which frequently contaminate our food, and can result in human diseases affecting vital systems such as the nervous and immune systems. They can also trigger various forms of cancer. Intensive food production is contributing to incorrect handling, transport and storage of the food, resulting in increased levels of mycotoxin contamination. Mycotoxins are structurally very diverse molecules necessitating versatile food decontamination approaches, which are grouped into physical, chemical and biological techniques. In this review, a new and promising approach involving the use of cold atmospheric pressure plasma is considered, which may overcome multiple weaknesses associated with the classical methods. In addition to its mycotoxin destruction efficiency, cold atmospheric pressure plasma is cost effective, ecologically neutral and has a negligible effect on the quality of food products following treatment in comparison to classical methods.

  20. Low-temperature plasma-catalytic oxidation of formaldehyde in atmospheric pressure gas streams

    International Nuclear Information System (INIS)

    Ding Huixian; Zhu Aimin; Lu Fugong; Xu Yong; Zhang Jing; Yang Xuefeng

    2006-01-01

    Formaldehyde (HCHO) is a typical air pollutant capable of causing serious health disorders in human beings. This work reports plasma-catalytic oxidation of formaldehyde in gas streams via dielectric barrier discharges over Ag/CeO 2 pellets at atmospheric pressure and 70 0 C. With a feed gas mixture of 276 ppm HCHO, 21.0% O 2 , 1.0% H 2 O in N 2 , ∼99% of formaldehyde can be effectively destructed with an 86% oxidative conversion into CO 2 at GHSV of 16500 h -1 and input discharge energy density of 108 J l -1 . At the same experimental conditions, the conversion percentages of HCHO to CO 2 from pure plasma-induced oxidation (discharges over fused silica pellets) and from pure catalytic oxidation over Ag/CeO 2 (without discharges) are 6% and 33% only. The above results and the CO plasma-catalytic oxidation experiments imply that the plasma-generated short-lived gas phase radicals, such as O and HO 2 , play important roles in the catalytic redox circles of Ag/CeO 2 to oxidize HCHO and CO to CO 2

  1. Simulation of two-dimensional interior ballistics model of solid propellant electrothermal-chemical launch with discharge rod plasma generator

    Directory of Open Access Journals (Sweden)

    Yan-jie Ni

    2017-08-01

    Full Text Available Instead of the capillary plasma generator (CPG, a discharge rod plasma generator (DRPG is used in the 30 mm electrothermal-chemical (ETC gun to improve the ignition uniformity of the solid propellant. An axisymmetric two-dimensional interior ballistics model of the solid propellant ETC gun (2D-IB-SPETCG is presented to describe the process of the ETC launch. Both calculated pressure and projectile muzzle velocity accord well with the experimental results. The feasibility of the 2D-IB-SPETCG model is proved. Depending on the experimental data and initial parameters, detailed distribution of the ballistics parameters can be simulated. With the distribution of pressure and temperature of the gas phase and the propellant, the influence of plasma during the ignition process can be analyzed. Because of the radial flowing plasma, the propellant in the area of the DRPG is ignited within 0.01 ms, while all propellant in the chamber is ignited within 0.09 ms. The radial ignition delay time is much less than the axial delay time. During the ignition process, the radial pressure difference is less than 5  MPa at the place 0.025 m away from the breech. The radial ignition uniformity is proved. The temperature of the gas increases from several thousand K (conventional ignition to several ten thousand K (plasma ignition. Compare the distribution of the density and temperature of the gas, we know that low density and high temperature gas appears near the exits of the DRPG, while high density and low temperature gas appears at the wall near the breech. The simulation of the 2D-IB-SPETCG model is an effective way to investigate the interior ballistics process of the ETC launch. The 2D-IB-SPETC model can be used for prediction and improvement of experiments.

  2. An investigation of transient pressures and plasma properties in a pinched plasma column. M.S. Thesis

    Science.gov (United States)

    Stover, E. K.; York, T. M.

    1971-01-01

    The transient pinched plasma column generated in a linear Z-pinch was studied experimentally and analytically. The plasma column was investigated experimentally with several plasma diagnostics; they were: a rapid response pressure transducer, a magnetic field probe, a voltage probe, and discharge luminosity. Axial pressure profiles on the discharge chamber axis were used to identify three characteristic regions of plasma column behavior: (1) strong axial pressure asymmetry noted early in plasma column lifetime, (2) followed by plasma heating in which there is a rapid rise in static pressure, and (3) a slight decrease static pressure before plasma column breakup. Plasma column lifetime was approximately 5 microseconds. The axial pressure asymmetry was attributed to nonsimultaneous pinching of the imploding current sheet along the discharge chamber axis. The rapid heating could be attributed in part to viscous effects introduced by radial gradients in the axial streaming velocity.

  3. Plasma sprayed TiC coatings for first wall protection in fusion devices

    International Nuclear Information System (INIS)

    Groot, P.; Laan, J.G. van der; Laas, L.; Mack, M.; Dvorak, M.

    1989-01-01

    For protection of plasma facing components in nuclear fusion devices thick titanium carbide coatings are being developed. Coatings have been produced by plasma spraying at atmospheric pressure (APS) and low pressure (LPPS) and analyzed with respect to microstructure and chemical composition. Thermo-mechanical evaluation has been performed by applying short pulse laser heat flux tests. The influence of coating thickness and porosity on the resistance to spalling by thermal shocks appears to be more important than aspects of chemical composition. (author)

  4. Plasma emission induced by an Nd-YAG laser at low pressure on solid organic sample, its mechanism, and analytical application

    International Nuclear Information System (INIS)

    Suliyanti, Maria Margaretha; Sardy, Sar; Kusnowo, Anung; Hedwig, Rinda; Abdulmadjid, Syahrun Nur; Kurniawan, Koo Hendrik; Lie, T.J.; Pardede, Marincan; Kagawa, Kiichiro; Tjia, M.O.

    2005-01-01

    An Nd-YAG laser (1064 nm, 120 mJ, 8 ns) was focused on various types of solid organic samples such as a black acrylic plate, a black polyvinyl chloride plastic sheet, and a methoxy polyaniline film coated on the surface of a glass substrate, under a surrounding air pressure of 2 Torr. A modulated plasma technique was used to study the mechanism of excitation of the emission of the organic material. As a result, we conclude that ablated atoms and molecules are excited by a shock-wave mechanism, similar to the case of hard samples such as metal. The ablation speed of hydrogen emission (H I 656.2 nm) was examined and the results show that the release speed of the ablated atoms is relatively low (less than Mach 10) and persists for a longer period of time (around 1 μs); this phenomenon can be understood by assuming that the soft target absorbs recoil energy, causing a low release speed of ablated atoms which would form the shock wave. This was overcome by placing a subtarget on the back of the soft sample so as to enhance the repelling force, thus increasing the release speed of the atoms. A possible application of the low-pressure plasma on an organic solid was demonstrated in the detection of chlorine in a black polyvinyl chloride plastic sheet

  5. Environmentally-Assisted Cracking of Low-Alloy Reactor Pressure Vessel Steels under Boiling Water Reactor Conditions

    Energy Technology Data Exchange (ETDEWEB)

    Seifert, H.P.; Ritter, S

    2002-02-01

    The present report summarizes the experimental work performed by PSI on the environmentally-assisted cracking (EAC) of low-alloy steels (LAS) in the frame of the RIKORR-project during the period from January 2000 to August 2001. Within this project, the EAC crack growth behaviour of different low-alloy reactor pressure vessel (RPV) steels, weld filler and weld heat-affected zone materials is investigated under simulated transient and steady-state BWR/NWC power operation conditions. The EAC crack growth behaviour of different low-alloy RPV steels was characterized by slow rising load (SRL) / low-frequency corrosion fatigue (LFCF) and constant load tests with pre-cracked fracture mechanics specimens in oxygenated high-temperature water at temperatures of either 288, 250, 200 or 150 C. These tests revealed the following important interim results: Under low-flow and highly oxidizing (ECP >= 100 mV SHE) conditions, the ASME XI 'wet' reference fatigue crack growth curve could be significantly exceeded by cyclic fatigue loading at low frequencies (<0.001 Hz), at high and low load-ratios R, and by ripple loading near to DKth fatigue thresholds. The BWR VIP 60 SCC disposition lines may be significantly or slightly exceeded (even in steels with a low sulphur content) in the case of small load fluctuations at high load ratios (ripple loading) or at intermediate temperatures (200 -250 C) in RPV materials, which show a distinct susceptibility to dynamic strain ageing (DSA). (author)

  6. Chemical analysis of refractories by plasma spectrometry

    International Nuclear Information System (INIS)

    Coutinho, C.A.

    1990-01-01

    X-ray spectrometry has been, since the last two or three decades, the traditional procedure for the chemical analysis of refractories, due to its high degree of accuracy and speed to produce analytical results. An interesting alternative to X-ray fluorescence is provided by the Inductively Coupled Plasma Spectrometry technique, for those laboratories where wet chemistry facilities are already available or process control is not required at high speed, or investiment costs have to be low. This paper presents results obtained by plasma spectroscopy for the analysis of silico - aluminous refractories, showing calibration curves, precion and detection limits. Considerations and comparisons with X-ray fluorescence are also made. (author) [pt

  7. Blood pressure response to conventional and low-dose enalapril in chronic renal failure

    DEFF Research Database (Denmark)

    Elung-Jensen, Thomas; Heisterberg, Jens; Kamper, Anne-Lise

    2003-01-01

    AIMS: In chronic renal failure, the clearance of most ACE inhibitors including enalapril is reduced. Hence, with conventional dosage, plasma enalaprilat may be markedly elevated. It is unclear whether this excess of drug exposure affords an improved control of blood pressure. The aim of the present...... study was to evaluate short-term blood pressure response to two different plasma levels of enalaprilat. METHODS: As part of an open, randomized, controlled trial of the effect of high and low dosage of enalapril on the progression of renal failure, short-term blood pressure response was evaluated. Data...... potassium concentrations at day 90 and patients in the low group experienced a slight increase in GFR. CONCLUSIONS: In moderate to severe chronic renal insufficiency the same degree of blood pressure control was achieved on low as well as moderate daily doses of enalapril. This was irrespective...

  8. Particle-in-cell simulations of multi-MeV pulsed X-ray induced air plasmas at low pressures

    International Nuclear Information System (INIS)

    Ribière, M.; D'Almeida, T.; Gaufridy de Dortan, F. de; Maulois, M.; Delbos, C.; Garrigues, A.; Cessenat, O.; Azaïs, B.

    2016-01-01

    A full kinetic modelling of the charge particles dynamics generated upon the irradiation of an air-filled cavity by a multi-MeV pulsed x-ray is performed. From the calculated radiative source generated by the ASTERIX generator, we calculated the electromagnetic fields generated by x-ray induced air plasmas in a metallic cavity at different pressures. Simulations are carried out based on a Particle-In-Cell interpolation method which uses 3D Maxwell-Vlasov calculations of the constitutive charged species densities of air plasmas at different pressures at equilibrium. The resulting electromagnetic fields within the cavity are calculated for different electron densities up to 4 × 10"1"0" cm"−"3. For each air pressure, we show electronic plasma waves formation followed by Landau damping. As electron density increases, the calculations exhibit space-charged neutralization and return current formation.

  9. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  10. An investigation of transient pressure and plasma properties in a pinched plasma column. M.S. Thesis

    Science.gov (United States)

    Stover, E. K.; York, T. M.

    1971-01-01

    The transient pinched plasma column generated in a linear Z-pinch was studied experimentally and analytically. The plasma column was investigated experimentally with the following plasma diagnostics: a special rapid response pressure transducer, a magnetic field probe, a voltage probe and discharge luminosity. Axial pressure profiles on the discharge chamber axis were used to identify three characteristic regions of plasma column behavior; they were in temporal sequence: strong axial pressure asymmetry noted early in plasma column lifetime followed by plasma heating in which there is a rapid rise in static pressure and a slight decrease static pressure before plasma column breakup. Plasma column lifetime was approximately 5 microseconds. The axial pressure asymmetry was attributed to nonsimultaneous pinching of the imploding current sheet along the discharge chamber axis. The rapid heating is attributed in part to viscous effects introduced by radial gradients in the axial streaming velocity. Turbulent heating arising from discharge current excitation of the ion acoustic wave instability is also considered a possible heating mechanism.

  11. Emission characteristics of kerosene-air spray combustion with plasma assistance

    Directory of Open Access Journals (Sweden)

    Xingjian Liu

    2015-09-01

    Full Text Available A plasma assisted combustion system for combustion of kerosene-air mixtures was developed to study emission levels of O2, CO2, CO, and NOx. The emission measurement was conducted by Testo 350-Pro Flue Gas Analyzer. The effect of duty ratio, feedstock gas flow rate and applied voltage on emission performance has been analyzed. The results show that O2 and CO emissions reduce with an increase of applied voltage, while CO2 and NOx emissions increase. Besides, when duty ratio or feedstock gas flow rate decreases, the same emission results would appear. The emission spectrum of the air plasma of plasma assisted combustion actuator was also registered to analyze the kinetic enhancement effect of plasma, and the generation of ozone was believed to be the main factor that plasma makes a difference in our experiment. These results are valuable for the future optimization of kerosene-fueled aircraft engine when using plasma assisted combustion devices to exert emission control.

  12. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  13. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  14. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  15. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  16. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  17. Tearing modes with pressure gradient effect in pair plasmas

    International Nuclear Information System (INIS)

    Cai Huishan; Li Ding; Zheng Jian

    2009-01-01

    The general dispersion relation of tearing mode with pressure gradient effect in pair plasmas is derived analytically. If the pressure gradients of positron and electron are not identical in pair plasmas, the pressure gradient has significant influence at tearing mode in both collisionless and collisional regimes. In collisionless regime, the effects of pressure gradient depend on its magnitude. For small pressure gradient, the growth rate of tearing mode is enhanced by pressure gradient. For large pressure gradient, the growth rate is reduced by pressure gradient. The tearing mode can even be stabilized if pressure gradient is large enough. In collisional regime, the growth rate of tearing mode is reduced by the pressure gradient. While the positron and electron have equal pressure gradient, tearing mode is not affected by pressure gradient in pair plasmas.

  18. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  19. Diffuse plasma treatment of polyamide 66 fabric in atmospheric pressure air

    International Nuclear Information System (INIS)

    Li, Lee; Peng, Ming-yang; Teng, Yun; Gao, Guozhen

    2016-01-01

    Graphical abstract: - Highlights: • A cylindrical-electrode nanosecond-pulse diffuse-discharge reactor is presented. • Large-scale non-thermal plasmas were generated steadily in atmospheric air. • Treated PA66 fabric is etched with oxygen-containing group increases. • The hydrophily of treated PA66 fabric improves effectively. • Extending the treatment time is a method to reduce the treatment frequency. - Abstract: The polyamide 66 (PA66) fabrics are hard to be colored or glued in industrial production due to the poor hydrophily. Diffuse plasma is a kind of non-thermal plasma generated at atmospheric pressure in air. This paper proposes that large-scale diffuse plasma generated between wire electrodes can be employed for improving the hydrophily of PA66 fabrics. A repetitive nanosecond-pulse diffuse-discharge reactor using a cylindrical wire electrode configuration is presented, which can generate large-scale non-thermal plasmas steadily at atmospheric pressure without any barrier dielectric. Then the reactor is used to treat PA66 fabrics in different discharge conditions. The hydrophilicity property of modified PA66 is measured by wicking test method. The modified PA66 is also analyzed by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS) to prove the surface changes in physical microstructure and chemical functional groups, respectively. What's more, the effects of treatment time and treatment frequency on surface modification are investigated and discussed.

  20. Low Blood Pressure

    Science.gov (United States)

    ... a problem. Sometimes blood pressure that is too low can also cause problems. Blood pressure is the ... reading is 90/60 or lower, you have low blood pressure. Some people have low blood pressure ...

  1. Destruction of α-synuclein based amyloid fibrils by a low temperature plasma jet

    Science.gov (United States)

    Karakas, Erdinc; Munyanyi, Agatha; Greene, Lesley; Laroussi, Mounir

    2010-10-01

    Amyloid fibrils are ordered beta-sheet aggregates that are associated with a number of neurodegenerative diseases such as Alzheimer and Parkinson. At present, there is no cure for these progressive and debilitating diseases. Here we report initial studies that indicate that low temperature atmospheric pressure plasma can break amyloid fibrils into smaller units in vitro. The plasma was generated by the "plasma pencil," a device capable of emitting a long, low temperature plasma plume/jet. This avenue of research may facilitate the development of a plasma-based medical treatment.

  2. Destruction of α-synuclein based amyloid fibrils by a low temperature plasma jet

    International Nuclear Information System (INIS)

    Karakas, Erdinc; Laroussi, Mounir; Munyanyi, Agatha; Greene, Lesley

    2010-01-01

    Amyloid fibrils are ordered beta-sheet aggregates that are associated with a number of neurodegenerative diseases such as Alzheimer and Parkinson. At present, there is no cure for these progressive and debilitating diseases. Here we report initial studies that indicate that low temperature atmospheric pressure plasma can break amyloid fibrils into smaller units in vitro. The plasma was generated by the 'plasma pencil', a device capable of emitting a long, low temperature plasma plume/jet. This avenue of research may facilitate the development of a plasma-based medical treatment.

  3. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  4. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  5. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  6. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  7. Diagnostic study of low-pressure Ar-O2 remote plasma generated in HCD-L 300 system: Relative density of O atom

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-01-01

    The relative density of O atom of Ar-O 2 remote plasma excited in a low pressure 13.56 HMz hollow cathode discharge system has been investigated. The measurements were carried out at a total pressure of 0.05 mbar, radiofrequency (RF) power of 200 W and at three different axial distances in the plasma chamber below the outlet of the discharge source. Using optical emission spectroscopy (OES), the relative density of O ground state was determined from intensity ratio of O(844.6 nm) and Ar(750.4 nm) lines. The electron temperature and O 2 + densities have been measured using double langmuir probe measurements. The kinetic study of Ar-O 2 plasma, combined with both spectroscopy and langmuir probe measurements, revealed that the main production mechanism of the excited O(3p 3 P) is direct excitation by electron impact. A maximum of O ground state relative density and correspondingly a minimum of O 2 + density are obtained for the ratio O 2 /Ar: 60/40. The maximum O density in the remote zone is found to be 4.5 times higher than at the outlet of source. (author)

  8. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  9. NONTHERMALLY DOMINATED ELECTRON ACCELERATION DURING MAGNETIC RECONNECTION IN A LOWPLASMA

    International Nuclear Information System (INIS)

    Li, Xiaocan; Li, Gang; Guo, Fan; Li, Hui

    2015-01-01

    By means of fully kinetic simulations, we investigate electron acceleration during magnetic reconnection in a nonrelativistic proton–electron plasma with conditions similar to solar corona and flares. We demonstrate that reconnection leads to a nonthermally dominated electron acceleration with a power-law energy distribution in the nonrelativistic low-β regime but not in the high-β regime, where β is the ratio of the plasma thermal pressure and the magnetic pressure. The accelerated electrons contain most of the dissipated magnetic energy in the low-β regime. A guiding-center current description is used to reveal the role of electron drift motions during the bulk nonthermal energization. We find that the main acceleration mechanism is a Fermi-type acceleration accomplished by the particle curvature drift motion along the electric field induced by the reconnection outflows. Although the acceleration mechanism is similar for different plasma β, low-β reconnection drives fast acceleration on Alfvénic timescales and develops power laws out of thermal distribution. The nonthermally dominated acceleration resulting from magnetic reconnection in lowplasma may have strong implications for the  highly efficient electron acceleration in solar flares and other astrophysical systems

  10. Plasma-Assisted Chemistry in High-Speed Flow

    International Nuclear Information System (INIS)

    Leonov, Sergey B.; Yarantsev, Dmitry A.; Napartovich, Anatoly P.; Kochetov, Igor V.

    2007-01-01

    Fundamental problems related to the high-speed combustion are analyzed. The result of plasma-chemical modeling is presented as a motivation of experimental activity. Numerical simulations of the effect of uniform non-equilibrium discharge on the premixed hydrogen and ethylene-air mixture in supersonic flow demonstrate an advantage of such a technique over a heating. Experimental results on multi-electrode non-uniform discharge maintenance behind wallstep and in cavity of supersonic flow are presented. The model test on hydrogen and ethylene ignition is demonstrated at direct fuel injection to low-temperature high-speed airflow

  11. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  12. Tailoring electron energy distribution functions through energy confinement in dual radio-frequency driven atmospheric pressure plasmas

    Energy Technology Data Exchange (ETDEWEB)

    O' Neill, C.; Waskoenig, J. [Centre for Plasma Physics, School of Maths and Physics, Queen' s University Belfast, Belfast BT7 1NN (United Kingdom); Gans, T. [Centre for Plasma Physics, School of Maths and Physics, Queen' s University Belfast, Belfast BT7 1NN (United Kingdom); York Plasma Institute, Department of Physics, University of York, York YO10 5DD (United Kingdom)

    2012-10-08

    A multi-scale numerical model based on hydrodynamic equations with semi-kinetic treatment of electrons is used to investigate the influence of dual frequency excitation on the effective electron energy distribution function (EEDF) in a radio-frequency driven atmospheric pressure plasma. It is found that variations of power density, voltage ratio, and phase relationship provide separate control over the electron density and the mean electron energy. This is exploited to directly influence both the phase dependent and time averaged effective EEDF. This enables tailoring the EEDF for enhanced control of non-equilibrium plasma chemical kinetics at ambient pressure and temperature.

  13. Atmospheric pressure plasma jet's characterization and surface wettability driven by neon transformer

    Science.gov (United States)

    Elfa, R. R.; Nafarizal, N.; Ahmad, M. K.; Sahdan, M. Z.; Soon, C. F.

    2017-03-01

    Atmospheric pressure plasma driven by Neon transformer power supply argon is presented in this paper. Atmospheric pressure plasma system has attracted researcher interest over low pressure plasma as it provides a flexibility process, cost-efficient, portable device and vacuum-free device. Besides, another golden key of this system is the wide promising application in the field of work cover from industrial and engineering to medical. However, there are still numbers of fundamental investigation that are necessary such as device configuration, gas configuration and its effect. Dielectric barrier discharge which is also known as atmospheric pressure plasma discharge is created when there is gas ionization process occur which enhance the movement of atom and electron and provide energetic particles. These energetic particles can provide modification and cleaning property to the sample surface due to the bombardment of the high reactive ion and radicals to the sample surface. In order to develop atmospheric pressure plasma discharge, a high voltage and high frequency power supply is needed. In this work, we used a neon transformer power supply as the power supply. The flow of the Ar is feed into 10 mm cylinder quartz tube with different treatment time in order to investigate the effect of the plasma discharge. The analysis of each treatment time is presented by optical emission spectroscopy (OES) and water contact angle (WCA) measurement. The increase of gas treatment time shows increases intensity of reactive Ar and reduces the angle of water droplets in water contact angle. Treatment time of 20 s microslide glass surface shows that the plasma needle discharges have modified the sample surface from hydrophilic surface to superhydrophilic surface. Thus, this leads to another interesting application in reducing sample surface adhesion to optimize productivity in the industry of paintings, semiconductor and more.

  14. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  15. Gain and loss mechanisms for neutral species in low pressure fluorocarbon plasmas by infrared spectroscopy

    International Nuclear Information System (INIS)

    Nelson, Caleb T.; Overzet, Lawrence J.; Goeckner, Matthew J.

    2012-01-01

    This article examines the chemical reaction pathways of stable neutral species in fluorocarbon plasmas. Octafluorocyclobutane (c-C 4 F 8 ) inductively coupled plasma discharges were found to primarily produce stable and metastable products downstream from the discharge, including c-C 4 F 8 , C 2 F 4 , C 2 F 6 , CF 4 , C 3 F 8 , C 4 F 10 , C 3 F 6 , and CF 2 . A novel analysis technique allows the estimation of gain and loss rates for neutral species in the steady state as functions of residence time, pressure, and discharge power. The gain and loss rates show that CF 4 , C 2 F 6 , C 3 F 8 , and C 4 F 10 share related gain mechanisms, speculated to occur at the surface. Further analysis confirms that CF 2 is predominantly produced at the chamber walls through electron impact dissociation of C 2 F 4 and lost through gas-phase addition reactions to form C 2 F 4 . Additionally, time-resolved FTIR spectra provide a second-order rate coefficient of 1.8 × 10 −14 cm 3 /s for the gas-phase addition of CF 2 to form C 2 F 4 . Finally, C 2 F 4, which is much more abundant than CF 2 in the discharge, is shown to be dominantly produced through electron impact dissociation of c-C 4 F 8 and lost through either surface or gas-phase addition reactions.

  16. Ammonia Synthesis at Low Pressure.

    Science.gov (United States)

    Cussler, Edward; McCormick, Alon; Reese, Michael; Malmali, Mahdi

    2017-08-23

    Ammonia can be synthesized at low pressure by the use of an ammonia selective absorbent. The process can be driven with wind energy, available locally in areas requiring ammonia for synthetic fertilizer. Such wind energy is often called "stranded," because it is only available far from population centers where it can be directly used. In the proposed low pressure process, nitrogen is made from air using pressure swing absorption, and hydrogen is produced by electrolysis of water. While these gases can react at approximately 400 °C in the presence of a promoted conventional catalyst, the conversion is often limited by the reverse reaction, which makes this reaction only feasible at high pressures. This limitation can be removed by absorption on an ammine-like calcium or magnesium chloride. Such alkaline metal halides can effectively remove ammonia, thus suppressing the equilibrium constraints of the reaction. In the proposed absorption-enhanced ammonia synthesis process, the rate of reaction may then be controlled not by the chemical kinetics nor the absorption rates, but by the rate of the recycle of unreacted gases. The results compare favorably with ammonia made from a conventional small scale Haber-Bosch process.

  17. Atmospheric pressure plasma jet with high-voltage power supply based on piezoelectric transformer.

    Science.gov (United States)

    Babij, Michał; Kowalski, Zbigniew W; Nitsch, Karol; Silberring, Jerzy; Gotszalk, Teodor

    2014-05-01

    The dielectric barrier discharge plasma jet, an example of the nonthermal atmospheric pressure plasma jet (APPJ), generates low-temperature plasmas that are suitable for the atomization of volatile species and can also be served as an ionization source for ambient mass and ion mobility spectrometry. A new design of APPJ for mass spectrometry has been built in our group. In these plasma sources magnetic transformers (MTs) and inductors are typically used in power supplies but they present several drawbacks that are even more evident when dealing with high-voltage normally used in APPJs. To overcome these disadvantages, high frequency generators with the absence of MT are proposed in the literature. However, in the case of miniaturized APPJs these conventional power converters, built of ferromagnetic cores and inductors or by means of LC resonant tank circuits, are not so useful as piezoelectric transformer (PT) based power converters due to bulky components and small efficiency. We made and examined a novel atmospheric pressure plasma jet with PT supplier served as ionization source for ambient mass spectrometry, and especially mobile spectrometry where miniaturization, integration of components, and clean plasma are required. The objective of this paper is to describe the concept, design, and implementation of this miniaturized piezoelectric transformer-based atmospheric pressure plasma jet.

  18. Atmospheric pressure plasma jet with high-voltage power supply based on piezoelectric transformer

    Energy Technology Data Exchange (ETDEWEB)

    Babij, Michał; Kowalski, Zbigniew W., E-mail: zbigniew.w.kowalski@pwr.wroc.pl; Nitsch, Karol; Gotszalk, Teodor [Wrocław University of Technology, Wybrzeże Wyspiańskiego 27, 50-370 Wrocław (Poland); Silberring, Jerzy [AGH University of Science and Technology, Al. A. Mickiewicza 30, 30-059 Kraków (Poland)

    2014-05-15

    The dielectric barrier discharge plasma jet, an example of the nonthermal atmospheric pressure plasma jet (APPJ), generates low-temperature plasmas that are suitable for the atomization of volatile species and can also be served as an ionization source for ambient mass and ion mobility spectrometry. A new design of APPJ for mass spectrometry has been built in our group. In these plasma sources magnetic transformers (MTs) and inductors are typically used in power supplies but they present several drawbacks that are even more evident when dealing with high-voltage normally used in APPJs. To overcome these disadvantages, high frequency generators with the absence of MT are proposed in the literature. However, in the case of miniaturized APPJs these conventional power converters, built of ferromagnetic cores and inductors or by means of LC resonant tank circuits, are not so useful as piezoelectric transformer (PT) based power converters due to bulky components and small efficiency. We made and examined a novel atmospheric pressure plasma jet with PT supplier served as ionization source for ambient mass spectrometry, and especially mobile spectrometry where miniaturization, integration of components, and clean plasma are required. The objective of this paper is to describe the concept, design, and implementation of this miniaturized piezoelectric transformer-based atmospheric pressure plasma jet.

  19. An Atmospheric Pressure Chemical Ionization MS/MS Assay Using Online Extraction for the Analysis of 11 Cannabinoids and Metabolites in Human Plasma and Urine.

    Science.gov (United States)

    Klawitter, Jelena; Sempio, Cristina; Mörlein, Sophie; De Bloois, Erik; Klepacki, Jacek; Henthorn, Thomas; Leehey, Maureen A; Hoffenberg, Edward J; Knupp, Kelly; Wang, George S; Hopfer, Christian; Kinney, Greg; Bowler, Russell; Foreman, Nicholas; Galinkin, Jeffrey; Christians, Uwe; Klawitter, Jost

    2017-10-01

    Although, especially in the United States, there has been a recent surge of legalized cannabis for either recreational or medicinal purposes, surprisingly little is known about clinical dose-response relationships, pharmacodynamic and toxicodynamic effects of cannabinoids such as Δ9-tetrahydrocannabinol (THC). Even less is known about other active cannabinoids. To address this knowledge gap, an online extraction, high-performance liquid chromatography coupled with tandem mass spectrometry method for simultaneous quantification of 11 cannabinoids and metabolites including THC, 11-hydroxy-Δ9-tetrahydrocannabinol, 11-nor-Δ9-tetrahydrocannabinol-9-carboxylic acid, 11-nor-Δ9-tetrahydrocannabinol-9-carboxylic acid glucuronide (THC-C-gluc), cannabinol, cannabidiol, cannabigerol, cannabidivarin, Δ9-tetrahydrocannabivarin (THCV), and 11-nor-9-carboxy-Δ9-tetrahydrocannabivarin (THCV-COOH) was developed and validated in human urine and plasma. In contrast to atmospheric pressure chemical ionization, electrospray ionization was associated with extensive ion suppression in plasma and urine samples. Thus, the atmospheric pressure chemical ionization assay was validated showing a lower limit of quantification ranging from 0.39 to 3.91 ng/mL depending on study compound and matrix. The upper limit of quantification was 400 ng/mL except for THC-C-gluc with an upper limit of quantification of 2000 ng/mL. The linearity was r > 0.99 for all analyzed calibration curves. Acceptance criteria for intrabatch and interbatch accuracy (85%-115%) and imprecision (<15%) were met for all compounds. In plasma, the only exceptions were THCV (75.3%-121.2% interbatch accuracy) and cannabidivarin (interbatch imprecision, 15.7%-17.2%). In urine, THCV did not meet predefined acceptance criteria for intrabatch accuracy. This assay allows for monitoring not only THC and its major metabolites but also major cannabinoids that are of interest for marijuana research and clinical practice.

  20. Plasma-assisted heterogeneous catalysis for NOx reduction in lean-burn engine exhaust

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsaio, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States); Wan, C.Z.; Rice, G.W.; Voss, K.E. [Engelhard Corp., Iselin, NJ (United States)

    1997-12-31

    This paper discusses the combination of a plasma with a catalyst to improve the reduction of NO{sub x} under lean-burn conditions. The authors have been investigating the effects of a plasma on the NO{sub x} reduction activity and temperature operating window of various catalytic materials. One of the goals is to develop a fundamental understanding of the interaction between the gas-phase plasma chemistry and the heterogeneous chemistry on the catalyst surface. The authors have observed that plasma assisted heterogeneous catalysis can facilitate NO{sub x} reduction under conditions that normally make it difficult for either the plasma or the catalyst to function by itself. By systematically varying the plasma electrode and catalyst configuration, they have been able to elucidate the process by which the plasma chemistry affects the chemical reduction of NO{sub x} on the catalyst surface. They have discovered that the main effect of the plasma is to induce the gas-phase oxidation of NO to NO{sub 21}. The reduction of NO{sub x} to N{sub 2} is then accomplished by heterogeneous reaction of O with activated hydrocarbons on the catalyst surface. The use of a plasma opens the opportunity for a new class of catalysts that are potentially more durable, more active, more selective and more sulfur-tolerant compared to conventional lean-NO{sub x} catalysts.

  1. Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Tinck, S; Bogaerts, A

    2011-01-01

    In this paper, an O 2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al 2 O 3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O 2 , while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.

  2. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  3. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  4. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  5. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Jihye; Ali, Altun; Kim, Ki-don; Choi, Dae-guen; Choi, Jun-Hyuk; Jeong, Jun-ho; Kim, Jae-Hyun

    2010-01-01

    In this paper, a low-temperature plasma-assisted process is developed to realize a uniform, ultraviolet (UV) transparent and chemically inert quartz-to-quartz direct bonding. Two sets of pretests are performed in order to understand how the bond surface energy changes with the plasma exposure time and the wet etching of quartz, respectively. The developed technique is used to fabricate a multilayered quartz template for UV nanoimprint lithography (UV-NIL). The multilayered quartz template is fabricated by bonding a square piece of a standard quartz wafer, which is about 625 µm in thickness, to a wet-etched 6.35 mm thick quartz photomask plate. A fabricated multilayered template is loaded to the commercial UV-NIL tool Imprio(TM) 100, and NIL was performed successfully. The developed direct bonding technique makes it possible for standard quartz wafers, which are compatible with high-resolution semiconductor fabrication processes, to be utilized as the templates in commercial UV-NIL machines with enhanced mechanical stability.

  6. Atmospheric Pressure Plasma Jet Treatment of Poly-ε-caprolactone Polymer Solutions To Improve Electrospinning.

    Science.gov (United States)

    Grande, Silvia; Van Guyse, Joachim; Nikiforov, Anton Y; Onyshchenko, Iuliia; Asadian, Mahtab; Morent, Rino; Hoogenboom, Richard; De Geyter, Nathalie

    2017-09-27

    An atmospheric pressure plasma jet (APPJ) specifically designed for liquid treatment has been used in this work to improve the electrospinnability of a 5 w/v % solution of poly-ε-caprolactone (PCL) in a mixture of chloroform and N,N-dimethylformamide. Untreated PCL solutions were found to result in nonuniform fibers containing a large number of beads, whereas plasma-treated solutions (exposure time of 2-5 min) enabled the generation of beadless, uniform nanofibers with an average diameter of 450 nm. This enhanced electrospinnability was found to be mainly due to the highly increased conductivity of the plasma-modified PCL solutions. Consequently, more stretching of the polymer jet occurred during electrospinning, leading to the generation of bead-free fibers. Plasma treatment also results in an increased viscosity and decreased pH values. To explain these observed changes, optical emission spectroscopy (OES) has been used to examine the excited species present in the APPJ in contact with the PCL solution. This study revealed that the peaks attributed to H, CH, CH 2 , and C 2 species could be responsible for the degradation of solvent molecules and/or PCL structures during the plasma treatment. Size exclusion chromatography and X-ray photoelectron spectroscopy results showed that the molecular weight and the chemical composition of PCL were not significantly affected by the APPJ treatment. Plasma exposure mainly results in the degradation of the solvent molecules instead of modifying the PCL macromolecules, preserving the original polymer as much as possible. A hypothesis for the observed macroscopic changes in viscosity and pH values could be the generation of new chemical species such as HCl and/or HNO 3 . These species are characterized by their high conductivity, low pH values, and strong polarity and could enhance the solvent quality for PCL, leading to the expansion of the polymer coil, which could in turn explain the observed enhanced viscosity after plasma

  7. Experimental investigation of gas heating and dissociation in a microwave plasma torch at atmospheric pressure

    International Nuclear Information System (INIS)

    Su, Liu; Kumar, Rajneesh; Ogungbesan, Babajide; Sassi, Mohamed

    2014-01-01

    Highlights: • Atmospheric-pressure microwave plasma torch. • Gas heating and dissociation. • Parametric studies of plasma operating conditions. • Local thermal equilibrium plasma. - Abstract: Experimental investigations are made to understand gas heating and dissociation in a microwave (MW) plasma torch at atmospheric pressure. The MW induced plasma torch operates at 2.45 GHz frequency and up to 2 kW power. Three different gas mixtures are injected in the form of axial flow and swirl flow in a quartz tube plasma torch to experimentally investigate the MW plasma to gas energy transfer. Air–argon, air–air and air–nitrogen plasmas are formed and their operational ranges are determined in terms of gas flow rates and MW power. Visual observations, optical emission spectroscopy and K-type thermocouple measurements are used to characterize the plasma. The study reveals that the plasma structure is highly dependent on the carrier gas type, gas flow rate, and MW power. However, the plasma gas temperature is shown not to vary much with these parameters. Further spectral and analytical analysis show that the plasma is in thermal equilibrium and presents very good energy coupling between the microwave power and gas heating and dissociation. The MW plasma torch outlet temperature is also measured and found to be suitable for many thermal heating and chemical dissociation applications

  8. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  9. Blood pressure response to conventional and low-dose enalapril in chronic renal failure

    DEFF Research Database (Denmark)

    Elung-Jensen, Thomas; Heisterberg, Jens; Kamper, Anne-Lise

    2003-01-01

    AIMS: In chronic renal failure, the clearance of most ACE inhibitors including enalapril is reduced. Hence, with conventional dosage, plasma enalaprilat may be markedly elevated. It is unclear whether this excess of drug exposure affords an improved control of blood pressure. The aim of the present...... study was to evaluate short-term blood pressure response to two different plasma levels of enalaprilat. METHODS: As part of an open, randomized, controlled trial of the effect of high and low dosage of enalapril on the progression of renal failure, short-term blood pressure response was evaluated. Data...

  10. Electron heating in low pressure capacitive discharges revisited

    Energy Technology Data Exchange (ETDEWEB)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J. [Department of Electrical Engineering and Computer Sciences University of California, Berkeley, California 94720 (United States)

    2014-12-15

    The electrons in capacitively coupled plasmas (CCPs) absorb energy via ohmic heating due to electron-neutral collisions and stochastic heating due to momentum transfer from high voltage moving sheaths. We use Particle-in-Cell (PIC) simulations to explore these heating mechanisms and to compare the PIC results with available theories on ohmic and stochastic heating. The PIC results for ohmic heating show good agreement with the ohmic heating calculation of Lafleur et al. [Phys. Plasmas 20, 124503 (2013)]. The PIC results for stochastic heating in low pressure CCPs with collisionless sheaths show good agreement with the stochastic heating model of Kaganovich et al. [IEEE Trans. Plasma Sci. 34, 696 (2006)], which revises the hard wall asymptotic model of Lieberman [IEEE Trans. Plasma Sci. 16, 638 (1988)] by taking current continuity and bulk oscillation into account.

  11. Electron heating in low pressure capacitive discharges revisited

    International Nuclear Information System (INIS)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J.

    2014-01-01

    The electrons in capacitively coupled plasmas (CCPs) absorb energy via ohmic heating due to electron-neutral collisions and stochastic heating due to momentum transfer from high voltage moving sheaths. We use Particle-in-Cell (PIC) simulations to explore these heating mechanisms and to compare the PIC results with available theories on ohmic and stochastic heating. The PIC results for ohmic heating show good agreement with the ohmic heating calculation of Lafleur et al. [Phys. Plasmas 20, 124503 (2013)]. The PIC results for stochastic heating in low pressure CCPs with collisionless sheaths show good agreement with the stochastic heating model of Kaganovich et al. [IEEE Trans. Plasma Sci. 34, 696 (2006)], which revises the hard wall asymptotic model of Lieberman [IEEE Trans. Plasma Sci. 16, 638 (1988)] by taking current continuity and bulk oscillation into account

  12. Electron heating in low pressure capacitive discharges revisited

    Science.gov (United States)

    Kawamura, E.; Lieberman, M. A.; Lichtenberg, A. J.

    2014-12-01

    The electrons in capacitively coupled plasmas (CCPs) absorb energy via ohmic heating due to electron-neutral collisions and stochastic heating due to momentum transfer from high voltage moving sheaths. We use Particle-in-Cell (PIC) simulations to explore these heating mechanisms and to compare the PIC results with available theories on ohmic and stochastic heating. The PIC results for ohmic heating show good agreement with the ohmic heating calculation of Lafleur et al. [Phys. Plasmas 20, 124503 (2013)]. The PIC results for stochastic heating in low pressure CCPs with collisionless sheaths show good agreement with the stochastic heating model of Kaganovich et al. [IEEE Trans. Plasma Sci. 34, 696 (2006)], which revises the hard wall asymptotic model of Lieberman [IEEE Trans. Plasma Sci. 16, 638 (1988)] by taking current continuity and bulk oscillation into account.

  13. Thomson scattering on a low-pressure, inductively-coupled gas discharge lamp

    International Nuclear Information System (INIS)

    Sande, M.J. van de; Mullen, J.J.A.M. van der

    2002-01-01

    Excitation and light production processes in gas discharge lamps are the result of inelastic collisions between atoms and free electrons in the plasma. Therefore, knowledge of the electron density n e and temperature T e is essential for a proper understanding of such plasmas. In this paper, an experimental system for laser Thomson scattering on a low-pressure, inductively-coupled gas discharge lamp and measurements of n e and T e in this lamp are presented. The experimental system is suitable for low electron temperatures (down to below 0.2 eV) and employs a triple grating spectrograph for a high stray light rejection, or equivalently a low stray light redistribution (R eff approximately 7x10 -9 nm -1 at 0.5 nm from the laser wavelength). The electron density detection limit of the system is n e approximately 10 16 m -3 . The modifications to the lamp that were necessary for the measurements are described, and results are presented and compared to previous work and trends expected from the electron particle and energy balances. The electron density and temperature are about n e approximately 10 19 m -3 and T e approximately 1 eV in the most active part of the plasma; the exact values depend on the argon filling pressure, the mercury pressure and the position in the lamp. (author)

  14. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kan, C.W., E-mail: tccwk@polyu.edu.hk [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Kwong, C.H. [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Ng, S.P. [Hong Kong Community College, The Hong Kong Polytechnic University (Hong Kong)

    2015-08-15

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  15. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Kan, C.W.; Kwong, C.H.; Ng, S.P.

    2015-01-01

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment

  16. Maskless Surface Modification of Polyurethane Films by an Atmospheric Pressure He/O2 Plasma Microjet for Gelatin Immobilization

    Directory of Open Access Journals (Sweden)

    Man Zhang

    2018-04-01

    Full Text Available A localized maskless modification method of polyurethane (PU films through an atmospheric pressure He/O2 plasma microjet (APPμJ was proposed. The APPμJ system combines an atmospheric pressure plasma jet (APPJ with a microfabricated silicon micronozzle with dimension of 30 μm, which has advantages of simple structure and low cost. The possibility of APPμJ in functionalizing PU films with hydroxyl (–OH groups and covalent grafting of gelatin for improving its biocompatibility was demonstrated. The morphologies and chemical compositions of the modified surface were analyzed by scanning electronic microscopy (SEM, Raman spectroscopy, and X-ray photoelectron spectroscopy (XPS. The fluorescent images show the modified surface can be divided into four areas with different fluorescence intensity from the center to the outside domain. The distribution of the rings could be controlled by plasma process parameters, such as the treatment time and the flow rate of O2. When the treatment time is 4 to 5 min with the oxygen percentage of 0.6%, the PU film can be effectively local functionalized with the diameter of 170 μm. In addition, the modification mechanism of PU films by the APPμJ is investigated. The localized polymer modified by APPμJ has potential applications in the field of tissue engineering.

  17. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  18. Low Blood Pressure (Hypotension)

    Science.gov (United States)

    ... lowest at night and rises sharply on waking. Blood pressure: How low can you go? What's considered low ... low blood pressure. Medications that can cause low blood pressure Some medications can cause low blood pressure, including: ...

  19. Uncertainty propagation in modeling of plasma-assisted hydrogen production from biogas

    Science.gov (United States)

    Zaherisarabi, Shadi; Venkattraman, Ayyaswamy

    2016-10-01

    With the growing concern of global warming and the resulting emphasis on decreasing greenhouse gas emissions, there is an ever-increasing need to utilize energy-production strategies that can decrease the burning of fossil fuels. In this context, hydrogen remains an attractive clean-energy fuel that can be oxidized to produce water as a by-product. In spite of being an abundant species, hydrogen is seldom found in a form that is directly usable for energy-production. While steam reforming of methane is one popular technique for hydrogen production, plasma-assisted conversion of biogas (carbon dioxide + methane) to hydrogen is an attractive alternative. Apart from producing hydrogen, the other advantage of using biogas as raw material is the fact that two potent greenhouse gases are consumed. In this regard, modeling is an important tool to understand and optimize plasma-assisted conversion of biogas. The primary goal of this work is to perform a comprehensive statistical study that quantifies the influence of uncertain rate constants thereby determining the key reaction pathways. A 0-D chemical kinetics solver in the OpenFOAM suite is used to perform a series of simulations to propagate the uncertainty in rate constants and the resulting mean and standard deviation of outcomes.

  20. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    International Nuclear Information System (INIS)

    Hicks, Robert F.; Selwyn, Gary S.

    2001-01-01

    Project was to develop a low-cost, environmentally benign technology for the decontamination and decommissioning of transuranic waste. With the invention of the atmospheric-pressure plasma jet the goal was achieved. This device selectively etches heavy metals from surfaces, rendering objects radiation free and suitable for decommissioning. The volatile reaction products are captured on filters, which yields a tremendous reduction in the volume of the waste. Studies on tantalum, a surrogate material for plutonium, have shown that etch rate of 6.0 microns per minute can be achieved under mild conditions. Over the past three years, we have made numerous improvements in the design of the plasma jet. It may now be operated for hundreds of hours and not undergo any degradation in performance. Furthermore, small compact units have been developed, which are easily deployed in the field

  1. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Robert F. Hicks; Gary S. Selwyn

    2001-01-09

    Project was to develop a low-cost, environmentally benign technology for the decontamination and decommissioning of transuranic waste. With the invention of the atmospheric-pressure plasma jet the goal was achieved. This device selectively etches heavy metals from surfaces, rendering objects radiation free and suitable for decommissioning. The volatile reaction products are captured on filters, which yields a tremendous reduction in the volume of the waste. Studies on tantalum, a surrogate material for plutonium, have shown that etch rate of 6.0 microns per minute can be achieved under mild conditions. Over the past three years, we have made numerous improvements in the design of the plasma jet. It may now be operated for hundreds of hours and not undergo any degradation in performance. Furthermore, small compact units have been developed, which are easily deployed in the field.

  2. Experimental and numerical investigations of microwave return loss of aircraft inlets with low-pressure plasma

    Science.gov (United States)

    Zhang, Yachun; He, Xiang; Chen, Jianping; Chen, Hongqing; Chen, Li; Zhang, Hongchao; Ni, Xiaowu; Lu, Jian; Shen, Zhonghua

    2018-03-01

    The relationships between return losses of the cylindrical inlet and plasma discharge parameters are investigated experimentally and numerically. The return losses are measured using a high dynamic range measurement system and simulated by COMSOL Multiphysics when the frequency band of the microwaves is in the range 1-4 GHz. The profiles of the plasma density are estimated using Epstein and Bessel functions. Results show that the incident microwaves can be absorbed by plasma efficaciously. The maximal return loss can reach -13.84 dB when the microwave frequency is 2.3 GHz. The increase of applied power implies augmentation of the return loss, which behaves conversely for gas pressure. The experimental and numerical results display reasonable agreement on return loss, suggesting that the use of plasma is effective in the radar cross section reduction of aircraft inlets.

  3. Plasma-assisted ohmic contact for AlGaN/GaN heterostructure field-effect transistors

    International Nuclear Information System (INIS)

    Zhang, Jiaqi; Wang, Lei; Wang, Qingpeng; Jiang, Ying; Li, Liuan; Ao, Jin-Ping; Zhu, Huichao

    2016-01-01

    An Al-based ohmic process assisted by an inductively coupled plasma (ICP) recess treatment is proposed for AlGaN/GaN heterostructure field-effect transistors (HFETs) to realize ohmic contact, which is only needed to anneal at 500 °C. The recess treatment was done with SiCl 4 plasma with 100 W ICP power for 20 s and annealing at 575 °C for 1 min. Under these conditions, contact resistance of 0.52 Ωmm was confirmed. To suppress the ball-up phenomenon and improve the surface morphology, an Al/TiN structure was also fabricated with the same conditions. The contact resistance was further improved to 0.30 Ωmm. By using this plasma-assisted ohmic process, a gate-first HFET was fabricated. The device showed high drain current density and high transconductance. The leakage current of the TiN-gate device decreased to 10 −9 A, which was 5 orders of magnitude lower than that of the device annealed at 800 °C. The results showed that the low-temperature ohmic contact process assisted by ICP treatment is promising for the fabrication of gate-first and self-aligned gate HFETs. (paper)

  4. Phonon-assisted tunneling and its dependence on pressure

    International Nuclear Information System (INIS)

    Roy, P.N.; Singh, A.P.; Thakur, B.N.

    1999-01-01

    First the mechanism of phonon-assisted tunneling has been investigated. The indirect tunnel current density has been computed after taking the amplitude of the time dependent perturbation as the energy of the lattice vibration. Later the pressure dependence of the phonon-assisted tunnel current has been computed using Payne's expression for the dependence of phonon frequency on pressure. Very good qualitative agreements are obtained between predicted and observed characteristics. (author)

  5. Origin of fluctuations in atmospheric pressure arc plasma devices

    International Nuclear Information System (INIS)

    Ghorui, S.; Das, A.K.

    2004-01-01

    Fluctuations in arc plasma devices are extremely important for any technological application in thermal plasma. The origin of such fluctuations remains unexplained. This paper presents a theory for observed fluctuations in atmospheric pressure arc plasma devices. A qualitative explanation for observed behavior on atmospheric pressure arc plasma fluctuations, reported in the literature, can be obtained from the theory. The potential of the theory is demonstrated through comparison of theoretical predictions with reported experimental observations

  6. Plasma and BIAS Modeling: Self-Consistent Electrostatic Particle-in-Cell with Low-Density Argon Plasma for TiC

    Directory of Open Access Journals (Sweden)

    Jürgen Geiser

    2011-01-01

    processes. In this paper we present a new model taken into account a self-consistent electrostatic-particle in cell model with low density Argon plasma. The collision model are based of Monte Carlo simulations is discussed for DC sputtering in lower pressure regimes. In order to simulate transport phenomena within sputtering processes realistically, a spatial and temporal knowledge of the plasma density and electrostatic field configuration is needed. Due to relatively low plasma densities, continuum fluid equations are not applicable. We propose instead a Particle-in-cell (PIC method, which allows the study of plasma behavior by computing the trajectories of finite-size particles under the action of an external and self-consistent electric field defined in a grid of points.

  7. Research on atmospheric pressure plasma processing sewage

    Science.gov (United States)

    Song, Gui-cai; Na, Yan-xiang; Dong, Xiao-long; Sun, Xiao-liang

    2013-08-01

    The water pollution has become more and more serious with the industrial progress and social development, so it become a worldwide leading environmental management problem to human survival and personal health, therefore, countries are looking for the best solution. Generally speaking, in this paper the work has the following main achievements and innovation: (1) Developed a new plasma device--Plasma Water Bed. (2) At atmospheric pressure condition, use oxygen, nitrogen, argon and helium as work gas respectively, use fiber spectrometer to atmospheric pressure plasma discharge the emission spectrum of measurement, due to the different work gas producing active particle is different, so can understand discharge, different particle activity, in the treatment of wastewater, has the different degradation effects. (3) Methyl violet solution treatment by plasma water bed. Using plasma drafting make active particles and waste leachate role, observe the decolorization, measurement of ammonia nitrogen removal.

  8. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  9. A numerical simulation study on active species production in dense methane-air plasma discharge

    Science.gov (United States)

    Gui, LI; Muyang, QIAN; Sanqiu, LIU; Huaying, CHEN; Chunsheng, REN; Dezhen, WANG

    2018-01-01

    Recently, low-temperature atmospheric pressure plasmas have been proposed as a potential type of ‘reaction carrier’ for the conversion of methane into value-added chemicals. In this paper, the multi-physics field coupling software of COMSOL is used to simulate the detailed discharge characteristics of atmospheric pressure methane-air plasma. A two-dimensional axisymmetric fluid model is constructed, in which 77 plasma chemical reactions and 32 different species are taken into account. The spatial density distributions of dominant charged ions and reactive radical species, such as {{{CH}}}4+, {{{CH}}}3+, {{{N}}}2+, {{{O}}}2+, H, O, CH3, and CH2, are presented, which is due to plasma chemical reactions of methane/air dissociation (or ionization) and reforming of small fragment radical species. The physicochemical mechanisms of methane dissociation and radical species recombination are also discussed and analyzed.

  10. Low pressure plasmas and microstructuring technology

    CERN Document Server

    Franz, Gerhard

    2009-01-01

    A monograph that presents a perspective of gas discharge physics and its applications to various industries. It presents an overview of the different types to generate plasmas by DC discharges, capacitive and inductive radiofrequency coupling, helicon waves including electron cyclotron resonance, and ion beams.

  11. Spectrochemical analysis of powder using 355 nm Nd-YAG laser-induced low-pressure plasma.

    Science.gov (United States)

    Lie, Zener S; Pardede, M; Hedwig, R; Suliyanti, M M; Kurniawan, Koo Hendrik; Munadi; Lee, Yong-Inn; Kagawa, Kiichiro; Hattori, Isamu; Tjia, May On

    2008-04-01

    The applicability of spectrochemical analysis of minute amounts of powder samples was investigated using an ultraviolet Nd-YAG laser (355 nm) and low-pressure ambient air. A large variety of chemical powder samples of different composition were employed in the experiment. These included a mixture of copper(II) sulfate pentahydrate, zinc sulfide, and chromium(III) sulfate n-hydrate powders, baby powder, cosmetic powders, gold films, zinc supplement tablet, and muds and soils from different areas. The powder samples were prepared by pulverizing the original samples to an average size of around 30 microm in order to trap them in the tiny micro holes created on the surface of the quartz subtarget. It was demonstrated that in all cases studied, good quality spectra were obtained with low background, free from undesirable contamination by the subtarget elements and featuring ppm sensitivity. A further measurement revealed a linear calibration curve with zero intercept. These results clearly show the potential application of this technique for practical qualitative and quantitative spectrochemical analysis of powder samples in various fields of study and investigation.

  12. Atmospheric pressure plasmas for surface modification of flexible and printed electronic devices: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyong Nam; Lee, Seung Min; Mishra, Anurag [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); Yeom, Geun Young, E-mail: gyyeom@skku.edu [Department of Materials Science and Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon, Gyeonggi-do 440-746 (Korea, Republic of)

    2016-01-01

    Recently, non-equilibrium atmospheric pressure plasma, especially those operated at low gas temperatures, have become a topic of great interest for the processing of flexible and printed electronic devices due to several benefits such as the reduction of process and reactor costs, the employment of easy-to-handle apparatuses and the easier integration into continuous production lines. In this review, several types of typical atmospheric pressure plasma sources have been addressed, and the processes including surface treatment, texturing and sintering for application to flexible and printed electronic devices have been discussed.

  13. Theory of ballooning-mirror instabilities for anisotropic pressure plasmas in the magnetosphere

    International Nuclear Information System (INIS)

    Cheng, C.Z.; Qian, Q.

    1993-09-01

    This paper deals with a kinetic-MHD eigenmode stability analysis of low frequency ballooning-mirror instabilities for anisotropic pressure plasmas in the magnetosphere. The ballooning mode is a dominant transverse wave driven unstable by pressure gradient in the bad curvature region. The mirror mode with a dominant compressional magnetic field perturbation is excited when the product of plasma beta and pressure anisotropy is large. The field-aligned eigenmode equations take into account the coupling of the transverse and compressional components of the perturbed magnetic field and describe the coupled ballooning-mirror mode. Because the energetic trapped ions precess very rapidly across the rvec B field, their motion becomes very rigid with respect to low frequency MHD perturbations with symmetric structure of parallel perturbed magnetic field δB parallel and electrostatic potential Φ along the north-south ambient magnetic field, and the symmetric ballooning-mirror mode is shown to be stable. On the other hand, the ballooning-mirror mode with antisymmetric δB parallel , and Φ structure along the north-south ambient magnetic field is only weakly influenced by energetic trapped particle kinetic effects due to rapid trapped particle bounce motion and has the lowest instability threshold determined by MHD theory. With large plasma beta (β parallel ≥ O(1)) and pressure anisotropy (P perpendicular /P parallel > 1) at equator the antisymmetric ballooning-mirror mode structures resemble the field-aligned wave structures of the multisatellite observations of a long lasting compressional Pc 5 wave event during November 14--15, 1979 [Takahashi et al.]. The study provides the theoretical basis for identifying the internal excitation mechanism of ULF (Pc 4-5) waves by comparing the plasma stability parameters computed from the satellite particle data with the theoretical values

  14. Formation Of Carbon Oxides In CH4/O2 Plasmas Produced By Inductively Coupled RF Discharges At Low Pressure

    International Nuclear Information System (INIS)

    Moeller, Ivonne; Soltwisch, Henning

    2003-01-01

    The formation of CO and CO2 has been studied in inductively coupled rf (13.56 MHz) discharges with varied mixtures of CH4 and O2 as feed gases at a total pressure of 10 Pa, flow rates of <10 sccm, and input powers of <500 W. The primary diagnostic tool has been TDLAS (tunable diode laser absorption spectroscopy) to measure absolute concentrations of molecular species as well as their kinetic and rovibrational temperatures. Of particular interest is the sudden transition between different modes of power coupling (capacitive and inductive mode, resp.) and the related changes of the plasma composition. We have found that the power threshold for this transition exhibits a clear hysteresis and depends on the oxygen content. Comparing the ratio of the CO- and CO2-concentrations in capacitive mode with corresponding data from a parallel-plate discharge, clear differences have been observed. The findings can partly be explained on the basis of plasma-chemical reaction chains using tabulated cross-sections in combination with estimations of the electron energy distribution function. Some observations (as, e.g. the presence of CO in inductively coupled plasmas that are fed by pure oxygen) cannot be understood from volume reactions only but point to an important role of surface processes, which depend on the materials of the discharge chamber and on its history and cleaning method

  15. Material for electrodes of low temperature plasma generators

    Science.gov (United States)

    Caplan, Malcolm; Vinogradov, Sergel Evge'evich; Ribin, Valeri Vasil'evich; Shekalov, Valentin Ivanovich; Rutberg, Philip Grigor'evich; Safronov, Alexi Anatol'evich

    2008-12-09

    Material for electrodes of low temperature plasma generators. The material contains a porous metal matrix impregnated with a material emitting electrons. The material uses a mixture of copper and iron powders as a porous metal matrix and a Group IIIB metal component such as Y.sub.2O.sub.3 is used as a material emitting electrons at, for example, the proportion of the components, mass %: iron: 3-30; Y.sub.2O.sub.3:0.05-1; copper: the remainder. Copper provides a high level of heat conduction and electric conductance, iron decreases intensity of copper evaporation in the process of plasma creation providing increased strength and lifetime, Y.sub.2O.sub.3 provides decreasing of electronic work function and stability of arc burning. The material can be used for producing the electrodes of low temperature AC plasma generators used for destruction of liquid organic wastes, medical wastes, and municipal wastes as well as for decontamination of low level radioactive waste, the destruction of chemical weapons, warfare toxic agents, etc.

  16. Highly Efficient 2D/3D Hybrid Perovskite Solar Cells via Low-Pressure Vapor-Assisted Solution Process.

    Science.gov (United States)

    Li, Ming-Hsien; Yeh, Hung-Hsiang; Chiang, Yu-Hsien; Jeng, U-Ser; Su, Chun-Jen; Shiu, Hung-Wei; Hsu, Yao-Jane; Kosugi, Nobuhiro; Ohigashi, Takuji; Chen, Yu-An; Shen, Po-Shen; Chen, Peter; Guo, Tzung-Fang

    2018-06-08

    The fabrication of multidimensional organometallic halide perovskite via a low-pressure vapor-assisted solution process is demonstrated for the first time. Phenyl ethyl-ammonium iodide (PEAI)-doped lead iodide (PbI 2 ) is first spin-coated onto the substrate and subsequently reacts with methyl-ammonium iodide (MAI) vapor in a low-pressure heating oven. The doping ratio of PEAI in MAI-vapor-treated perovskite has significant impact on the crystalline structure, surface morphology, grain size, UV-vis absorption and photoluminescence spectra, and the resultant device performance. Multiple photoluminescence spectra are observed in the perovskite film starting with high PEAI/PbI 2 ratio, which suggests the coexistence of low-dimensional perovskite (PEA 2 MA n -1 Pb n I 3 n +1 ) with various values of n after vapor reaction. The dimensionality of the as-fabricated perovskite film reveals an evolution from 2D, hybrid 2D/3D to 3D structure when the doping level of PEAI/PbI 2 ratio varies from 2 to 0. Scanning electron microscopy images and Kelvin probe force microscopy mapping show that the PEAI-containing perovskite grain is presumably formed around the MAPbI 3 perovskite grain to benefit MAPbI 3 grain growth. The device employing perovskite with PEAI/PbI 2 = 0.05 achieves a champion power conversion efficiency of 19.10% with an open-circuit voltage of 1.08 V, a current density of 21.91 mA cm -2 , and a remarkable fill factor of 80.36%. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Diagnostics of capacitively-coupled hydrocarbon plasmas for deposition of diamond-like carbon films using quadrupole mass spectrometry and Langmuir probe

    Science.gov (United States)

    Oda, Akinori; Fukai, Shun; Kousaka, Hiroyuki; Ohta, Takayuki

    2015-09-01

    Diamond-like carbon (DLC) films are the hydrogenated amorphous carbon films, which contains a mixture of sp2- and sp3-bonded carbon. The DLC films have been widely used for various applications, such as automotive, semiconductors, medical devices, since have excellent material properties in lower friction, higher chemical stability, higher hardness, higher wear resistance. Until now, numerous investigations on the DLC films using plasma assisted chemical vapor deposition have been done. For precise control of coating technique of DLC films, it is enormously important to clarify the fundamental properties in hydrocarbon plasmas, as a source of hydrocarbon ions and radicals. In this paper, the fundamental properties in a low pressure radio-frequency hydrocarbon (Ar/CH4 (1 %) gas mixture) plasmas have been diagnosed using a quadrupole mass spectrometer (HIDEN ANARYTICAL Ltd., EQP-300) and Langmuir probe system (HIDEN ANARYTICAL Ltd., ESPion). This work was partly supported by KAKENHI (No.26420247), and a ``Grant for Advanced Industrial Technology Development (No.11B06004d)'' in 2011 from the New Energy and Industrial Technology Development Organization (NEDO) of Japan.

  18. Characterization of pulsed atmospheric-pressure plasma streams (PAPS) generated by a plasma gun

    Science.gov (United States)

    Robert, E.; Sarron, V.; Riès, D.; Dozias, S.; Vandamme, M.; Pouvesle, J.-M.

    2012-06-01

    An experimental study of atmospheric-pressure rare gas plasma propagation in a high-aspect-ratio capillary is reported. The plasma is generated with a plasma gun device based on a dielectric barrier discharge (DBD) reactor powered by either nanosecond or microsecond rise-time high-voltage pulses at single-shot to multi-kHz frequencies. The influence of the voltage waveform, pulse polarity, pulse repetition rate and capillary material have been studied using nanosecond intensified charge-coupled device imaging and plasma-front velocity measurements. The evolution of the plasma appearance during its propagation and the study of the role of the different experimental parameters lead us to suggest a new denomination of pulsed atmospheric-pressure plasma streams to describe all the plasma features, including the previously so-called plasma bullet. The unique properties of such non-thermal plasma launching in capillaries, far from the primary DBD plasma, are associated with a fast ionization wave travelling with velocity in the 107-108 cm s-1 range. Voltage pulse tailoring is shown to allow for a significant improvement of such plasma delivery. Thus, the plasma gun device affords unique opportunities in biomedical endoscopic applications.

  19. Characterization of pulsed atmospheric-pressure plasma streams (PAPS) generated by a plasma gun

    International Nuclear Information System (INIS)

    Robert, E; Sarron, V; Riès, D; Dozias, S; Vandamme, M; Pouvesle, J-M

    2012-01-01

    An experimental study of atmospheric-pressure rare gas plasma propagation in a high-aspect-ratio capillary is reported. The plasma is generated with a plasma gun device based on a dielectric barrier discharge (DBD) reactor powered by either nanosecond or microsecond rise-time high-voltage pulses at single-shot to multi-kHz frequencies. The influence of the voltage waveform, pulse polarity, pulse repetition rate and capillary material have been studied using nanosecond intensified charge-coupled device imaging and plasma-front velocity measurements. The evolution of the plasma appearance during its propagation and the study of the role of the different experimental parameters lead us to suggest a new denomination of pulsed atmospheric-pressure plasma streams to describe all the plasma features, including the previously so-called plasma bullet. The unique properties of such non-thermal plasma launching in capillaries, far from the primary DBD plasma, are associated with a fast ionization wave travelling with velocity in the 10 7 –10 8 cm s −1 range. Voltage pulse tailoring is shown to allow for a significant improvement of such plasma delivery. Thus, the plasma gun device affords unique opportunities in biomedical endoscopic applications. (paper)

  20. Hydrophobic and superhydrophobic surfaces fabricated using atmospheric pressure cold plasma technology: A review.

    Science.gov (United States)

    Dimitrakellis, Panagiotis; Gogolides, Evangelos

    2018-04-01

    Hydrophobic surfaces are often used to reduce wetting of surfaces by water. In particular, superhydrophobic surfaces are highly desired for several applications due to their exceptional properties such as self-cleaning, anti-icing, anti-friction and others. Such surfaces can be prepared via numerous methods including plasma technology, a dry technique with low environmental impact. Atmospheric pressure plasma (APP) has recently attracted significant attention as lower-cost alternative to low-pressure plasmas, and as a candidate for continuous rather than batch processing. Although there are many reviews on water-repellent surfaces, and a few reviews on APP technology, there are hardly any review works on APP processing for hydrophobic and superhydrohobic surface fabrication, a topic of high importance in nanotechnology and interface science. Herein, we critically review the advances on hydrophobic and superhydrophobic surface fabrication using APP technology, trying also to give some perspectives in the field. After a short introduction to superhydrophobicity of nanostructured surfaces and to APPs we focus this review on three different aspects: (1) The atmospheric plasma reactor technology used for fabrication of (super)hydrophobic surfaces. (2) The APP process for hydrophobic surface preparation. The hydrophobic surface preparation processes are categorized methodologically as: a) activation, b) grafting, c) polymerization, d) roughening and hydrophobization. Each category includes subcategories related to different precursors used. (3) One of the most important sections of this review concerns superhydrophobic surfaces fabricated using APP. These are methodologically characterized as follows: a) single step processes where micro-nano textured topography and low surface energy coating are created at the same time, or b) multiple step processes, where these steps occur sequentially in or out of the plasma. We end the review with some perspectives in the field. We

  1. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  2. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  3. Pressurized-helium breakdown at very low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Metas, R J

    1972-06-01

    An investigation of the electrical-breakdown behavior of helium at very low temperatures has been carried out to assist the design and development of superconducting power cables. At very high densities, both liquid and gaseous helium showed an enhancement in electric strength when pressurized to a few atmospheres; conditioned values of breakdown fields then varied between 30 and 45 MV/m. Breakdown processes occurring over a wide range of helium densities are discussed. 24 references.

  4. Transition to chaos in periodically driven thermionic diodes at low pressure

    International Nuclear Information System (INIS)

    Klinger, T.; Timm, R.; Piel, A.

    1992-01-01

    The static I(U) characteristic of thermionic diodes at mbar pressures shows a large hysteresis, which describes the transition from the 'anode-glow-mode' (AGM), with essentially negative plasma potential, to the 'temperature-limited-mode' (TLM), with positive plasma potential. Many features of these modes are also found in magnetic-box discharges with filament cathodes at pressures of 10 -2 -10 -1 Pa. Although these two pressure regimes are basically different concerning the transport properties (diffusion vs. free streaming), the elementary processes that establish the AGM in the low pressure regime are very similar to the high pressure regime. Ions are produced in that part of the anode sheath where the potential exceeds the ionization energy. The production rate is enhanced by multiple reflections of electrons between the magnetic fields of the permanent magnet array at the anode and the repulsive potential of the cathode plasma. Although the mean free path for charge exchange or elastic collisions substantially exceeds the anode-cathode distance, some few ions are stopped and trapped within the potential well of the virtual cathode. This accumulation of ions forms a cathodic plasma, which is essentially at cathode potential. Plasma formation in the anode sheath is suppressed as long as the ion production time is larger than the ion transit time through the sheath. These model ideas are supported by 1d-Particle-in-cell simulations using a modified PDP1-code. The AGM is attractive for studies of nonlinear dynamics because of its feedback processes and oscillations, which occur close to the hysteresis point. (author) 7 refs., 3 figs

  5. DBD plasma assisted combustion for 1D flat flame

    NARCIS (Netherlands)

    Elkholy, A.H.E.

    2015-01-01

    The potential use of non-equilibrium plasma for ignition and combustion control has garnered increasing interest due to the possibility of plasma-assisted approaches for ignition and flame stabilization. During the past decade, significant progress has been made toward understanding the mechanisms

  6. Tailoring of the morphology and chemical composition of thin organosilane microwave plasma polymer layers on metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Grundmeier, G.; Thiemann, P.; Carpentier, J.; Shirtcliffe, N.; Stratmann, M

    2004-01-01

    The growth of thin microwave organosilicon plasma polymers on model zinc surfaces was investigated as a function of the film thickness and the oxygen partial pressure during film deposition. The evolution of the topology of the film was studied by atomic force microscopy (AFM). The nano- and micro-roughness was investigated at the inner and the outer surfaces of the plasma polymers. A special etching procedure was developed to reveal the underside of the plasma polymer and thereby its inner surface. Rough films contained voids at the interface, which reduced the polymer/metal contact area. The increase in oxygen partial pressure led to a smoother film growth with a perfect imitation of the substrate topography at the interface. The chemical structure of the films was determined by infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy (ToF-SIMS). ToF-SIMS at the outer and the inner surface of the plasma polymers showed that the density of methylsilyl groups increases in the outer surface layer of the plasma polymer and depends on the oxygen partial pressure. The chemical composition of the films could be altered to pure SiO{sub 2} without changing the morphology by using oxygen-plasma post-treatment. This was proved by means of IRRAS and AFM. Chemistry and topology of the films were correlated with the apparent water contact angle. It was found that a linear relationship exists between the nanoscopic roughness of the plasma polymer and the static contact angle of water. Superposition of a nanoscopic roughness of the metal surface and the nanoscopic roughness of methylsilyl-rich films led to ultra-hydrophobic films with water contact angles up to 160 deg.

  7. On OH production in water containing atmospheric pressure plasmas

    NARCIS (Netherlands)

    Bruggeman, P.J.; Schram, D.C.

    2010-01-01

    In this paper radical production in atmospheric pressure water containing plasmas is discussed. As OH is often an important radical in these discharges the paper focuses on OH production. Besides nanosecond pulsed coronas and diffusive glow discharges, several other atmospheric pressure plasmas

  8. Thermoplastic fusion bonding using a pressure-assisted boiling point control system.

    Science.gov (United States)

    Park, Taehyun; Song, In-Hyouk; Park, Daniel S; You, Byoung Hee; Murphy, Michael C

    2012-08-21

    A novel thermoplastic fusion bonding method using a pressure-assisted boiling point (PABP) control system was developed to apply precise temperatures and pressures during bonding. Hot embossed polymethyl methacrylate (PMMA) components containing microchannels were sealed using the PABP system. Very low aspect ratio structures (AR = 1/100, 10 μm in depth and 1000 μm in width) were successfully sealed without collapse or deformation. The integrity and strength of the bonds on the sealed PMMA devices were evaluated using leakage and rupture tests; no leaks were detected and failure during the rupture tests occurred at pressures greater than 496 kPa. The PABP system was used to seal 3D shaped flexible PMMA devices successfully.

  9. The Role of Molecules in Low Temperature Plasmas for Lighting

    International Nuclear Information System (INIS)

    Lapatovich, Walter P.

    2007-01-01

    High intensity discharge (HID) lamps are low temperature (∼0.5eV), weakly ionized plasmas sustained in a refractory but light transmissive envelope for the purpose of converting electrical power into visible radiation. For commercial applications this conversion must occur with good efficiency and with sufficient spectral content throughout the visible (380-780nm) to permit the light so generated to render colors in a fashion comparable to natural sunlight. These goals are often achieved by adding multiple metals to a basic mercury discharge. Because the vapor pressure of most metals is very much lower than mercury itself, chemical compounds containing the desired metals, and having higher vapor pressures are used to introduce the material into the basic discharge. Complexing agents which further improve the vapor pressure are used to enhance the amount of metals in the discharge. The metal compound and complexes are usually polyatomic species which vaporize and subsequently dissociate as they diffuse into the bulk plasma. Under the approximation of local thermodynamic equilibrium (LTE) the particles are in equilibrium, but not with the radiation Held. Strong thermal (106K/m) and density gradients are sustained in the discharge. Atomic and molecular radiation produced in the high temperature core transits through colder gas regions before exiting the lamp. In these regions where the complex molecular species exists in an undissociated state, bound-free transitions can result in energy being effectively converted from light radiation into heat in the mantle. Bound-bound transitions In Identifiable molecules can result in modification of the spectral output in unpredictable and counter-intuitive ways. Examples of completing agents and their effect on the spectral output of typical rare-earth containing HID lamps will be given. The melt composition and the complexing agents themselves may change with time, as chemical reactions in the lamp occur, and their benefit

  10. Enhanced corrosion resistance and hemocompatibility of biomedical NiTi alloy by atmospheric-pressure plasma polymerized fluorine-rich coating

    Energy Technology Data Exchange (ETDEWEB)

    Li, Penghui; Li, Limin [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wang, Wenhao [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Division of Spine Surgery, Department of Orthopaedics and Traumatology, Pokfulam, Hong Kong (China); Jin, Weihong [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Liu, Xiangmei [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Ministry of Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei University, Wuhan, Hubei 430062 (China); Yeung, Kelvin W.K. [Division of Spine Surgery, Department of Orthopaedics and Traumatology, Pokfulam, Hong Kong (China); Chu, Paul K., E-mail: paul.chu@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2014-04-01

    Highlights: • Fluoropolymer is deposited on NiTi alloy via atmospheric-pressure plasma polymerization. • The corrosion resistance of NiTi alloy in SBF and DMEM is evidently improved. • The adsorption ratio of albumin to fibrinogen is increased on the coated surface. • The reduced platelet adhesion number indicates better in vitro hemocompatibility. - Abstract: To improve the corrosion resistance and hemocompatibility of biomedical NiTi alloy, hydrophobic polymer coatings are deposited by plasma polymerization in the presence of a fluorine-containing precursor using an atmospheric-pressure plasma jet. This process takes place at a low temperature in air and can be used to deposit fluoropolymer films using organic compounds that cannot be achieved by conventional polymerization techniques. The composition and chemical states of the polymer coatings are characterized by fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS). The corrosion behavior of the coated and bare NiTi samples is assessed and compared by polarization tests and electrochemical impedance spectroscopy (EIS) in physiological solutions including simulated body fluids (SBF) and Dulbecco's Modified Eagle's medium (DMEM). The corrosion resistance of the coated NiTi alloy is evidently improved. Protein adsorption and platelet adhesion tests reveal that the adsorption ratio of albumin to fibrinogen is increased and the number of adherent platelets on the coating is greatly reduced. The plasma polymerized coating renders NiTi better in vitro hemocompatibility and is promising as a protective and hemocompatible coating on cardiovascular implants.

  11. The kinetics of nonequilibrium chain plasma-chemical oxidation in heterogeneous media

    International Nuclear Information System (INIS)

    Deminskii, M.A.; Potapkin, B.V.; Rusanov, V.D.

    1994-01-01

    The kinetics of oxidation of low-impurity components in air mixtures under heterogeneous conditions was studied. The principal kinetic features of the process were determined on the basis of theoretical analysis of plasma-chemical oxidation in heterogeneous media. The analysis also showed that low concentrations of impurities in liquid aerosol particles can be efficiently oxidized via a chain process induced by reactive species formed in the gas

  12. Introduction to complex plasmas

    International Nuclear Information System (INIS)

    Bonitz, Michael; Ludwig, Patrick; Horing, Norman

    2010-01-01

    Complex plasmas differ from traditional plasmas in many ways: these are low-temperature high pressure systems containing nanometer to micrometer size particles which may be highly charged and strongly interacting. The particles may be chemically reacting or be in contact with solid surfaces, and the electrons may show quantum behaviour. These interesting properties have led to many applications of complex plasmas in technology, medicine and science. Yet complex plasmas are extremely complicated, both experimentally and theoretically, and require a variety of new approaches which go beyond standard plasma physics courses. This book fills this gap presenting an introduction to theory, experiment and computer simulation in this field. Based on tutorial lectures at a very successful recent Summer Institute, the presentation is ideally suited for graduate students, plasma physicists and experienced undergraduates. (orig.)

  13. Introduction to Complex Plasmas

    CERN Document Server

    Bonitz, Michael; Ludwig, Patrick

    2010-01-01

    Complex plasmas differ from traditional plasmas in many ways: these are low-temperature high pressure systems containing nanometer to micrometer size particles which may be highly charged and strongly interacting. The particles may be chemically reacting or be in contact with solid surfaces, and the electrons may show quantum behaviour. These interesting properties have led to many applications of complex plasmas in technology, medicine and science. Yet complex plasmas are extremely complicated, both experimentally and theoretically, and require a variety of new approaches which go beyond standard plasma physics courses. This book fills this gap presenting an introduction to theory, experiment and computer simulation in this field. Based on tutorial lectures at a very successful recent Summer Institute, the presentation is ideally suited for graduate students, plasma physicists and experienced undergraduates.

  14. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  15. Improving plasma resistance and lowering roughness in an ArF photoresist by adding a chemical reaction inhibitor

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Uesugi, Takuji; Koyama, Koji; Samukawa, Seiji; Kato, Keisuke; Yasuda, Atsushi; Maeda, Shinichi; Momose, Hikaru

    2010-01-01

    Major challenges associated with 193 nm lithography using an ArF photoresist are low plasma resistance and roughness formation in the ArF photoresist during plasma processes. We have previously found decisive factors affecting the plasma resistance and roughness formation in an ArF photoresist: plasma resistance is determined by UV/VUV radiation, and roughness formation is dominated by chemical reactions. In this study, based on our findings on the interaction between plasma radiation species and ArF photoresist polymers, we proposed an ArF photoresist with a chemical reaction inhibitor, which can trap reactive species from the plasma, and characterized the performances of the resultant ArF photoresist through neutral beam experiments. Hindered amine light stabilizers, i.e. 4-hydroxy-2,2,6,6-tetramethyl-1-piperidinyloxy (HO-TEMPO), were used as the chemical reaction inhibitor. Etching rates of the ArF photoresist films were not dependent on the HO-TEMPO content in the irradiations without chemical reactions or under UV/VUV radiation. However, in the irradiation with chemical reactions, the etching rates of the ArF photoresist films decreased as the HO-TEMPO content increased. In addition, the surface roughness decreased with the increase in the additive amount of chemical reaction inhibitor. According to FTIR analysis, a chemical reaction inhibitor can inhibit the chemical reactions in ArF photoresist films through plasma radicals. These results indicate that a chemical reaction inhibitor is effective against chemical reactions, resulting in improved plasma resistance and less roughness in an ArF photoresist. These results also support our suggested mechanism of plasma resistance and roughness formation in an ArF photoresist.

  16. Improvement of laser keyhole formation with the assistance of arc plasma in the hybrid welding process of magnesium alloy

    Science.gov (United States)

    Liu, Liming; Hao, Xinfeng

    2009-11-01

    In the previous work, low-power laser/arc hybrid welding technique is used to weld magnesium alloy and high-quality weld joints are obtained. In order to make clear the interactions between low-power laser pulse and arc plasma, the effect of arc plasma on laser pulse is studied in this article. The result shows that the penetration of low-power laser welding with the assistance of TIG arc is more than two times deeper than that of laser welding alone and laser welding transforms from thermal-conduction mode to keyhole mode. The plasma behaviors and spectra during the welding process are studied, and the transition mechanism of laser-welding mode is analyzed in detail. It is also found that with the assistance of arc plasma, the threshold value of average power density to form keyhole welding for YAG laser is only 3.3×10 4 W/cm 2, and the average peak power density is 2.6×10 5 W/cm 2 in the present experiment. Moreover, the distribution of energy density during laser pulse is modulated to improve the formation and stability of laser keyholes.

  17. To the probe theory in a highly-ionized high-pressure plasma

    International Nuclear Information System (INIS)

    Baksht, F.G.; Rybakov, A.B.

    1997-01-01

    The probe theory in highly-ionized high-pressure plasma is presented. The situation typical for high-pressure plasma, when the plasma in the main part of the near-probe layer is in the state of local ionization equilibrium with general temperature for electrons and heavy particles. Possibility is discussed for determining the parameters of non-perturbed plasma through analysis of the probe characteristic at place of ion saturation, transition area and by the probe floating potential. The experiments were carried out by example of highly-ionized xenon plasma under atmospheric pressure

  18. Plasma assisted combustion of parafin mixture

    International Nuclear Information System (INIS)

    Nedybaliuk, O.A.; Chernyak, V.Ya.; Martysh, E.V.; Lisitchenko, T.E.; Vergun, O.Yu.; Orlovska, S.G.

    2013-01-01

    In this work the results of solid paraffin combustion with the aid of the plasma of transverse and rotational gliding arc studies are represented. The question of the additional activation of paraffin based solid fuels is examined. The mixture of n-paraffin and stearin in the solid state as the model of the solid paraffin based fuel is used. The plasma assisted combustion of this model is experimentally investigated. The voltage-current characteristics of discharge at the different regimes are measured. The population temperatures of excited rotational levels are determined. The flame temperature during the combustion of solid paraffin containing mixture is calculated

  19. Charge dependence of the plasma travel length in atmospheric-pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Yambe, Kiyoyuki; Konda, Kohmei; Masuda, Seiya [Graduate School of Science and Technology, Niigata University, Niigata 950-2181 (Japan)

    2016-06-15

    Plasma plume is generated using a quartz tube, helium gas, and foil electrode by applying AC high voltage under the atmosphere. The plasma plume is released into the atmosphere from inside of the quartz tube and is seen as the continuous movement of the plasma bullet. The travel length of plasma bullet is defined from plasma energy and force due to electric field. The drift velocity of plasma bullet has the upper limit under atmospheric-pressure because the drift velocity is determined from the balance between electric field and resistive force due to collisions between plasma and air. The plasma plume charge depends on the drift velocity. Consequently, in the laminar flow of helium gas flow state, the travel length of the plasma plume logarithmically depends on the plasma plume charge which changes with both the electric field and the resistive force.

  20. Charge dependence of the plasma travel length in atmospheric-pressure plasma

    International Nuclear Information System (INIS)

    Yambe, Kiyoyuki; Konda, Kohmei; Masuda, Seiya

    2016-01-01

    Plasma plume is generated using a quartz tube, helium gas, and foil electrode by applying AC high voltage under the atmosphere. The plasma plume is released into the atmosphere from inside of the quartz tube and is seen as the continuous movement of the plasma bullet. The travel length of plasma bullet is defined from plasma energy and force due to electric field. The drift velocity of plasma bullet has the upper limit under atmospheric-pressure because the drift velocity is determined from the balance between electric field and resistive force due to collisions between plasma and air. The plasma plume charge depends on the drift velocity. Consequently, in the laminar flow of helium gas flow state, the travel length of the plasma plume logarithmically depends on the plasma plume charge which changes with both the electric field and the resistive force.

  1. Sterilization and decontamination of medical instruments by low-pressure plasma discharges: application of Ar/O2/N2 ternary mixture

    International Nuclear Information System (INIS)

    Kylian, O; Rossi, F

    2009-01-01

    A low-pressure inductively coupled plasma discharge sustained in an argon-oxygen-nitrogen ternary mixture is studied in order to evaluate its properties in terms of sterilization and decontamination of surfaces of medical instruments. It is demonstrated by direct comparison with discharges operated in oxygen-nitrogen and oxygen-argon mixtures that application of an Ar/O 2 /N 2 mixture offers the possibility to combine advantageous properties of the binary mixtures, namely, the capability of an O 2 /N 2 plasma to emit intense UV radiation needed for effective inactivation of bacterial spores together with high removal rates of biological substances from Ar/O 2 discharge. Moreover, optimal conditions for both effects are obtained at a similar ternary discharge mixture composition, which is of much interest for real applications, since it offers a highly effective process desired for the safety of medical instruments.

  2. Pressure balance inconsistency exhibited in a statistical model of magnetospheric plasma

    Science.gov (United States)

    Garner, T. W.; Wolf, R. A.; Spiro, R. W.; Thomsen, M. F.; Korth, H.

    2003-08-01

    While quantitative theories of plasma flow from the magnetotail to the inner magnetosphere typically assume adiabatic convection, it has long been understood that these convection models tend to overestimate the plasma pressure in the inner magnetosphere. This phenomenon is called the pressure crisis or the pressure balance inconsistency. In order to analyze it in a new and more detailed manner we utilize an empirical model of the proton and electron distribution functions in the near-Earth plasma sheet (-50 RE attributed to gradient/curvature drift for large isotropic energy invariants but not for small invariants. The tailward gradient of the distribution function indicates a violation of the adiabatic drift condition in the plasma sheet. It also confirms the existence of a "number crisis" in addition to the pressure crisis. In addition, plasma sheet pressure gradients, when crossed with the gradient of flux tube volume computed from the [1989] magnetic field model, indicate Region 1 currents on the dawn and dusk sides of the outer plasma sheet.

  3. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  4. Surface treatment of aramid fiber by air dielectric barrier discharge plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Jia Caixia; Chen Ping; Liu Wei; Li Bin; Wang Qian

    2011-01-01

    Aramid fiber samples are treated by air dielectric barrier discharge (DBD) plasma at atmospheric pressure; the plasma treatment time is investigated as the major parameter. The effects of this treatment on the fiber surface physical and chemical properties are studied by using surface characterization techniques. Scanning electron microscopy (SEM) is performed to determine the surface morphology changes, X-ray photoelectron spectroscopy (XPS) is analyzed to reveal the surface chemical composition variations and dynamic contact angle analysis (DCAA) is used to examine the changes of the fiber surface wettability. In addition, the wetting behavior of a kind of thermoplastic resin, poly(phthalazinone ether sulfone ketone) (PPESK), on aramid fiber surface is also observed by SEM photos. The study shows that there seems to be an optimum treatment condition for surface modification of aramid fiber by the air DBD plasma. In this paper, after the 12 s, 27.6 W/cm 3 plasma treatment the aramid fiber surface roughness is significantly improved, some new oxygen-containing groups such as C-O, C=O and O=C-O are generated on the fiber surface and the fiber surface wettability is greatly enhanced, which results in the better wetting behavior of PPESK resin on the plasma-treated aramid fiber.

  5. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  6. Relation between plasma plume density and gas flow velocity in atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Yambe, Kiyoyuki; Taka, Shogo; Ogura, Kazuo

    2014-01-01

    We have studied atmospheric pressure plasma generated using a quartz tube, helium gas, and copper foil electrode by applying RF high voltage. The atmospheric pressure plasma in the form of a bullet is released as a plume into the atmosphere. To study the properties of the plasma plume, the plasma plume current is estimated from the difference in currents on the circuit, and the drift velocity is measured using a photodetector. The relation of the plasma plume density n plu , which is estimated from the current and the drift velocity, and the gas flow velocity v gas is examined. It is found that the dependence of the density on the gas flow velocity has relations of n plu ∝ log(v gas ). However, the plasma plume density in the laminar flow is higher than that in the turbulent flow. Consequently, in the laminar flow, the density increases with increasing the gas flow velocity

  7. Current fundamental science challenges in low temperature plasma science that impact energy security and international competitiveness

    Science.gov (United States)

    Hebner, Greg

    2010-11-01

    Products and consumer goods that utilize low temperature plasmas at some point in their creation touch and enrich our lives on almost a continuous basis. Examples are many but include the tremendous advances in microelectronics and the pervasive nature of the internet, advanced material coatings that increase the strength and reliability of products from turbine engines to potato chip bags, and the recent national emphasis on energy efficient lighting and compact fluorescent bulbs. Each of these products owes their contributions to energy security and international competiveness to fundamental research investments. However, it would be a mistake to believe that the great commercial success of these products implies a robust understanding of the complicated interactions inherent in plasma systems. Rather, current development of the next generation of low temperature plasma enabled products and processes is clearly exposing a new set of exciting scientific challenges that require leaps in fundamental understanding and interdisciplinary research teams. Emerging applications such as liquid-plasma systems to improve water quality and remediate hazardous chemicals, plasma-assisted combustion to increase energy efficiency and reduce emissions, and medical applications promise to improve our lives and the environment only if difficult science questions are solved. This talk will take a brief look back at the role of low temperature plasma science in enabling entirely new markets and then survey the next generation of emerging plasma applications. The emphasis will be on describing the key science questions and the opportunities for scientific cross cutting collaborations that underscore the need for increased outreach on the part of the plasma science community to improve visibility at the federal program level. This work is supported by the DOE, Office of Science for Fusion Energy Sciences, and Sandia National Laboratories, a multi-program laboratory managed and operated

  8. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  9. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  10. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  11. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Science.gov (United States)

    Wallenhorst, L. M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-07-01

    In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  12. Development of atmospheric pressure plasma needle jet for sterilization applications

    Science.gov (United States)

    Elfa, Rizan Rizon; Ahmad, Mohd Khairul; Soon, Chin Fhong; Sahdan, Mohd Zainizan; Lias, Jais; Wibowo, Kusnanto Mukti; Bakar, Ahmad Shuhaimi Abu; Arshad, Mohd Khairuddin Md; Hashim, Uda; Nayan, Nafarizal

    2017-09-01

    Inactivation of bacteria or sterilization has been a major issue in the medical field, especially regarding of human safety, whereby, in a huge scenario fatality can be caused by hazardous bacteria. Often, E-coli as gram-negative bacteria are selected as a key indicator of proper sterilization process as E-coli is tough and dormant bacteria. The technology in sterilization has moved on from chemical, wet and irradiation sterilization to a high promising device such as atmospheric pressure plasma needle jet (APPNJ). It has been reported that atmospheric pressure plasma has provided bundle of advantages over earlier sterilization process. The APPNJ is developed in our lab using high frequency and high voltage neon transformer power supply connected to copper needle and copper sheet electrodes. The gas discharge is Ar gas flowing at 40 L/min through a quartz glass tube. The E-coli bacteria are self-cultured from waste water and then treated with APPNJ. The treatment processes are run into two difference gaps between the plasma orifice and sample with various treatment times. Only 40s is required by direct treatment to achieve 100% killing of E-coli. On the other hand, indirect treatment has inactivated 50% of the E-coli in 40s. In this study, direct and indirect effect of APPNJ to the E-coli can be observed which can be utilized into sterilization of bio-compatible material applications.

  13. On the use of pulsed Dielectric Barrier Discharges to control the gas-phase composition of atmospheric pressure air plasmas

    Science.gov (United States)

    Barni, R.; Biganzoli, I.; Dell'Orto, E.; Riccardi, C.

    2014-11-01

    We presents results obtained from the numerical simulation of the gas-phase chemical kinetics in atmospheric pressure air non-equilibrium plasmas. In particular we have addressed the effect of pulsed operation mode of a plane dielectric barrier discharge. It was conjectured that the large difference in the time scales involved in the fast dissociation of oxygen molecules in plasma and their subsequent reactions to produce ozone and nitrogen oxides, makes the presence of a continuously repeated plasma production unnecessary and a waste of electrical power and thus efficiency. In order to test such suggestion we have performed a numerical study of the composition and the temporal evolution of the gas-phase of atmospheric pressure air non-equilibrium plasmas. Comparison with experimental findings in a dielectric barrier discharge with an electrode configuration symmetrical and almost ideally plane is briefly addressed too, using plasma diagnostics to extract the properties of the single micro-discharges and a sensor to measure the concentration of ozone produced by the plasma.

  14. On the use of pulsed Dielectric Barrier Discharges to control the gas-phase composition of atmospheric pressure air plasmas

    International Nuclear Information System (INIS)

    Barni, R; Biganzoli, I; Dell'Orto, E; Riccardi, C

    2014-01-01

    We presents results obtained from the numerical simulation of the gas-phase chemical kinetics in atmospheric pressure air non-equilibrium plasmas. In particular we have addressed the effect of pulsed operation mode of a plane dielectric barrier discharge. It was conjectured that the large difference in the time scales involved in the fast dissociation of oxygen molecules in plasma and their subsequent reactions to produce ozone and nitrogen oxides, makes the presence of a continuously repeated plasma production unnecessary and a waste of electrical power and thus efficiency. In order to test such suggestion we have performed a numerical study of the composition and the temporal evolution of the gas-phase of atmospheric pressure air non-equilibrium plasmas. Comparison with experimental findings in a dielectric barrier discharge with an electrode configuration symmetrical and almost ideally plane is briefly addressed too, using plasma diagnostics to extract the properties of the single micro-discharges and a sensor to measure the concentration of ozone produced by the plasma

  15. Continuous Emission Spectrum Measurement for Electron Temperature Determination in Low-Temperature Collisional Plasmas

    International Nuclear Information System (INIS)

    Liu Qiuyan; Li Hong; Chen Zhipeng; Xie Jinlin; Liu Wandong

    2011-01-01

    Continuous emission spectrum measurement is applied for the inconvenient diagnostics of low-temperature collisional plasmas. According to the physical mechanism of continuous emission, a simplified model is presented to analyze the spectrum in low temperature plasma. The validity of this model is discussed in a wide range of discharge parameters, including electron temperature and ionization degree. Through the simplified model, the continuous emission spectrum in a collisional argon internal inductively coupled plasma is experimentally measured to determine the electron temperature distribution for different gas pressures and radio-frequency powers. The inverse Abel transform is also applied for a better spatially resoluted results. Meanwhile, the result of the continuous emission spectrum measurement is compared to that of the electrostatic double probes, which indicates the effectiveness of this method. (low temperature plasma)

  16. Beams of fast neutral atoms and molecules in low-pressure gas-discharge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metel, A. S., E-mail: ametel@stankin.ru [Moscow State University of Technology ' Stankin,' (Russian Federation)

    2012-03-15

    Fast neutral atom and molecule beams have been studied, the beams being produced in a vacuum chamber at nitrogen, argon, or helium pressure of 0.1-10 Pa due to charge-exchange collisions of ions accelerated in the sheath between the glow discharge plasma and a negative grid immersed therein. From a flat grid, two broad beams of molecules with continuous distribution of their energy from zero up to e(U + U{sub c}) (where U is voltage between the grid and the vacuum chamber and U{sub c} is cathode fall of the discharge) are propagating in opposite directions. The beam propagating from the concave surface of a 0.2-m-diameter grid is focused within a 10-mm-diameter spot on the target surface. When a 0.2-m-diameter 0.2-m-high cylindrical grid covered by end disks and composed of parallel 1.5-mm-diameter knitting needles spaced by 4.5 mm is immersed in the plasma, the accelerated ions pass through the gaps between the needles, turn inside the grid into fast atoms or molecules, and escape from the grid through the gaps on its opposite side. The Doppler shift of spectral lines allows for measuring the fast atom energy, which corresponds to the potential difference between the plasma inside the chamber and the plasma produced as a result of charge-exchange collisions inside the cylindrical grid.

  17. Non-thermal atmospheric-pressure plasma possible application in wound healing.

    Science.gov (United States)

    Haertel, Beate; von Woedtke, Thomas; Weltmann, Klaus-Dieter; Lindequist, Ulrike

    2014-11-01

    Non-thermal atmospheric-pressure plasma, also named cold plasma, is defined as a partly ionized gas. Therefore, it cannot be equated with plasma from blood; it is not biological in nature. Non-thermal atmospheric-pressure plasma is a new innovative approach in medicine not only for the treatment of wounds, but with a wide-range of other applications, as e.g. topical treatment of other skin diseases with microbial involvement or treatment of cancer diseases. This review emphasizes plasma effects on wound healing. Non-thermal atmospheric-pressure plasma can support wound healing by its antiseptic effects, by stimulation of proliferation and migration of wound relating skin cells, by activation or inhibition of integrin receptors on the cell surface or by its pro-angiogenic effect. We summarize the effects of plasma on eukaryotic cells, especially on keratinocytes in terms of viability, proliferation, DNA, adhesion molecules and angiogenesis together with the role of reactive oxygen species and other components of plasma. The outcome of first clinical trials regarding wound healing is pointed out.

  18. Plasma-assisted co-evaporation of {beta}-indium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kosaraju, Sreenivas; Marino, Joseph A.; Harvey, John A.; Wolden, Colin A. [Department of Chemical Engineering, Colorado School of Mines, Golden, CO 80401 (United States)

    2006-05-05

    This paper describes the development of plasma-assisted co-evaporation (PACE) for the formation of {beta}-In{sub 2}S{sub 3} thin films. Indium was supplied by conventional thermal evaporation, while the chalcogen gas precursor (H{sub 2}S) was activated using an inductively coupled plasma (ICP) source. Using a combination of optical emission spectroscopy and mass spectrometry it was shown that the ICP effectively dissociated H{sub 2}S, producing atomic sulfur. Transport modeling was used to quantify the flux distributions of the co-evaporated metal and the plasma-generated species impinging the substrate. Model predictions were validated by measurements of deposition rate and film properties. Substantial improvements in both materials utilization and substrate temperature reduction were realized with respect to conventional co-evaporation. {beta}-In{sub 2}S{sub 3} was formed as low as 100{sup o}C and it was observed that quality was a strong function of S/In ratio. The grain size decreased and the optical band gap increased as the substrate temperature was reduced. (author)

  19. Low-frequency REB modulation and acceleration of ions in a supercritical mode during plasma injection

    International Nuclear Information System (INIS)

    Chupikov, P.T.; Medvedev, D.V.; Onishchenko, I.N.; Panasenko, B.D.

    2004-01-01

    Low-frequency modulation of a high-current relativistic electron beam (REB) and acceleration of ions in the first section of a collective ion accelerator as studied experimentally. This modulation was obtained due to periodic compensation of a virtual cathode charge by plasma ions. An ion flow was produced by an electric field of virtual cathode when plasma assists. Plasma was formed by the four Bostick plasma guns placed at equal distance along the periphery of the drift chamber. The low-frequency modulation with depth 10 % at frequency 46 MHz was obtained. The ion energy was measured using the magnetic analyzer. The ion energy that probably was obtained in the potential well of the virtual cathode exceeded the REB energy

  20. Development, diagnostic and applications of radio-frequency plasma reactor

    Science.gov (United States)

    Puac, N.

    2008-07-01

    In many areas of the industry, plasma processing of materials is a vital technology. Nonequilibrium plasmas proved to be able to produce chemically reactive species at a low gas temperature while maintaining highly uniform reaction rates over relatively large areas (Makabe and Petrovic 2006). At the same time nonequilibrium plasmas provide means for good and precise control of the properties of active particles that determine the surface modification. Plasma needle is one of the atmospheric pressure sources that can be used for treatment of the living matter which is highly sensitive when it comes to low pressure or high temperatures (above 40 C). Dependent on plasma conditions, several refined cell responses are induced in mammalian cells (Sladek et al. 2005). It appears that plasma treatment may find many biomedical applications. However, there are few data in the literature about plasma effects on plant cells and tissues. So far, only the effect of low pressure plasmas on seeds was investigated. It was shown that short duration pretreatments by non equilibrium low temperature air plasma were stimulative in light induced germination of Paulownia tomentosa seeds (Puac et al. 2005). As membranes of plants have different properties to those of animals and as they show a wide range of properties we have tried to survey some of the effects of typical plasma which is envisaged to be used in biotechnological applications on plant cells. In this paper we will make a comparison between two configurations of plasma needle that we have used in treatment of biological samples (Puac et al. 2006). Difference between these two configurations is in the additional copper ring that we have placed around glass tube at the tip of the needle. We will show some of the electrical characteristics of the plasma needle (with and without additional copper ring) and, also, plasma emission intensity obtained by using fast ICCD camera.

  1. An arc facility for investigating non-LTE thermodynamic and transport phenomena in low and high pressure plasmas

    International Nuclear Information System (INIS)

    Sedghinisab, A.; Eddy, T.L.; Murray, R.T.

    1986-01-01

    This paper discusses a high pressure arc facility modified for computerized control and data acquisition to simplify measurements of non-LTE plasmas. The non-LTE methods have shown that numerous spectral lines and continuum must be accurately, precisely and quickly measured.The instrumentation uses a 1-m monochrometer with programmed wavelength slews and scans; oplasma scans; and monitoring of chamber pressure, current, voltages, and location. Multiple flows of various gases can be provided simultaneously. Plasma self absorption is determined via a concave back mirror and shutter with final alignment via computer plots. The raw data is corrected for absorption, zeroed, centered and smoothed. The net line intensity is then determined and Abeled prior to feeding into LTE or non-LTE analysis methods. Sample results are presented at 0.1,1 and 10 atm

  2. Low pressure powder injection moulding of stainless steel powders

    Energy Technology Data Exchange (ETDEWEB)

    Zampieron, J.V.; Soares, J.P.; Mathias, F.; Rossi, J.L. [Powder Processing Center CCP, Inst. de Pesquisas Energeticas e Nucleares, Sao Paulo, SP (Brazil); Filho, F.A. [IPEN, Inst. de Pesquisas Energeticas e Nucleares, Cidade Univ., Sao Paulo, SP (Brazil)

    2001-07-01

    Low-pressure powder injection moulding was used to obtain AISI 316L stainless steel parts. A rheological study was undertaken using gas-atomised powders and binders. The binders used were based on carnauba wax, paraffin, low density polyethylene and microcrystalline wax. The metal powders were characterised in terms of morphology, particle size distribution and specific surface area. These results were correlated to the rheological behaviour. The mixture was injected in the shape of square bar specimens to evaluate the performance of the injection process in the green state, and after sintering. The parameters such as injection pressure, viscosity and temperature were analysed for process optimisation. The binders were thermally removed in low vacuum with the assistance of alumina powders. Debinding and sintering were performed in a single step. This procedure shortened considerably the debinding and sintering time. (orig.)

  3. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  4. Plasma pressure and anisotropy inferred from the Tsyganenkomagnetic field model

    Directory of Open Access Journals (Sweden)

    F. Cao

    Full Text Available A numerical procedure has been developed to deduce the plasma pressure and anisotropy from the Tsyganenko magnetic field model. The Tsyganenko empirical field model, which is based on vast satellite field data, provides a realistic description of magnetic field configuration in the magnetosphere. When the force balance under the static condition is assumed, the electromagnetic J×B force from the Tsyganenko field model can be used to infer the plasma pressure and anisotropy distributions consistent with the field model. It is found that the J×B force obtained from the Tsyganenko field model is not curl-free. The curl-free part of the J×B force in an empirical field model can be balanced by the gradient of the isotropic pressure, while the nonzero curl of the J×B force can only be associated with the pressure anisotropy. The plasma pressure and anisotropy in the near-Earth plasma sheet are numerically calculated to obtain a static equilibrium consistent with the Tsyganenko field model both in the noon-midnight meridian and in the equatorial plane. The plasma pressure distribution deduced from the Tsyganenko 1989 field model is highly anisotropic and shows this feature early in the substorm growth phase. The pressure anisotropy parameter αP, defined as αP=1-PVertP, is typically ~0.3 at x ≈ -4.5RE and gradually decreases to a small negative value with an increasing tailward distance. The pressure anisotropy from the Tsyganenko 1989 model accounts for 50% of the cross-tail current at maximum and only in a highly localized region near xsim-10RE. In comparison, the plasma pressure anisotropy inferred from the Tsyganenko 1987 model is much smaller. We also find that the boundary

  5. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  6. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  7. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  8. Wave propagation in a quasi-chemical equilibrium plasma

    Science.gov (United States)

    Fang, T.-M.; Baum, H. R.

    1975-01-01

    Wave propagation in a quasi-chemical equilibrium plasma is studied. The plasma is infinite and without external fields. The chemical reactions are assumed to result from the ionization and recombination processes. When the gas is near equilibrium, the dominant role describing the evolution of a reacting plasma is played by the global conservation equations. These equations are first derived and then used to study the small amplitude wave motion for a near-equilibrium situation. Nontrivial damping effects have been obtained by including the conduction current terms.

  9. Bonding pathways of high-pressure chemical transformations

    International Nuclear Information System (INIS)

    Hu Anguang; Zhang Fan

    2013-01-01

    A three-stage bonding pathway towards high-pressure chemical transformations from molecular precursors or intermediate states has been identified by first-principles simulations. With the evolution of principal stress tensor components in the response of chemical bonding to compressive loading, the three stages can be defined as the van der Waals bonding destruction, a bond breaking and forming reaction, and equilibrium of new bonds. The three-stage bonding pathway leads to the establishment of a fundamental principle of chemical bonding under compression. It reveals that during high-pressure chemical transformation, electrons moving away from functional groups follow anti-addition, collision-free paths to form new bonds in counteracting the local stress confinement. In applying this principle, a large number of molecular precursors were identified for high-pressure chemical transformations, resulting in new materials. (fast track communication)

  10. Microwave plasma for materials treatment; Plasmas de microondas para tratamiento de materiales

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E.; Garcia, J.L.; Muhl, S.; Alvarez F, O.; Chavez C, J. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1997-07-01

    The microwave discharges of the Electron Cyclotron Resonance (Ecr) type are capable to generate plasma with relatively high ionization coefficients which can vary between 1 and 10 % also they are realized in low pressures at 10 {sup -4} Torr. order generating at this time high concentrations of neutral excited chemical species which result in that the chemical processes can be realized with much greater velocity as in another systems. In this work it was studied and characterized a microwave discharge type Ecr using for this electric probes and optical emission spectroscopy. The characterization was carried out with the purpose of optimizing the plasma parameters and to establish a control over the same one doing so that the experiments have a greater reproducibility and a major work efficiency. (Author)

  11. Drastic Improvement in Adhesion Property of Polytetrafluoroethylene (PTFE) via Heat-Assisted Plasma Treatment Using a Heater.

    Science.gov (United States)

    Ohkubo, Yuji; Ishihara, Kento; Shibahara, Masafumi; Nagatani, Asahiro; Honda, Koji; Endo, Katsuyoshi; Yamamura, Kazuya

    2017-08-25

    The heating effect on the adhesion property of plasma-treated polytetrafluoroethylene (PTFE) was examined. For this purpose, a PTFE sheet was plasma-treated at atmospheric pressure while heating using a halogen heater. When plasma-treated at 8.3 W/cm 2 without using the heater (Low-P), the surface temperature of Low-P was about 95 °C. In contrast, when plasma-treated at 8.3 W/cm 2 while using the heater (Low-P+Heater), the surface temperature of Low-P+Heater was controlled to about 260 °C. Thermal compression of the plasma-treated PTFE with or without heating and isobutylene-isoprene rubber (IIR) was performed, and the adhesion strength of the IIR/PTFE assembly was measured via the T-peel test. The adhesion strengths of Low-P and Low-P+Heater were 0.12 and 2.3 N/mm, respectively. Cohesion failure of IIR occurred during the T-peel test because of its extremely high adhesion property. The surfaces of the plasma-treated PTFE with or without heating were investigated by the measurements of electron spin resonance, X-ray photoelectron spectroscopy, nanoindentation, scanning electron microscopy, and scanning probe microscopy. These results indicated that heating during plasma treatment promotes the etching of the weak boundary layer (WBL) of PTFE, resulting in a sharp increase in the adhesion property of PTFE.

  12. Hybrid plasma system for magnetron deposition of coatings with ion assistance

    International Nuclear Information System (INIS)

    Vavilin, K V; Kralkina, E A; Nekludova, P A; Petrov, A. K; Nikonov, A M; Pavlov, V B; Airapetov, A A; Odinokov, V V; Pavlov, G Ya; Sologub, V A

    2016-01-01

    The results of the study of the plasma hybrid system based on the combined magnetron discharge and high-frequency inductive discharge located in the external magnetic field is presented. Magnetron discharge provides the generation of atoms and ions of the target materials while the flow of accelerated ions used for the ion assistance is provided by the RF inductive discharge. An external magnetic field is used to optimize the power input to the discharge, to increase the ion current density in the realm of substrate and to enhance the area of uniform plasma. The joint operation of magnetron and RF inductive discharge leads to a substantial increase (not equal to the sum of the parameters obtained under separate operation of two hybrid system channels) of the ion current density and intensity of sputtered material spectral lines radiation. Optimal mode of the hybrid plasma system operation provides uniform ion current density on the diameter of at least 150mm at 0.7PA argon pressure. The optimal values of the magnetic fields in the region of the substrate location lie in the range 2-8 mTl, while in the region of the RF input power unit lie in the range 0.5-25 mTl. (paper)

  13. Polythiophene films obtained by polymerization under atmospheric pressure plasma conditions

    Energy Technology Data Exchange (ETDEWEB)

    Teslaru, T.; Topala, I., E-mail: ionut.topala@uaic.ro; Dobromir, M.; Pohoata, V.; Curecheriu, L.; Dumitrascu, N.

    2016-02-01

    The present work describes the experimental arrangement used to initiate polymerization reactions of thiophene monomer based on a dielectric barrier discharge with plane – parallel geometry, working at atmospheric pressure in argon, in turn to obtain conductive polymeric films for different applications. The resulting plasma polymerized polythiophene (pPTh) film was characterized by FT-IR, UV–Vis, XPS spectroscopy, AFM and contact angle measurements. Characterization of pPTh films showed a higher hydrophobic character and roughness, as compared with films obtained by chemical methods, and the thickness is depending on polymerization duration. Also it can conclude that our samples represent oxidised state of pPTh. As a possible application, it analysed in situ the iodine absorption phenomenon in the pPTh matrix and its time evolution by UV–Vis spectroscopy. The presence of iodine 3d{sub 5/2} and 3d{sub 3/2} peaks in the pPTh sample after absorption was identified by XPS spectroscopy. The hydrophobic pPTh film is transformed in a super hydrophilic film after absorption of iodine vapors. - Highlights: • We obtained polythiophene films (pPTh) by atmospheric pressure plasma technique. • The pPTh films showed a hydrophobic character and conducting properties. • The pPTh films were used as sensor for iodine vapors in biological environment.

  14. Synthesis of Pt nanoparticles as catalysts of oxygen reduction with microbubble-assisted low-voltage and low-frequency solution plasma processing

    Science.gov (United States)

    Horiguchi, Genki; Chikaoka, Yu; Shiroishi, Hidenobu; Kosaka, Shinpei; Saito, Morihiro; Kameta, Naohiro; Matsuda, Naoki

    2018-04-01

    In the preparation of metallic nanoparticles by conventional solution plasma (SP) techniques, unstable plasma emission becomes an issue when the voltage and frequency of the waves applied between two electrodes placed in solution are lowered to avoid the boiling of the solution. In this study, we confirm that, in the presence of microbubbles, plasma is generated stably at low voltage (440 V) and low frequency (50-100 Hz) and small-size (≤10 nm) Pt nanoparticles (PtNPs) are synthesized in succession using a flow cell. The smallest PtNPs, ∼3.3 nm in diameter, are obtained using half-wave rectification, a tungsten wire anode, and a platinum wire cathode. The PtNPs are characterized by X-ray diffraction, X-ray photoelectron spectroscopy, transmission electron microscopy, and thermogravimeter-differential thermal analysis. The oxygen reduction reaction (ORR) is investigated in 0.1 M HClO4 solution on carbon-supported PtNPs using a rotating ring-disk electrode. The catalytic activities per initial electrochemical active surface area of the carbon-supported PtNPs synthesized employing the low-voltage, low-frequency (LVLF)-SP technique is higher than that of the commercially available 20 wt% Pt on Vulcan XC-72R. These results indicate that the LVLF-SP technique is a promising approach to producing carbon-supported PtNPs that catalyze ORR with low energy consumption.

  15. Decline of the relative risk of death associated with low employment grade at older age: the impact of age related differences in smoking, blood pressure and plasma cholesterol

    NARCIS (Netherlands)

    Marang-van de Mheen, P. J.; Shipley, M. J.; Witteman, J. C.; Marmot, M. G.; Gunning-Schepers, L. J.

    2001-01-01

    To explore whether the observed age related decline in the relative risk of death associated with low employment grade can be explained by the profiles of smoking, blood pressure and plasma cholesterol changing differently with age between the employment grades. Prospective cohort study with 25

  16. Low Pressure Robot-assisted Radical Prostatectomy With the AirSeal System at OLV Hospital: Results From a Prospective Study.

    Science.gov (United States)

    La Falce, Sabrina; Novara, Giacomo; Gandaglia, Giorgio; Umari, Paolo; De Naeyer, Geert; D'Hondt, Frederiek; Beresian, Jean; Carette, Rik; Penicka, Martin; Mo, Yujiing; Vandenbroucke, Geert; Mottrie, Alexandre

    2017-12-01

    Limited studies examined effects of pneumoperiotneum during robot-assisted radical prostatectomy (RARP) and with AirSeal. The aim of this study was to assess the effect on hemodynamics of a lower pressure pneumoperitoneum (8 mmHg) with AirSeal, during RARP in steep Trendelenburg 45° (ST). This is an institutional review board-approved, prospective, interventional, single-center study including patients treated with RARP at OLV Hospital by one extremely experienced surgeon (July 2015-February 2016). Intraoperative monitoring included: arterial pressure, central venous pressure, cardiac output, heart rate, stroke volume, systemic vascular resistance, intrathoracic pressure, airways pressures, left ventricular end-diastolic and end-systolic areas/volumes and ejection fraction, by transesophageal echocardiography, an esophageal catheter, and FloTrac/Vigileo system. Measurements were performed after induction of anesthesia with patient in horizontal (T0), 5 minutes after 8 mmHg pneumoperitoneum (TP), 5 minutes after ST (TT1) and every 30 minutes thereafter until the end of surgery (TH). Parameters modification at the prespecified times was assessed by Wilcoxon and Friedman tests, as appropriate. All analyses were performed by SPSS v. 23.0. A total of 53 consecutive patients were enrolled. The mean patients age was 62.6 ± 6.9 years. Comorbidity was relatively limited (51% with Charlson Comorbidity Index as low as 0). Despite the ST, working always at 8 mmHg with AirSeal, only central venous pressure and mean airways pressure showed a statistically significant variation during the operative time. Although other significant hemodynamic/respiratory changes were observed adding pneumoperitoneum and then ST, all variables remained always within limits safely manageable by anesthesiologists. The combination of ST, lower pressure pneumoperitoneum and extreme surgeon's experience enables to safely perform RARP. Copyright © 2017 Elsevier Inc. All rights reserved.

  17. Low Temperature Atmospheric Pressure Plasma Sterilization Shower

    Data.gov (United States)

    National Aeronautics and Space Administration — The goal is to develop an atmospheric plasma jet that is capable of depositing a wide variety of materials on flexible substrates such as paper, plastic, cotton and...

  18. The effect of low-temperature plasma on model organism Drosophila melanogaster

    International Nuclear Information System (INIS)

    Maronek, M.; Mentelova, L.; Kyzek, S.; Kovacik, D.

    2017-01-01

    The occurrence of various chemicals in our life creates a need to evaluate whether they pose a threat to human body. There are many methods capable of detecting potential mutagenic or genotoxic effect. The Smart test was chosen to test mutagenic effect of low-temperature plasma, which is nowadays used in medicine, material decontamination and degradation of pharmaceuticals. When a mutational event during larval development occurs, spots on the wings are being formed. The frequency and size of the spots reveals the mutagenic potency of the tested substance. To evaluate the mutagenic effect of low-temperature plasma, larvae were treated with multiple doses. Our results show that plasma did not exhibit any mutagenic effect in all of the tested doses. Next we studied if it could work as an antimutagenic agent. Experiments with plasma along with ethyl methanesulphonate (EMS), a known mutagen, suggest that plasma treatment did reduce the negative effect of EMS, thus this agents could possess antimutagenic effect. (authors)

  19. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    low TMDSO-to-oxygen ratio had little to no singly substituted moieties, displayed a highly cross-linked structure, and showed less post-plasma reactions. However, these chemically more stable coatings are less compatible mechanically with plastic substrates, because of their high stiffness.

  20. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  1. Hydrophilic surface modification of coronary stent using an atmospheric pressure plasma jet for endothelialization.

    Science.gov (United States)

    Shim, Jae Won; Bae, In-Ho; Park, Dae Sung; Lee, So-Youn; Jang, Eun-Jae; Lim, Kyung-Seob; Park, Jun-Kyu; Kim, Ju Han; Jeong, Myung Ho

    2018-03-01

    The first two authors contributed equally to this study. Bioactivity and cell adhesion properties are major factors for fabricating medical devices such as coronary stents. The aim of this study was to evaluate the advantages of atmospheric-pressure plasma jet in enhancing the biocompatibility and endothelial cell-favorites. The experimental objects were divided into before and after atmospheric-pressure plasma jet treatment with the ratio of nitrogen:argon = 3:1, which is similar to air. The treated surfaces were basically characterized by means of a contact angle analyzer for the activation property on their surfaces. The effect of atmospheric-pressure plasma jet on cellular response was examined by endothelial cell adhesion and XTT analysis. It was difficult to detect any changeable morphology after atmospheric-pressure plasma jet treatment on the surface. The roughness was increased after atmospheric-pressure plasma jet treatment compared to nonatmospheric-pressure plasma jet treatment (86.781 and 7.964 nm, respectively). The X-ray photoelectron spectroscopy results showed that the surface concentration of the C-O groups increased slightly from 6% to 8% after plasma activation. The contact angle dramatically decreased in the atmospheric-pressure plasma jet treated group (22.6 ± 15.26°) compared to the nonatmospheric-pressure plasma jet treated group (72.4 ± 15.26°) ( n = 10, p atmospheric-pressure plasma jet on endothelial cell migration and proliferation was 85.2% ± 12.01% and 34.2% ± 2.68%, respectively, at 7 days, compared to the nonatmospheric-pressure plasma jet treated group (58.2% ± 11.44% in migration, n = 10, p atmospheric-pressure plasma jet method. Moreover, the atmospheric-pressure plasma jet might affect re-endothelialization after stenting.

  2. Double streamer phenomena in atmospheric pressure low frequency corona plasma

    International Nuclear Information System (INIS)

    Kim, Dan Bee; Jung, H.; Gweon, B.; Choe, Wonho

    2010-01-01

    Time-resolved images of an atmospheric pressure corona discharge, generated at 50 kHz in a single pin electrode source, show unique positive and negative corona discharge features: a streamer for the positive period and a glow for the negative period. However, unlike in previous reports of dc pulse and low frequency corona discharges, multistreamers were observed at the initial time stage of the positive corona. A possible physical mechanism for the multistreamers is suggested.

  3. Kinetic analysis of volatile formation in milk subjected to pressure-assisted thermal treatments.

    Science.gov (United States)

    Vazquez-Landaverde, P A; Qian, M C; Torres, J A

    2007-09-01

    Volatile formation in milk subjected to pressure-assisted thermal processing (PATP) was investigated from a reaction kinetic analysis point of view to illustrate the advantages of this technology. The concentration of 27 volatiles of different chemical class in milk subjected to pressure, temperature, and time treatments was fitted to zero-, 1st-, and 2nd-order chemical reaction models. Temperature and pressure effects on rate constants were analyzed to obtain activation energy (E(a)) and activation volume (deltaV*) values. Hexanal, heptanal, octanal, nonanal, and decanal followed 1st-order kinetics with rate constants characterized by E(a) values decreasing with pressure reflecting negative deltaV* values. Formation of 2-methylpropanal, 2,3-butanedione, and hydrogen sulfide followed zero-order kinetics with rate constants increasing with temperature but with unclear pressure effects. E(a) values for 2-methylpropanal and 2,3-butanedione increased with pressure, that is, deltaV* > 0, whereas values for hydrogen sulfide remained constant, that is, deltaV* = 0. The concentration of all other volatiles, including methanethiol, remained unchanged in pressure-treated samples, suggesting large negative deltaV* values. The concentration of methyl ketones, including 2-pentanone, 2-hexanone, 2-heptanone, 2-octanone, 2-nonanone, 2-decanone, and 2-undecanone, was independent of pressure and pressure-holding time. PATP promoted the formation of few compounds, had no effect on some, and inhibited the formation of volatiles reported to be factors of the consumer rejection of "cooked" milk flavor. The kinetic behavior observed suggested that new reaction formation mechanisms were not likely involved in volatile formation in PATP milk. The application of the Le Chatelier principle frequently used to explain the high quality of pressure-treated foods, often with no supporting experimental evidence, was not necessary.

  4. Wearable Atmospheric Pressure Plasma Fabrics Produced by Knitting Flexible Wire Electrodes for the Decontamination of Chemical Warfare Agents

    OpenAIRE

    Heesoo Jung; Jin Ah Seo; Seungki Choi

    2017-01-01

    One of the key reasons for the limited use of atmospheric pressure plasma (APP) is its inability to treat non-flat, three-dimensional (3D) surface structures, such as electronic devices and the human body, because of the rigid electrode structure required. In this study, a new APP system design?wearable APP (WAPP)?that utilizes a knitting technique to assemble flexible co-axial wire electrodes into a large-area plasma fabric is presented. The WAPP device operates in ambient air with a fully e...

  5. Effect of gas pressure on active screen plasma nitriding response

    International Nuclear Information System (INIS)

    Nishimoto, Akio; Nagatsuka, Kimiaki; Narita, Ryota; Nii, Hiroaki; Akamatsu, Katsuya

    2010-01-01

    An austenitic stainless steel AISI 304 was active screen plasma nitrided using a 304 steel screen to investigate the effect of the gas pressure on the ASPN response. The sample was treated for 18 ks at 723 K in 25% N2 + 75% H2 gases. The gas pressure was changed to 100, 600 and 1200 Pa. The distance between screen and sample was also changed to 10, 30 and 50 mm. The nitrided samples were characterized by appearance observation, surface roughness, optical microscopy, X-ray diffraction, and microhardness testing. After nitriding, polygonal particles with a normal distribution were observed at the center and edges of all the ASPN-treated sample surfaces. Particles on the sample surfaces were finer with an increase in the gas pressure. The nitrided layer with a greater and homogeneous thickness was obtained at a low gas pressure of 100 Pa. (author)

  6. Formation of palladium hydrides in low temperature Ar/H_2-plasma

    International Nuclear Information System (INIS)

    Wulff, H.; Quaas, M.; Deutsch, H.; Ahrens, H.; Fröhlich, M.; Helm, C.A.

    2015-01-01

    20 nm thick Pd coatings deposited on Si substrates with 800 nm SiO_2 and 1 nm Cr buffer layers were treated in a 2.45 GHz microwave plasma source at 700 W plasma power and 40 Pa working pressure without substrate heating. For obtaining information on the effect of energy influx due to ion energy on the palladium films the substrate potential was varied from U_s_u_b = 0 V to − 150 V at constant gas flow corresponding to mean ion energies E_i from 0.22 eV ∙ cm"−"2 ∙ s"−"1 to 1.28 eV ∙ cm"−"2 ∙ s"−"1. In contrast to high pressure reactions with metallic Pd, under plasma exposure we do not observe solid solutions over a wide range of hydrogen concentration. The hydrogen incorporation in Pd films takes place discontinuously. At 0 V substrate voltage palladium hydride is formed in two steps to PdH_0_._1_4 and PdH_0_._5_7. At − 50 V substrate voltage PdH_0_._5_7 is formed directly. However, substrate voltages of − 100 V and − 150 V cause shrinking of the unit cell. We postulate the formation of two fcc vacancy palladium hydride clusters PdH_V_a_c(I) and PdH_V_a_c(II). Under longtime plasma exposure the fcc PdH_V_a_c(II) phase forms cubic PdH_1_._3_3. The fcc PdH_0_._5_7 phase decomposes at temperatures > 300 °C to form metallic fcc Pd. The hydrogen removal causes a decrease of lattice defects. In situ high temperature diffractometry measurements also confirm the existence of PdH_V_a_c(II) as a palladium hydride phase. Stoichiometric relationship between cubic PdH_1_._3_3 and fcc PdH_V_a_c(II) becomes evident from XR measurements and structure considerations. We assume both phases have the chemical composition Pd_3H_4. Up to 700 °C we observe phase transformation between both the fcc PdH_V_a_c(II) and cubic PdH_1_._3_3 phases. These phase transformations could be explained analog to a Bain distortion by displacive solid state structural changes. - Highlights: • Thin Pd films were treated under low pressure conditions by an Ar/H_2-plasma. • The

  7. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  8. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  9. Contribution of energetic and heavy ions to the plasma pressure: The 27 September to 3 October 2002 storm

    Science.gov (United States)

    Kronberg, E. A.; Welling, D.; Kistler, L. M.; Mouikis, C.; Daly, P. W.; Grigorenko, E. E.; Klecker, B.; Dandouras, I.

    2017-09-01

    Magnetospheric plasma sheet ions drift toward the Earth and populate the ring current. The ring current plasma pressure distorts the terrestrial internal magnetic field at the surface, and this disturbance strongly affects the strength of a magnetic storm. The contribution of energetic ions (>40 keV) and of heavy ions to the total plasma pressure in the near-Earth plasma sheet is not always considered. In this study, we evaluate the contribution of low-energy and energetic ions of different species to the total plasma pressure for the storm observed by the Cluster mission from 27 September until 3 October 2002. We show that the contribution of energetic ions (>40 keV) and of heavy ions to the total plasma pressure is ≃76-98.6% in the ring current and ≃14-59% in the magnetotail. The main source of oxygen ions, responsible for ≃56% of the plasma pressure of the ring current, is located at distances earthward of XGSE ≃ -13.5 RE during the main phase of the storm. The contribution of the ring current particles agrees with the observed Dst index. We model the magnetic storm using the Space Weather Modeling Framework (SWMF). We assess the plasma pressure output in the ring current for two different ion outflow models in the SWMF through comparison with observations. Both models yield reasonable results. The model which produces the most heavy ions agrees best with the observations. However, the data suggest that there is still potential for refinement in the simulations.

  10. Influence of Penning effect on the plasma features in a non-equilibrium atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Zhengshi; Zhang, Guanjun [School of Electrical Engineering, Xi' an Jiaotong University, Xi' an 710049 (China); Jiang, Nan; Cao, Zexian, E-mail: zxcao@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-03-14

    Non-equilibrium atmospheric pressure plasma jet (APPJ) is a cold plasma source that promises various innovative applications. The influence of Penning effect on the formation, propagation, and other physical properties of the plasma bullets in APPJ remains a debatable topic. By using a 10 cm wide active electrode and a frequency of applied voltage down to 0.5 Hz, the Penning effect caused by preceding discharges can be excluded. It was found that the Penning effect originating in a preceding discharge helps build a conductive channel in the gas flow and provide seed electrons, thus the discharge can be maintained at a low voltage which in turn leads to a smaller propagation speed for the plasma bullet. Photographs from an intensified charge coupled device reveal that the annular structure of the plasma plume for He is irrelevant to the Penning ionization process arising from preceding discharges. By adding NH{sub 3} into Ar to introduce Penning effect, the originally filamentous discharge of Ar can display a rather extensive plasma plume in ambient as He. These results are helpful for the understanding of the behaviors of non-equilibrium APPJs generated under distinct conditions and for the design of plasma jet features, especially the spatial distribution and propagation speed, which are essential for application.

  11. Calculation of high-pressure argon plasma parameters produced by excimer laser

    International Nuclear Information System (INIS)

    Tsuda, Norio; Yamada, Jun

    2000-01-01

    When a XeCl excimer laser light was focused in a high-pressure argon gas up to 150 atm, a dense plasma developed not only backward but also forward. It is important to study on the electron density and temperature of the laser-induced plasma in the high-pressure gas. The electron density and temperature in high-pressure argon plasma produced by XeCl excimer laser has been calculated and compared with the experimental data. (author)

  12. Uniformly Distributed Graphene Domain Grows on Standing Copper via Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shih-Hao Chan

    2013-01-01

    Full Text Available Uniformly distributed graphene domains were synthesized on standing copper foil by a low-pressure chemical vapor deposition system. This method improved the distribution of the graphene domains at different positions on the same piece of copper foil along the forward direction of the gas flow. Scanning electron microscopy (SEM showed the average size of the graphene domains to be about ~20 m. This results show that the sheet resistance of monolayer graphene on a polyethylene terephthalate (PET substrate is about ~359 /□ whereas that of the four-layer graphene films is about ~178 /□, with a transmittance value of 88.86% at the 550 nm wavelength. Furthermore, the sheet resistance can be reduced with the addition of HNO3 resulting in a value of 84 /□. These values meet the absolute standard for touch sensor applications, so we believe that this method can be a candidate for some transparent conductive electrode applications.

  13. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  14. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    Science.gov (United States)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Döbeli, Max; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-10-01

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially 18O substituted La0.6Sr0.4MnO3 target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  15. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    International Nuclear Information System (INIS)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-01-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented

  16. Simulated experiment for elimination of chemical and biological warfare agents by making use of microwave plasma torch

    Science.gov (United States)

    Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.

    2004-02-01

    The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented.

  17. Latitudinal distribution of the solar wind properties in the low- and high-pressure regimes: Wind observations

    Directory of Open Access Journals (Sweden)

    C. Lacombe

    Full Text Available The solar wind properties depend on λ, the heliomagnetic latitude with respect to the heliospheric current sheet (HCS, more than on the heliographic latitude. We analyse the wind properties observed by Wind at 1 AU during about 2.5 solar rotations in 1995, a period close to the last minimum of solar activity. To determine λ, we use a model of the HCS which we fit to the magnetic sector boundary crossings observed by Wind. We find that the solar wind properties mainly depend on the modulus |λ|. But they also depend on a local parameter, the total pressure (magnetic pressure plus electron and proton thermal pressure. Furthermore, whatever the total pressure, we observe that the plasma properties also depend on the time: the latitudinal gradients of the wind speed and of the proton temperature are not the same before and after the closest HCS crossing. This is a consequence of the dynamical stream interactions. In the low pressure wind, at low |λ|, we find a clear maximum of the density, a clear minimum of the wind speed and of the proton temperature, a weak minimum of the average magnetic field strength, a weak maximum of the average thermal pressure, and a weak maximum of the average β factor. This overdense sheet is embedded in a density halo. The latitudinal thickness is about 5° for the overdense sheet, and 20° for the density halo. The HCS is thus wrapped in an overdense sheet surrounded by a halo, even in the non-compressed solar wind. In the high-pressure wind, the plasma properties are less well ordered as functions of the latitude than in the low-pressure wind; the minimum of the average speed is seen before the HCS crossing. The latitudinal thickness of the high-pressure region is about 20°. Our observations are qualitatively consistent with the numerical model of Pizzo for the deformation of the heliospheric current sheet and plasma sheet.

    Key words: Interplanetary physics (solar wind

  18. Analytical investigation of microwave resonances of a curling probe for low and high-pressure plasma diagnostics

    Science.gov (United States)

    Arshadi, Ali; Brinkmann, Ralf Peter

    2017-01-01

    The concept of ‘active plasma resonance spectroscopy’ (APRS) has attracted greater interest in recent years as an established plasma diagnostic technique. The APRS describes a class of related methods utilizing the intrinsic ability of plasma to resonate at or near the electron plasma frequency {ω\\text{pe}} . The Curling probe (CP) as a novel realization of the APRS idea, is a miniaturized spiral slot embedded flatly in the chamber wall. Consequently, a plasma diagnostic technique with minimum disturbance and without metal contamination can be developed. To measure the plasma parameters the CP is fed with a weak frequency-swept signal from the exterior of the plasma chamber by a network analyzer which also records the response of the plasma versus the frequency. The resonance behavior is strongly dependent on the electron density and the gas pressure. The CP has also the advantage of resonating at a frequency greater than {ω\\text{pe}} which is dependent on the spiral’s length. The double resonance characteristic gives the CP the ability to be applied in varying plasma regimes. Assuming that the spiralization does not have a considerable effect on the resonances, a ‘straightened’ infinite length CP has recently been investigated (Arshadi and Brinkmann 2016 Plasma Sources Sci. Technol. 25 045014) to obtain the surface wave resonances. This work generalizes the approach and models the CP by a rectangular slot-type resonator located between plasma and quartz. Cold plasma theory and Maxwell’s equations are utilized to compute the electromagnetic fields propagating into the plasma by the diffraction of an incident plane wave at the slot. A mathematical model is employed and both kinds of resonances are derived. The analytical study of this paper shows good agreement with the numerical results of the probe inventors.

  19. Basic principles and applications of atmospheric-pressure discharge plasmas

    International Nuclear Information System (INIS)

    Becker, K.H.

    2002-01-01

    The principles that govern the generation and maintenance of atmospheric - pressure discharge plasmas are summarized. The properties and operating parameters of various types such as dielectric barrier discharge plasmas (DBDs), corona discharge plasmas (CDs), microhollow cathode discharge plasmas (MHCDs) , and dielectric capillary electrode discharge plasmas (CDEDs) are introduced. All of them are self sustained, non equilibrium gas discharges that can be operated at atmospheric pressure. CDs and DBDDs represent very similar types of discharges, while DBDs are characterized by insulating layers on one or both electrodes, CDs depend on inhomogeneous electric fields at least in some parts of the electrode configuration to restrict the primary ionization processes to a small fraction of the inter - electrode region. Their application to novel light sources in the ultraviolet (UV) and vacuum ultraviolet (VUV) spectral region is described. (nevyjel)

  20. Simulation Tool for Dielectric Barrier Discharge Plasma Actuators at Atmospheric and Sub-Atmospheric Pressures: SBIR Phase I Final Report

    Science.gov (United States)

    Likhanskii, Alexandre

    2012-01-01

    This report is the final report of a SBIR Phase I project. It is identical to the final report submitted, after some proprietary information of administrative nature has been removed. The development of a numerical simulation tool for dielectric barrier discharge (DBD) plasma actuator is reported. The objectives of the project were to analyze and predict DBD operation at wide range of ambient gas pressures. It overcomes the limitations of traditional DBD codes which are limited to low-speed applications and have weak prediction capabilities. The software tool allows DBD actuator analysis and prediction for subsonic to hypersonic flow regime. The simulation tool is based on the VORPAL code developed by Tech-X Corporation. VORPAL's capability of modeling DBD plasma actuator at low pressures (0.1 to 10 torr) using kinetic plasma modeling approach, and at moderate to atmospheric pressures (1 to 10 atm) using hydrodynamic plasma modeling approach, were demonstrated. In addition, results of experiments with pulsed+bias DBD configuration that were performed for validation purposes are reported.