WorldWideScience

Sample records for line scanning method

  1. 3D scan line method for identifying void fabric of granular materials

    Directory of Open Access Journals (Sweden)

    Theocharis Alexandros I.

    2017-01-01

    Full Text Available Among other processes measuring the void phase of porous or fractured media, scan line approach is a simplified “graphical” method, mainly used in image processing related procedures. In soil mechanics, the application of scan line method is related to the soil fabric, which is important in characterizing the anisotropic mechanical response of soils. Void fabric is of particular interest, since graphical approaches are well defined experimentally and most of them can also be easily used in numerical experiments, like the scan line method. This is in contrast to the definition of fabric based on contact normal vectors that are extremely difficult to determine, especially considering physical experiments. The scan line method has been proposed by Oda et al [1] and implemented again by Ghedia and O’Sullivan [2]. A modified method based on DEM analysis instead of image measurements of fabric has been previously proposed and implemented by the authors in a 2D scheme [3-4]. In this work, a 3D extension of the modified scan line definition is presented using PFC 3D®. The results show clearly similar trends with the 2D case and the same behaviour of fabric anisotropy is presented.

  2. Rapid line scan MR angiography

    International Nuclear Information System (INIS)

    Frahm, J.; Merboldt, K.D.; Hanicke, W.; Bruhn, H.

    1987-01-01

    Direct MR angiography may be performed using line scan imaging techniques combined with presaturation of stationary spins. Thus, a single line scan echo yields a projection of vessels due to the signal from reflowing unsaturated spins. Reconstruction of an angiographic image is performed line by line at slightly incremented positions. In particular, line scan angiography is direct and fast without a sensitivity to artifacts even for high flow rates. Image resolution and field of view may be chosen without restrictions, and zoom images using enhanced gradients may be recorded without aliasing artifacts. The method is robust with respect to eddy currents and pulsatile flow. Line scan MR angiograms of phantoms, animals, and human volunteers have been recorded using 90 0 radio frequency pulses and gradient-recalled echoes

  3. Ultrasound line-by-line scanning method of spatial-temporal active cavitation mapping for high-intensity focused ultrasound.

    Science.gov (United States)

    Ding, Ting; Zhang, Siyuan; Fu, Quanyou; Xu, Zhian; Wan, Mingxi

    2014-01-01

    This paper presented an ultrasound line-by-line scanning method of spatial-temporal active cavitation mapping applicable in a liquid or liquid filled tissue cavities exposed by high-intensity focused ultrasound (HIFU). Scattered signals from cavitation bubbles were obtained in a scan line immediately after one HIFU exposure, and then there was a waiting time of 2 s long enough to make the liquid back to the original state. As this pattern extended, an image was built up by sequentially measuring a series of such lines. The acquisition of the beamformed radiofrequency (RF) signals for a scan line was synchronized with HIFU exposure. The duration of HIFU exposure, as well as the delay of the interrogating pulse relative to the moment while HIFU was turned off, could vary from microseconds to seconds. The feasibility of this method was demonstrated in tap-water and a tap-water filled cavity in the tissue-mimicking gelatin-agar phantom as capable of observing temporal evolutions of cavitation bubble cloud with temporal resolution of several microseconds, lateral and axial resolution of 0.50 mm and 0.29 mm respectively. The dissolution process of cavitation bubble cloud and spatial distribution affected by cavitation previously generated were also investigated. Although the application is limited by the requirement for a gassy fluid (e.g. tap water, etc.) that allows replenishment of nuclei between HIFU exposures, the technique may be a useful tool in spatial-temporal cavitation mapping for HIFU with high precision and resolution, providing a reference for clinical therapy. Copyright © 2013 Elsevier B.V. All rights reserved.

  4. Line-Scan Hyperspectral Imaging Techniques for Food Safety and Quality Applications

    Directory of Open Access Journals (Sweden)

    Jianwei Qin

    2017-01-01

    Full Text Available Hyperspectral imaging technologies in the food and agricultural area have been evolving rapidly over the past 15 years owing to tremendous interest from both academic and industrial fields. Line-scan hyperspectral imaging is a major method that has been intensively researched and developed using different physical principles (e.g., reflectance, transmittance, fluorescence, Raman, and spatially resolved spectroscopy and wavelength regions (e.g., visible (VIS, near infrared (NIR, and short-wavelength infrared (SWIR. Line-scan hyperspectral imaging systems are mainly developed and used for surface inspection of food and agricultural products using area or line light sources. Some of these systems can also be configured to conduct spatially resolved spectroscopy measurements for internal or subsurface food inspection using point light sources. This paper reviews line-scan hyperspectral imaging techniques, with introduction, demonstration, and summarization of existing and emerging techniques for food and agricultural applications. The main topics include related spectroscopy techniques, line-scan measurement methods, hardware components and systems, system calibration methods, and spectral and image analysis techniques. Applications in food safety and quality are also presented to reveal current practices and future trends of line-scan hyperspectral imaging techniques.

  5. Line-scanning tomographic optical microscope with isotropic transfer function

    International Nuclear Information System (INIS)

    Gajdátsy, Gábor; Dudás, László; Erdélyi, Miklós; Szabó, Gábor

    2010-01-01

    An imaging method and optical system, referred to as a line-scanning tomographic optical microscope (LSTOM) using a combination of line-scanning technique and CT reconstruction principle, is proposed and studied theoretically and experimentally. In our implementation a narrow focus line is scanned over the sample and the reflected light is measured in a confocal arrangement. One such scan is equivalent to a transverse projection in tomography. Repeating the scanning procedure in several directions, a number of transverse projections are recorded from which the image can be obtained using conventional CT reconstruction algorithms. The resolution of the image is independent of the spatial dimensions and structure of the applied detector; furthermore, the transfer function of the system is isotropic. The imaging performance of the implemented confocal LSTOM was compared with a point-scanning confocal microscope, based on recorded images. These images demonstrate that the resolution of the confocal LSTOM exceeds (by 15%) the resolution limit of a point-scanning confocal microscope

  6. A License Plate Locating Method Based on Tophat-bothat Changing and Line Scanning

    International Nuclear Information System (INIS)

    Hou, P G; Zhao, J; Liu, M

    2006-01-01

    The automatic license plate recognition is an important technique to obtain traffic information, it mixes computer vision, image processing techniques and pattern recognition techniques, it is an important technique in intelligent traffic system. In a vehicle license plate recognition system, plate region detection is the key step before the final recognition. This article introduces the whole process of plate region detection. Top - hat and bot - hat transformation are used for enhancing the image contrast in this paper, and wavelet threshold method is used as image filter, and a improved line scanning is used for plate region detection at last. This method has strong practicability. The experimental results demonstrate that the method introduced in this paper is effective

  7. AUTOMATIC RAILWAY POWER LINE EXTRACTION USING MOBILE LASER SCANNING DATA

    Directory of Open Access Journals (Sweden)

    S. Zhang

    2016-06-01

    Full Text Available Research on power line extraction technology using mobile laser point clouds has important practical significance on railway power lines patrol work. In this paper, we presents a new method for automatic extracting railway power line from MLS (Mobile Laser Scanning data. Firstly, according to the spatial structure characteristics of power-line and trajectory, the significant data is segmented piecewise. Then, use the self-adaptive space region growing method to extract power lines parallel with rails. Finally use PCA (Principal Components Analysis combine with information entropy theory method to judge a section of the power line whether is junction or not and which type of junction it belongs to. The least squares fitting algorithm is introduced to model the power line. An evaluation of the proposed method over a complicated railway point clouds acquired by a RIEGL VMX450 MLS system shows that the proposed method is promising.

  8. Line scan analysis of the component of Ru porcelain by SRXRF method

    International Nuclear Information System (INIS)

    Zhu Jian; Mao Zhenwei; Yang Yimin; Feng Min; Wang Changsui; Sun Xinmin; Guo Musen; Huang Yuying; He Wei

    2002-01-01

    The technique of the SRXRF line scan analysis was used to measure contents of 11 elements at the broken plane of the Ru porcelain from porcelain glaze to body. It is found that there exists a great different layer of contents of elements between the porcelain glaze and body. The mechanism may be that in the process of firing the porcelain, materials of glass-glaze of porcelain glaze infiltrate into the surface of porcelain body, that could fit the phenomenon of observation by different microscopes (stereomicroscope, polarizing microscope and scanning electron microscope)

  9. Laser line scan underwater imaging by complementary metal-oxide-semiconductor camera

    Science.gov (United States)

    He, Zhiyi; Luo, Meixing; Song, Xiyu; Wang, Dundong; He, Ning

    2017-12-01

    This work employs the complementary metal-oxide-semiconductor (CMOS) camera to acquire images in a scanning manner for laser line scan (LLS) underwater imaging to alleviate backscatter impact of seawater. Two operating features of the CMOS camera, namely the region of interest (ROI) and rolling shutter, can be utilized to perform image scan without the difficulty of translating the receiver above the target as the traditional LLS imaging systems have. By the dynamically reconfigurable ROI of an industrial CMOS camera, we evenly divided the image into five subareas along the pixel rows and then scanned them by changing the ROI region automatically under the synchronous illumination by the fun beams of the lasers. Another scanning method was explored by the rolling shutter operation of the CMOS camera. The fun beam lasers were turned on/off to illuminate the narrow zones on the target in a good correspondence to the exposure lines during the rolling procedure of the camera's electronic shutter. The frame synchronization between the image scan and the laser beam sweep may be achieved by either the strobe lighting output pulse or the external triggering pulse of the industrial camera. Comparison between the scanning and nonscanning images shows that contrast of the underwater image can be improved by our LLS imaging techniques, with higher stability and feasibility than the mechanically controlled scanning method.

  10. On-Line Multi-Damage Scanning Spatial-Wavenumber Filter Based Imaging Method for Aircraft Composite Structure

    Directory of Open Access Journals (Sweden)

    Yuanqiang Ren

    2017-05-01

    Full Text Available Structural health monitoring (SHM of aircraft composite structure is helpful to increase reliability and reduce maintenance costs. Due to the great effectiveness in distinguishing particular guided wave modes and identifying the propagation direction, the spatial-wavenumber filter technique has emerged as an interesting SHM topic. In this paper, a new scanning spatial-wavenumber filter (SSWF based imaging method for multiple damages is proposed to conduct on-line monitoring of aircraft composite structures. Firstly, an on-line multi-damage SSWF is established, including the fundamental principle of SSWF for multiple damages based on a linear piezoelectric (PZT sensor array, and a corresponding wavenumber-time imaging mechanism by using the multi-damage scattering signal. Secondly, through combining the on-line multi-damage SSWF and a PZT 2D cross-shaped array, an image-mapping method is proposed to conduct wavenumber synthesis and convert the two wavenumber-time images obtained by the PZT 2D cross-shaped array to an angle-distance image, from which the multiple damages can be directly recognized and located. In the experimental validation, both simulated multi-damage and real multi-damage introduced by repeated impacts are performed on a composite plate structure. The maximum localization error is less than 2 cm, which shows good performance of the multi-damage imaging method. Compared with the existing spatial-wavenumber filter based damage evaluation methods, the proposed method requires no more than the multi-damage scattering signal and can be performed without depending on any wavenumber modeling or measuring. Besides, this method locates multiple damages by imaging instead of the geometric method, which helps to improve the signal-to-noise ratio. Thus, it can be easily applied to on-line multi-damage monitoring of aircraft composite structures.

  11. Line scanning analysis of Dilingtou Yue porcelain in Southern Song Dynasty by SRXRF method

    International Nuclear Information System (INIS)

    Zhu Shoumei; Mao Zhenwei; Feng Min; Zhu Jian; Ling Xue; Sheng Yueming; Huang Yuying; He Wei

    2004-01-01

    The SRXRF line scanning analysis technique was used to measure the elements' content in the Dilingtou Yue porcelain sherd of Southern Song Dynasty from glaze to body. It is found that there exists a middle layer between the porcelain glaze and body. And a line scanning analysis comparison was made with the Ru porcelain in Northern Song Dynasty. There are some differences between them and the middle layer of Dilingtou Yue sherd is a little thinner than the Ru sherd's. And elements' content distributions in glaze are different from Ru porcelain's and the changes of contents from the surface to the inner side of glaze are undulatory. The results indicate that the differences may result from the different materials of body and the different kilns. (authors)

  12. Multidirectional Scanning Model, MUSCLE, to Vectorize Raster Images with Straight Lines

    Directory of Open Access Journals (Sweden)

    Ibrahim Baz

    2008-04-01

    Full Text Available This paper presents a new model, MUSCLE (Multidirectional Scanning for Line Extraction, for automatic vectorization of raster images with straight lines. The algorithm of the model implements the line thinning and the simple neighborhood methods to perform vectorization. The model allows users to define specified criteria which are crucial for acquiring the vectorization process. In this model, various raster images can be vectorized such as township plans, maps, architectural drawings, and machine plans. The algorithm of the model was developed by implementing an appropriate computer programming and tested on a basic application. Results, verified by using two well known vectorization programs (WinTopo and Scan2CAD, indicated that the model can successfully vectorize the specified raster data quickly and accurately.

  13. High resolution RGB color line scan camera

    Science.gov (United States)

    Lynch, Theodore E.; Huettig, Fred

    1998-04-01

    A color line scan camera family which is available with either 6000, 8000 or 10000 pixels/color channel, utilizes off-the-shelf lenses, interfaces with currently available frame grabbers, includes on-board pixel by pixel offset correction, and is configurable and controllable via RS232 serial port for computer controlled or stand alone operation is described in this paper. This line scan camera is based on an available 8000 element monochrome line scan camera designed by AOA for OEM use. The new color version includes improvements such as better packaging and additional user features which make the camera easier to use. The heart of the camera is a tri-linear CCD sensor with on-chip color balancing for maximum accuracy and pinned photodiodes for low lag response. Each color channel is digitized to 12 bits and all three channels are multiplexed together so that the resulting camera output video is either a 12 or 8 bit data stream at a rate of up to 24Megpixels/sec. Conversion from 12 to 8 bit, or user-defined gamma, is accomplished by on board user-defined video look up tables. The camera has two user-selectable operating modes; lows speed, high sensitivity mode or high speed, reduced sensitivity mode. The intended uses of the camera include industrial inspection, digital archiving, document scanning, and graphic arts applications.

  14. Novel Automatic Detection of Pleura and B-lines (Comet-Tail Artifacts) on In-Vivo Lung Ultrasound Scans

    DEFF Research Database (Denmark)

    Moshavegh, Ramin; Hansen, Kristoffer Lindskov; Møller-Sørensen, Hasse

    2016-01-01

    This paper presents a novel automatic method for detection of B-lines (comet-tail artifacts) in lung ultrasound scans. B-lines are the most commonly used artifacts for analyzing the pulmonary edema. They appear as laser-like vertical beams, which arise from the pleural line and spread down without...

  15. Adaptive and robust statistical methods for processing near-field scanning microwave microscopy images.

    Science.gov (United States)

    Coakley, K J; Imtiaz, A; Wallis, T M; Weber, J C; Berweger, S; Kabos, P

    2015-03-01

    Near-field scanning microwave microscopy offers great potential to facilitate characterization, development and modeling of materials. By acquiring microwave images at multiple frequencies and amplitudes (along with the other modalities) one can study material and device physics at different lateral and depth scales. Images are typically noisy and contaminated by artifacts that can vary from scan line to scan line and planar-like trends due to sample tilt errors. Here, we level images based on an estimate of a smooth 2-d trend determined with a robust implementation of a local regression method. In this robust approach, features and outliers which are not due to the trend are automatically downweighted. We denoise images with the Adaptive Weights Smoothing method. This method smooths out additive noise while preserving edge-like features in images. We demonstrate the feasibility of our methods on topography images and microwave |S11| images. For one challenging test case, we demonstrate that our method outperforms alternative methods from the scanning probe microscopy data analysis software package Gwyddion. Our methods should be useful for massive image data sets where manual selection of landmarks or image subsets by a user is impractical. Published by Elsevier B.V.

  16. Interpolation from Grid Lines: Linear, Transfinite and Weighted Method

    DEFF Research Database (Denmark)

    Lindberg, Anne-Sofie Wessel; Jørgensen, Thomas Martini; Dahl, Vedrana Andersen

    2017-01-01

    When two sets of line scans are acquired orthogonal to each other, intensity values are known along the lines of a grid. To view these values as an image, intensities need to be interpolated at regularly spaced pixel positions. In this paper we evaluate three methods for interpolation from grid l...

  17. Classication Methods for CT-Scanned Carcass Midsections

    DEFF Research Database (Denmark)

    Skytte, Jacob Lercke; Dahl, Anders Lindbjerg; Larsen, Rasmus

    2011-01-01

    Computed tomography (CT) has successfully been applied in medical environments for decades. In recent years CT has also made its entry to the industrial environments, including the slaughterhouses. In this paper we investigate classication methods for an online CT system, in order to assist...... in the segmentation of the outer fat layer in the mid- section of CT-scanned pig carcasses. Prior information about the carcass composition can potentially be applied for a fully automated solution, in order to optimize the slaughter line. The methods comprise Markov Random Field and contextual Bayesian classication...

  18. Postprocessing Algorithm for Driving Conventional Scanning Tunneling Microscope at Fast Scan Rates.

    Science.gov (United States)

    Zhang, Hao; Li, Xianqi; Chen, Yunmei; Park, Jewook; Li, An-Ping; Zhang, X-G

    2017-01-01

    We present an image postprocessing framework for Scanning Tunneling Microscope (STM) to reduce the strong spurious oscillations and scan line noise at fast scan rates and preserve the features, allowing an order of magnitude increase in the scan rate without upgrading the hardware. The proposed method consists of two steps for large scale images and four steps for atomic scale images. For large scale images, we first apply for each line an image registration method to align the forward and backward scans of the same line. In the second step we apply a "rubber band" model which is solved by a novel Constrained Adaptive and Iterative Filtering Algorithm (CIAFA). The numerical results on measurement from copper(111) surface indicate the processed images are comparable in accuracy to data obtained with a slow scan rate, but are free of the scan drift error commonly seen in slow scan data. For atomic scale images, an additional first step to remove line-by-line strong background fluctuations and a fourth step of replacing the postprocessed image by its ranking map as the final atomic resolution image are required. The resulting image restores the lattice image that is nearly undetectable in the original fast scan data.

  19. Postprocessing Algorithm for Driving Conventional Scanning Tunneling Microscope at Fast Scan Rates

    Directory of Open Access Journals (Sweden)

    Hao Zhang

    2017-01-01

    Full Text Available We present an image postprocessing framework for Scanning Tunneling Microscope (STM to reduce the strong spurious oscillations and scan line noise at fast scan rates and preserve the features, allowing an order of magnitude increase in the scan rate without upgrading the hardware. The proposed method consists of two steps for large scale images and four steps for atomic scale images. For large scale images, we first apply for each line an image registration method to align the forward and backward scans of the same line. In the second step we apply a “rubber band” model which is solved by a novel Constrained Adaptive and Iterative Filtering Algorithm (CIAFA. The numerical results on measurement from copper(111 surface indicate the processed images are comparable in accuracy to data obtained with a slow scan rate, but are free of the scan drift error commonly seen in slow scan data. For atomic scale images, an additional first step to remove line-by-line strong background fluctuations and a fourth step of replacing the postprocessed image by its ranking map as the final atomic resolution image are required. The resulting image restores the lattice image that is nearly undetectable in the original fast scan data.

  20. Laser sintering of metal powders on top of sintered layers under multiple-line laser scanning

    International Nuclear Information System (INIS)

    Xiao Bin; Zhang Yuwen

    2007-01-01

    A three-dimensional numerical model for multiple-line sintering of loose powders on top of multiple sintered layers under the irradiation of a moving Gaussian laser beam is carried out. The overlaps between vertically deposited layers and adjacent lines which strengthen bonding are taken into account. The energy equation is formulated using the temperature transforming model and solved by the finite volume method. The effects of the number of the existing sintered layers, porosity and initial temperature coupled with the optimal combination laser intensity and scanning velocity are presented. The results show that the liquid pool moves slightly towards the negative scanning direction and the shape of the liquid pool becomes shallower with higher scanning velocity. A higher laser intensity is needed to achieve the required overlaps when the number of the existing sintered layers increases. Increasing porosity or initial temperature enhances the sintering process and thus less intensity is needed for the overlap requirement

  1. Internal scanning method as unique imaging method of optical vortex scanning microscope

    Science.gov (United States)

    Popiołek-Masajada, Agnieszka; Masajada, Jan; Szatkowski, Mateusz

    2018-06-01

    The internal scanning method is specific for the optical vortex microscope. It allows to move the vortex point inside the focused vortex beam with nanometer resolution while the whole beam stays in place. Thus the sample illuminated by the focused vortex beam can be scanned just by the vortex point. We show that this method enables high resolution imaging. The paper presents the preliminary experimental results obtained with the first basic image recovery procedure. A prospect of developing more powerful tools for topography recovery with the optical vortex scanning microscope is discussed shortly.

  2. Line-scanning confocal microscopy for high-resolution imaging of upconverting rare-earth-based contrast agents

    Science.gov (United States)

    Higgins, Laura M.; Zevon, Margot; Ganapathy, Vidya; Sheng, Yang; Tan, Mei Chee; Riman, Richard E.; Roth, Charles M.; Moghe, Prabhas V.; Pierce, Mark C.

    2015-01-01

    Abstract. Rare-earth (RE) doped nanocomposites emit visible luminescence when illuminated with continuous wave near-infrared light, making them appealing candidates for use as contrast agents in biomedical imaging. However, the emission lifetime of these materials is much longer than the pixel dwell times used in scanning intravital microscopy. To overcome this limitation, we have developed a line-scanning confocal microscope for high-resolution, optically sectioned imaging of samples labeled with RE-based nanomaterials. Instrument performance is quantified using calibrated test objects. NaYF4:Er,Yb nanocomposites are imaged in vitro, and in ex vivo tissue specimens, with direct comparison to point-scanning confocal microscopy. We demonstrate that the extended pixel dwell time of line-scanning confocal microscopy enables subcellular-level imaging of these nanomaterials while maintaining optical sectioning. The line-scanning approach thus enables microscopic imaging of this emerging class of contrast agents for preclinical studies, with the potential to be adapted for real-time in vivo imaging in the clinic. PMID:26603495

  3. Rapid age determination of oysters using LA-ICP-MS line scans of shell Mg/Ca ratios

    Science.gov (United States)

    Gillikin, D. P.; Durham, S. R.; Goodwin, D. H.

    2016-02-01

    Magnesium to calcium (Mg/Ca) ratios exhibit a strong temperature dependence in foraminifera and corals, but not in bivalve mollusks. Various studies have reported Mg/Ca-temperature relationships with R2 values ranging from 0.3 to 0.8 and significantly different relationships for bivalves growing at different salinities. However, this poor temperature correlation does not render Mg/Ca data useless. A weak temperature dependence would allow time (seasons and years) to be determined along the growth axis of shells. This would provide information about age, growth rate and also allow other proxies to be aligned with time. Typically, oxygen isotopes (δ18O) are used to age shells without clear periodic growth lines, which is time consuming and expensive. Line scans using laser ablation systems can cover several centimeters of shell in a few minutes. We test this method on the resilifer of two oyster species (Crassostrea gigas and C. virginica) using a 193 nm Laser-Ablation-ICP-MS. Living oysters were collected from San Francisco Bay, North Carolina, South Carolina, and the Gulf of Mexico; fossil shells (Pleistocene) were also collected in South Carolina. Shells were sampled for δ18O values and Mg/Ca ratios. We use annual cycles in δ18O values to confidently determine age, then apply the Mg/Ca technique. Shells of both species exhibit annual cyclicity in Mg/Ca ratios using spot and line scan laser sampling, which matche the seasonal cyclicity determined using δ18O values. Results show a good correlation between ages determined using the different methods. We conclude that LA-ICP-MS line scans offer a rapid and inexpensive technique for determining age, growth rate, and timing of shell growth in oyster reslifers.

  4. A new method of CT scanning for the diagnosis of mandibular fractures

    International Nuclear Information System (INIS)

    Tsukagoshi, Taku; Satoh, Kaneshige; Onizuka, Takuya

    1990-01-01

    The condylar neck of the mandible is one of the most common fracture sites in the facial skeleton. Such a fracture is routinely diagnosed by A-P, lateral oblique, and Towne projection roentgenography or orthopantomography. Despite the combination of these films, fracture of the neck of the mandible is still difficult to diagnose definitely. Therefore, a new CT scanning method was developed for diagnosing fractures of the neck of the condylar mandible. The CT axis is projected along the length of the mandible, extending from the condyle to the symphysis. This projection visualizes both the condyle and the mandibular symphysis in the same plane. The patient is placed in a supine position with the head fully extended. The base line, a line extending from the midpoint of the glenoid fossa to the menton, is determined with a lateral facial cephalogram. CT scanning with a 5 mm window is performed in parallel with and 2 cm anterior to and 2 cm posterior to the base line. When CT scanning was performed in a healthy volunteer, the condition of the condyle and the condylar neck of the mandible was clearly shown, and the view extended from the condyle to the symphysis. For automobile accident patients in whom fracture of the neck of the mandible was associated with fracture of the symphysis, two fractures were found in the same plane. A newly developed CT scanning technique is useful in the diagnosis of fractures of the condylar neck of the mandible and in the identification of fractures at other mandibular sites. It also allows scanning of patients in a supine position, which may aid in managing patients with multiple traumas. (N.K.)

  5. Spiral scan long object reconstruction through PI line reconstruction

    International Nuclear Information System (INIS)

    Tam, K C; Hu, J; Sourbelle, K

    2004-01-01

    The response of a point object in a cone beam (CB) spiral scan is analysed. Based on the result, a reconstruction algorithm for long object imaging in spiral scan cone beam CT is developed. A region-of-interest (ROI) of the long object is scanned with a detector smaller than the ROI, and a portion of it can be reconstructed without contamination from overlaying materials. The top and bottom surfaces of the ROI are defined by two sets of PI lines near the two ends of the spiral path. With this novel definition of the top and bottom ROI surfaces and through the use of projective geometry, it is straightforward to partition the cone beam image into regions corresponding to projections of the ROI, the overlaying objects or both. This also simplifies computation at source positions near the spiral ends, and makes it possible to reduce radiation exposure near the spiral ends substantially through simple hardware collimation. Simulation results to validate the algorithm are presented

  6. Radionuclide scanning after total knee replacement: correlation with pain and radiolucent lines. A prospective study

    DEFF Research Database (Denmark)

    Duus, B R; Boeckstyns, M; Kjaer, L

    1987-01-01

    The authors examined the relationships among Tc-99m radionuclide bone scan findings, pain, and radiolucent lines in 35 postsurgical knees. Our prospective study included bone scans, as well as radiographic and clinical examination three, seven, and 12 months after knee replacement surgery in 35...... and nonpainful knees, and the degree of isotope uptake did not correlate with the development of radiolucent lines around the prosthetic components....

  7. Extrinsic Parameter Calibration for Line Scanning Cameras on Ground Vehicles with Navigation Systems Using a Calibration Pattern

    Directory of Open Access Journals (Sweden)

    Alexander Wendel

    2017-10-01

    Full Text Available Line scanning cameras, which capture only a single line of pixels, have been increasingly used in ground based mobile or robotic platforms. In applications where it is advantageous to directly georeference the camera data to world coordinates, an accurate estimate of the camera’s 6D pose is required. This paper focuses on the common case where a mobile platform is equipped with a rigidly mounted line scanning camera, whose pose is unknown, and a navigation system providing vehicle body pose estimates. We propose a novel method that estimates the camera’s pose relative to the navigation system. The approach involves imaging and manually labelling a calibration pattern with distinctly identifiable points, triangulating these points from camera and navigation system data and reprojecting them in order to compute a likelihood, which is maximised to estimate the 6D camera pose. Additionally, a Markov Chain Monte Carlo (MCMC algorithm is used to estimate the uncertainty of the offset. Tested on two different platforms, the method was able to estimate the pose to within 0.06 m/1.05 ∘ and 0.18 m/2.39 ∘ . We also propose several approaches to displaying and interpreting the 6D results in a human readable way.

  8. IDENTIFIKASI PROFIL DASAR LAUT MENGGUNAKAN INSTRUMEN SIDE SCAN SONAR DENGAN METODE BEAM PATTERN DISCRETE-EQUI-SPACED UNSHADED LINE ARRAY

    Directory of Open Access Journals (Sweden)

    Muhammad Zainuddin Lubis

    2017-05-01

    which was a sea of Riau Island in Indonesia. Side scan sonar (SSS is an instrument based on sonar system wich capable of showing the image of two-dimensional surface of the seabed with contour conditions, topography, and the underwater target simultaneously. Beam Pattern Discrete-equispaced unshaded Line Array Method is used to compute the two-dimensional beam pattern which depends on the angle of the incoming sound waves from the axis of the array are acceptable depending on the angle at which the sound beam array. This research was conducted in December 2016 in the sea Punggur, Batam, Riau Islands-Indonesia, with coordinate system  104 ° 08,7102 E and 1° 03,2448 N until 1 ° 03.3977N and 104 ° 08,8133 E,  using Side Scan Sonar Tow C-Max CM2 fish instruments with a frequency of 325 kHz. The Results obtained from the recording there are 7 targets, and Beam pattern of Discrete-Beam method Equi-Spaced unshaded Line Array in targets 4 have the highest value in the Pattern is 21:08 dB directivity. The results of the model's beam pattern have anaxis value at the incidence angle (o of the directivity pattern (dB are not on the value 0 or the central beam pattern generated on the target 6 with incident angle -1.5 o and 1.5o have declined by -40 dB. Characteristics of bottom sediment in the sea waters Punggur found more sand.Discrete-method result Beam Equi-Spaced unshaded Line Array discovered the sunken wreck. Keywords: Side Scan Sonar, Beam Pattern Discrete-Equi-Spaced Unshaded Line Array, Incidence angle, Directivity pattern

  9. Segmented gamma scanning method for measuring holdup in the spherical container

    International Nuclear Information System (INIS)

    Deng Jingshan; Li Ze; Gan Lin; Lu Wenguang; Dong Mingli

    2007-01-01

    Some special nuclear material (SNM) is inevitably deposited in the facilities (mixer, reactor) of nuclear material process line. Exactly knowing the quantity of nuclear material holdup is very important for nuclear material accountability and critical safety. This paper presents segmented gamma scanning method for SNM holdup measurement of spherical container, at the left, right and back of which other equipments exist so that the detectors can be put at the only front of container for measurement. The nuclear material deposited in the spherical container can be looked as spherical shell source, which is divided into many layers. The detectors scanning spherical shell source are moved layer by layer from the top to the bottom to obtain projection data, with which deposited material distribution can be reconstructed by using Least Square (LS) method or Maximum Likelihood (ML) method. With these methods accurate total holdup can be obtained by summing up all the segmental values reconstructed. In this paper this measurement method for holdup in the spherical container was verified with Monte-Carlo simulation calculation and experiment. (authors)

  10. Characterization of Line Nanopatterns on Positive Photoresist Produced by Scanning Near-Field Optical Microscope

    Directory of Open Access Journals (Sweden)

    Sadegh Mehdi Aghaei

    2015-01-01

    Full Text Available Line nanopatterns are produced on the positive photoresist by scanning near-field optical microscope (SNOM. A laser diode with a wavelength of 450 nm and a power of 250 mW as the light source and an aluminum coated nanoprobe with a 70 nm aperture at the tip apex have been employed. A neutral density filter has been used to control the exposure power of the photoresist. It is found that the changes induced by light in the photoresist can be detected by in situ shear force microscopy (ShFM, before the development of the photoresist. Scanning electron microscope (SEM images of the developed photoresist have been used to optimize the scanning speed and the power required for exposure, in order to minimize the final line width. It is shown that nanometric lines with a minimum width of 33 nm can be achieved with a scanning speed of 75 µm/s and a laser power of 113 mW. It is also revealed that the overexposure of the photoresist by continuous wave laser generated heat can be prevented by means of proper photoresist selection. In addition, the effects of multiple exposures of nanopatterns on their width and depth are investigated.

  11. ELABORATION OF THE 3D MODEL AND SURVEY OF THE POWER LINES USING DATA FROM AIRBORNE LASER SCANNING

    Directory of Open Access Journals (Sweden)

    Bogusława Kwoczyńska

    2016-09-01

    Full Text Available One of the methods of obtaining highly accurate and current spatial data about the terrain, as well as objects situated on it, is laser scanning. LIDAR (Light Detection and Ranging is among the most modern, dynamically developing technologies and reveals in surveying new capabilities that have been unachievable in a traditional way so far. The aim of the publication is to show the possibilities of using data from airborne laser scanning to perform the survey and visualization of the energy network, and also identification of hazards which the present network constitutes for the immediate environment using the TerraSolid software package. The survey was conducted for two independent sections of the power line, on the basis of two different clouds of points obtained from the airborne laser scanning. The first one had a density of 16 points/m2, while the other 22 pts/m2. The project was created in an environment of MicroStation V8i software using special overlays – TerraScan and TerraModeler of Finnish TerraSolid Company. The use of the test clouds of different densities was intended to indicate an optimal density of the cloud of points, which allows carrying out a survey and visualization of the energy network based on data derived from airborne laser scanning. The publication presents on particular examples the procedure of vectorization and visualization of the power line and detection of objects within a dangerous distance from it. The possibility of using applied LIDAR data, meeting the industry requirements, to the survey of power lines has been also confirmed.

  12. Scanning tunneling microscopy III theory of STM and related scanning probe methods

    CERN Document Server

    Güntherodt, Hans-Joachim

    1996-01-01

    Scanning Tunneling Microscopy III provides a unique introduction to the theoretical foundations of scanning tunneling microscopy and related scanning probe methods. The different theoretical concepts developed in the past are outlined, and the implications of the theoretical results for the interpretation of experimental data are discussed in detail. Therefore, this book serves as a most useful guide for experimentalists as well as for theoreticians working in the filed of local probe methods. In this second edition the text has been updated and new methods are discussed.

  13. Determination of line edge roughness in low-dose top-down scanning electron microscopy images

    NARCIS (Netherlands)

    Verduin, T.; Kruit, P.; Hagen, C.W.

    2014-01-01

    We investigated the off-line metrology for line edge roughness (LER) determination by using the discrete power spectral density (PSD). The study specifically addresses low-dose scanning electron microscopy (SEM) images in order to reduce the acquisition time and the risk of resist shrinkage. The

  14. B-lines with Lung Ultrasound: The Optimal Scan Technique at Rest and During Stress.

    Science.gov (United States)

    Scali, Maria Chiara; Zagatina, Angela; Simova, Iana; Zhuravskaya, Nadezhda; Ciampi, Quirino; Paterni, Marco; Marzilli, Mario; Carpeggiani, Clara; Picano, Eugenio

    2017-11-01

    Various lung ultrasound (LUS) scanning modalities have been proposed for the detection of B-lines, also referred to as ultrasound lung comets, which are an important indication of extravascular lung water at rest and after exercise stress echo (ESE). The aim of our study was to assess the lung water spatial distribution (comet map) at rest and after ESE. We performed LUS at rest and immediately after semi-supine ESE in 135 patients (45 women, 90 men; age 62 ± 12 y, resting left ventricular ejection fraction = 41 ± 13%) with known or suspected heart failure or coronary artery disease. B-lines were measured by scanning 28 intercostal spaces (ISs) on the antero-lateral chest, 2nd-5th IS, along with the midaxillary (MA), anterior axillary (AA), mid-clavicular (MC) and parasternal (PS) lines. Complete 28-region, 16-region (3rd and 4th IS), 8-region (3rd IS), 4-region (3rd IS, only AA and MA) and 1-region (left 3rd IS, MA) scans were analyzed. In each space, the B-lines were counted from 0 = black lung to 10 = white lung. Interpretable images were obtained in all spaces (feasibility = 100 %). B-lines (>0 in at least 1 space) were present at ESE in 93 patients (69%) and absent in 42. More B-lines were found in the 3rd IS and along AA and MA lines. The B-line cumulative distribution was symmetric at rest (right/left = 1.10) and asymmetric with left lung predominance during stress (right/left = 0.67). The correlation of per-patient B-line number between 28-S and 16-S (R 2  = 0.9478), 8-S (R 2  = 0.9478) and 4-S scan (R 2  = 0.9146) was excellent, but only good with 1-S (R 2  = 0.8101). The average imaging and online analysis time were 5 s per space. In conclusion, during ESE, the comet map of lung water accumulation follows a predictable spatial pattern with wet spots preferentially aligned with the third IS and along the AA and MA lines. The time-saving 4-region scan is especially convenient during stress, simply dismissing dry regions and

  15. Side-Scan Sonar Image Mosaic Using Couple Feature Points with Constraint of Track Line Positions

    Directory of Open Access Journals (Sweden)

    Jianhu Zhao

    2018-06-01

    Full Text Available To obtain large-scale seabed surface image, this paper proposes a side-scan sonar (SSS image mosaic method using couple feature points (CFPs with constraint of track line positions. The SSS geocoded images are firstly used to form a coarsely mosaicked one and the overlapping areas between adjacent strip images can be determined based on geographic information. Inside the overlapping areas, the feature point (FP detection and registration operation are adopted for both strips. According to the detected CFPs and track line positions, an adjustment model is established to accommodate complex local distortions as well as ensure the global stability. This proposed method effectively solves the problem of target ghosting or dislocation and no accumulated errors arise in the mosaicking process. Experimental results show that the finally mosaicked image correctly reflects the object distribution, which is meaningful for understanding and interpreting seabed topography.

  16. SU-E-T-510: Interplay Between Spots Sizes, Spot / Line Spacing and Motion in Spot Scanning Proton Therapy

    International Nuclear Information System (INIS)

    Lee, TK

    2015-01-01

    Purpose In proton beam configuration for spot scanning proton therapy (SSPT), one can define the spacing between spots and lines of scanning as a ratio of given spot size. If the spacing increases, the number of spots decreases which can potentially decrease scan time, and so can whole treatment time, and vice versa. However, if the spacing is too large, the uniformity of scanned field decreases. Also, the field uniformity can be affected by motion during SSPT beam delivery. In the present study, the interplay between spot/ line spacing and motion is investigated. Methods We used four Gaussian-shape spot sizes with 0.5cm, 1.0cm, 1.5cm, and 2.0cm FWHM, three spot/line spacing that creates uniform field profile which are 1/3*FWHM, σ/3*FWHM and 2/3*FWHM, and three random motion amplitudes within, +/−0.3mm, +/−0.5mm, and +/−1.0mm. We planned with 2Gy uniform single layer of 10×10cm2 and 20×20cm2 fields. Then, mean dose within 80% area of given field size, contrubuting MU per each spot assuming 1cGy/MU calibration for all spot sizes, number of spots and uniformity were calculated. Results The plans with spot/line spacing equal to or smaller than 2/3*FWHM without motion create ∼100% uniformity. However, it was found that the uniformity decreases with increased spacing, and it is more pronounced with smaller spot sizes, but is not affected by scanned field sizes. Conclusion It was found that the motion during proton beam delivery can alter the dose uniformity and the amount of alteration changes with spot size which changes with energy and spot/line spacing. Currently, robust evaluation in TPS (e.g. Eclipse system) performs range uncertainty evaluation using isocenter shift and CT calibration error. Based on presented study, it is recommended to add interplay effect evaluation to robust evaluation process. For future study, the additional interplay between the energy layers and motion is expected to present volumetric effect

  17. Measuring the plutonium distribution in fuel elements by the gamma scanning method

    International Nuclear Information System (INIS)

    Gorobets, A.K.; Leshchenko, Yu.I.; Semenov, A.L.

    1982-01-01

    An on-line system designed for measuring Pu distribution in the length of fresh fuel elements with vibrocompacted UO 2 -PuO 2 fuel rods by the γ-scanning method is described. An algorithm for measurement result processing and the procedure of determination of calibration parameters necessary for the valid signal separat.ion by means of a two-channel analyzer and for evaluation of the self-absorption effect are considered. The device scanning unit consists of two NaI(Tl) detectors simultaneously detecting γ-radiation from the opposite sides of a measured fuel rod section. The cesium source with Esub(γ)=660 keV is used for fuel scanning. On the base of the analysis of the results obtained when studying the BOR-60 experimental fuel elements with fuel rods of 400 mm long by means of the described device clusion is made that fuel element scanning during 20 min (scanning step is 4 mm, measuring time at each step is 10 s) makes it possible to determine Pu distribution with the error less than +-4% at the confidence probability of 0.68

  18. Line-scan macro-scale Raman chemical imaging for authentication of powdered foods and ingredients

    Science.gov (United States)

    Adulteration and fraud for powdered foods and ingredients are rising food safety risks that threaten consumers’ health. In this study, a newly developed line-scan macro-scale Raman imaging system using a 5 W 785 nm line laser as excitation source was used to authenticate the food powders. The system...

  19. X-ray Compton line scan tomography

    Energy Technology Data Exchange (ETDEWEB)

    Kupsch, Andreas; Lange, Axel; Jaenisch, Gerd-Ruediger [Bundesanstalt fuer Materialforschung und -pruefung (BAM), Berlin (Germany). Fachgruppe 8.5 - Mikro-ZfP; Hentschel, Manfred P. [Technische Univ. Berlin (Germany); Kardjilov, Nikolay; Markoetter, Henning; Hilger, Andre; Manke, Ingo [Helmholtz-Zentrum Berlin (HZB) (Germany); Toetzke, Christian [Potsdam Univ. (Germany)

    2015-07-01

    The potentials of incoherent X-ray scattering (Compton) computed tomography (CT) are investigated. The imaging of materials of very different atomic number or density at once is generally a perpetual challenge for X-ray tomography or radiography. In a basic laboratory set-up for simultaneous perpendicular Compton scattering and direct beam attenuation tomography are conducted by single channel photon counting line scans. This results in asymmetric distortions of the projection profiles of the scattering CT data set. In a first approach, corrections of Compton scattering data by taking advantage of rotational symmetry yield tomograms without major geometric artefacts. A cylindrical sample composed of PE, PA, PVC, glass and wood demonstrates similar Compton contrast for all the substances, while the conventional absorption tomogram only reveals the two high order materials. Comparison to neutron tomography reveals astonishing similarities except for the glass component (without hydrogen). Therefore, Compton CT offers the potential to replace neutron tomography, which requires much more efforts.

  20. Backside illuminated CMOS-TDI line scan sensor for space applications

    Science.gov (United States)

    Cohen, Omer; Ofer, Oren; Abramovich, Gil; Ben-Ari, Nimrod; Gershon, Gal; Brumer, Maya; Shay, Adi; Shamay, Yaron

    2018-05-01

    A multi-spectral backside illuminated Time Delayed Integration Radiation Hardened line scan sensor utilizing CMOS technology was designed for continuous scanning Low Earth Orbit small satellite applications. The sensor comprises a single silicon chip with 4 independent arrays of pixels where each array is arranged in 2600 columns with 64 TDI levels. A multispectral optical filter whose spectral responses per array are adjustable per system requirement is assembled at the package level. A custom 4T Pixel design provides the required readout speed, low-noise, very low dark current, and high conversion gains. A 2-phase internally controlled exposure mechanism improves the sensor's dynamic MTF. The sensor high level of integration includes on-chip 12 bit per pixel analog to digital converters, on-chip controller, and CMOS compatible voltage levels. Thus, the power consumption and the weight of the supporting electronics are reduced, and a simple electrical interface is provided. An adjustable gain provides a Full Well Capacity ranging from 150,000 electrons up to 500,000 electrons per column and an overall readout noise per column of less than 120 electrons. The imager supports line rates ranging from 50 to 10,000 lines/sec, with power consumption of less than 0.5W per array. Thus, the sensor is characterized by a high pixel rate, a high dynamic range and a very low power. To meet a Latch-up free requirement RadHard architecture and design rules were utilized. In this paper recent electrical and electro-optical measurements of the sensor's Flight Models will be presented for the first time.

  1. [Accuracy of attenuation coefficient obtained by 137Cs single-transmission scanning in PET: comparison with conventional germanium line source].

    Science.gov (United States)

    Matsumoto, Keiichi; Kitamura, Keishi; Mizuta, Tetsuro; Shimizu, Keiji; Murase, Kenya; Senda, Michio

    2006-02-20

    Transmission scanning can be successfully performed with a Cs-137 single-photon-emitting point source for three-dimensional PET imaging. This method was effective for postinjection transmission scanning because of differences in physical energy. However, scatter contamination in the transmission data lowers measured attenuation coefficients. The purpose of this study was to investigate the accuracy of the influence of object scattering by measuring the attenuation coefficients on the transmission images. We also compared the results with the conventional germanium line source method. Two different types of PET scanner, the SET-3000 G/X (Shimadzu Corp.) and ECAT EXACT HR(+) (Siemens/CTI) , were used. For the transmission scanning, the SET-3000 G/X and ECAT HR(+) were the Cs-137 point source and Ge-68/Ga-68 line source, respectively. With the SET-3000 G/X, we performed transmission measurement at two energy gate settings, the standard 600-800 keV as well as 500-800 keV. The energy gate setting of the ECAT HR(+) was 350-650 keV. The effects of scattering in a uniform phantom with different cross-sectional areas ranging from 201 cm(2) to 314 cm(2) to 628 cm(2) (apposition of the two 20 cm diameter phantoms) and 943 cm(2) (stacking of the three 20 cm diameter phantoms) were acquired without emission activity. First, we evaluated the attenuation coefficients of the two different types of transmission scanning using region of interest (ROI) analysis. In addition, we evaluated the attenuation coefficients with and without segmentation for Cs-137 transmission images using the same analysis. The segmentation method was a histogram-based soft-tissue segmentation process that can also be applied to reconstructed transmission images. In the Cs-137 experiment, the maximum underestimation was 3% without segmentation, which was reduced to less than 1% with segmentation at the center of the largest phantom. In the Ge-68/Ga-68 experiment, the difference in mean attenuation

  2. Accuracy of attenuation coefficient obtained by 137Cs single-transmission scanning in PET. Comparison with conventional germanium line source

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Shimizu, Keiji; Senda, Michio; Kitamura, Keishi; Mizuta, Tetsuro; Murase, Kenya

    2006-01-01

    Transmission scanning can be successfully performed with a Cs-137 single-photon-emitting point source for three-dimensional PET imaging. This method was effective for postinjection transmission scanning because of differences in physical energy. However, scatter contamination in the transmission data lowers measured attenuation coefficients. The purpose of this study was to investigate the accuracy of the influence of object scattering by measuring the attenuation coefficients on the transmission images. We also compared the results with the conventional germanium line source method. Two different types of PET scanner, the SET-3000 G/X (Shimadzu Corp.) and ECAT EXACT HR + (Siemens/CTI), were used. For the transmission scanning, the SET-3000 G/X and ECAT HR + were the Cs-137 point source and Ge-68/Ga-68 line source, respectively. With the SET-3000 G/X, we performed transmission measurement at two energy gate settings, the standard 600-800 keV as well as 500-800 keV. The energy gate setting of the ECAT HR 2 + was 350-650 keV. The effects of scattering in a uniform phantom with different cross-sectional areas ranging from 201 cm 2 to 314 cm 2 to 628 cm 2 (apposition of the two 20 cm diameter phantoms) and 943 cm 2 (stacking of the three 20 cm diameter phantoms) were acquired without emission activity. First, we evaluated the attenuation coefficients of the two different types of transmission scanning using region of interest (ROI) analysis. In addition, we evaluated the attenuation coefficients with and without segmentation for Cs-137 transmission images using the same analysis. The segmentation method was a histogram-based soft-tissue segmentation process that can also be applied to reconstructed transmission images. In the Cs-137 experiment, the maximum underestimation was 3% without segmentation, which was reduced to less than 1% with segmentation at the center of the largest phantom. In the Ge-68/Ga-68 experiment, the difference in mean attenuation coefficients

  3. Opto-electronic scanning of colour pictures with P/sup 2/CCC-all solid state line sensors

    Energy Technology Data Exchange (ETDEWEB)

    Damann, H; Rabe, G; Zinke, M; Herrmann, M; Imjela, R; Laasch, I; Mueller, J; Neumann, K; Tauchen, G; Woelber, J

    1982-04-01

    A new one-chip all solid state line sensor (P/sup 2/CCD-Tricoli) has been realized as a basis for the opto-electronic scanning of colour pictures. The three photosensitive lines for the colour components red, green and blue contain each 652 photo elements. They are arranged in parallel on one silicon crystal, with distances of some 100 ..mu..m. The line sensor is supplied with an extra designed driving circuitry and a signal processing. For colour splitting a colour separating digital phase grating has been developed which generates the three colour components in its three central diffraction orders. Using all the development components ('Tricoli'-line-sensor, electronic circuitry, colour separation grating) a model of a slide scanner has been built up, which succesfully demonstrates the feasibility of the proposed colour scanning system.

  4. Huffman and linear scanning methods with statistical language models.

    Science.gov (United States)

    Roark, Brian; Fried-Oken, Melanie; Gibbons, Chris

    2015-03-01

    Current scanning access methods for text generation in AAC devices are limited to relatively few options, most notably row/column variations within a matrix. We present Huffman scanning, a new method for applying statistical language models to binary-switch, static-grid typing AAC interfaces, and compare it to other scanning options under a variety of conditions. We present results for 16 adults without disabilities and one 36-year-old man with locked-in syndrome who presents with complex communication needs and uses AAC scanning devices for writing. Huffman scanning with a statistical language model yielded significant typing speedups for the 16 participants without disabilities versus any of the other methods tested, including two row/column scanning methods. A similar pattern of results was found with the individual with locked-in syndrome. Interestingly, faster typing speeds were obtained with Huffman scanning using a more leisurely scan rate than relatively fast individually calibrated scan rates. Overall, the results reported here demonstrate great promise for the usability of Huffman scanning as a faster alternative to row/column scanning.

  5. Efficient algorithm for generating spectra using line-by-line methods

    International Nuclear Information System (INIS)

    Sonnad, V.; Iglesias, C.A.

    2011-01-01

    A method is presented for efficient generation of spectra using line-by-line approaches. The only approximation is replacing the line shape function with an interpolation procedure, which makes the method independent of the line profile functional form. The resulting computational savings for large number of lines is proportional to the number of frequency points in the spectral range. Therefore, for large-scale problems the method can provide speedups of two orders of magnitude or more. A method was presented to generate line-by-line spectra efficiently. The first step was to replace the explicit calculation of the profile by the Newton divided-differences interpolating polynomial. The second step is to accumulate the lines effectively reducing their number to the number of frequency points. The final step is recognizing the resulting expression as a convolution and amenable to FFT methods. The reduction in computational effort for a configuration-to-configuration transition array with large number of lines is proportional to the number of frequency points. The method involves no approximations except for replacing the explicit profile evaluation by interpolation. Specifically, the line accumulation and convolution are exact given the interpolation procedure. Furthermore, the interpolation makes the method independent of the line profile functional form contrary to other schemes using FFT methods to generate line-by-line spectra but relying on the analytic form of the profile Fourier transform. Finally, the method relies on a uniform frequency mesh. For non-uniform frequency meshes, however, the method can be applied by using a suitable temporary uniform mesh and the results interpolated onto the final mesh with little additional cost.

  6. The development of a line-scan imaging algorithm for the detection of fecal contamination on leafy geens

    Science.gov (United States)

    Yang, Chun-Chieh; Kim, Moon S.; Chuang, Yung-Kun; Lee, Hoyoung

    2013-05-01

    This paper reports the development of a multispectral algorithm, using the line-scan hyperspectral imaging system, to detect fecal contamination on leafy greens. Fresh bovine feces were applied to the surfaces of washed loose baby spinach leaves. A hyperspectral line-scan imaging system was used to acquire hyperspectral fluorescence images of the contaminated leaves. Hyperspectral image analysis resulted in the selection of the 666 nm and 688 nm wavebands for a multispectral algorithm to rapidly detect feces on leafy greens, by use of the ratio of fluorescence intensities measured at those two wavebands (666 nm over 688 nm). The algorithm successfully distinguished most of the lowly diluted fecal spots (0.05 g feces/ml water and 0.025 g feces/ml water) and some of the highly diluted spots (0.0125 g feces/ml water and 0.00625 g feces/ml water) from the clean spinach leaves. The results showed the potential of the multispectral algorithm with line-scan imaging system for application to automated food processing lines for food safety inspection of leafy green vegetables.

  7. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  8. Point Cloud Analysis for Uav-Borne Laser Scanning with Horizontally and Vertically Oriented Line Scanners - Concept and First Results

    Science.gov (United States)

    Weinmann, M.; Müller, M. S.; Hillemann, M.; Reydel, N.; Hinz, S.; Jutzi, B.

    2017-08-01

    In this paper, we focus on UAV-borne laser scanning with the objective of densely sampling object surfaces in the local surrounding of the UAV. In this regard, using a line scanner which scans along the vertical direction and perpendicular to the flight direction results in a point cloud with low point density if the UAV moves fast. Using a line scanner which scans along the horizontal direction only delivers data corresponding to the altitude of the UAV and thus a low scene coverage. For these reasons, we present a concept and a system for UAV-borne laser scanning using multiple line scanners. Our system consists of a quadcopter equipped with horizontally and vertically oriented line scanners. We demonstrate the capabilities of our system by presenting first results obtained for a flight within an outdoor scene. Thereby, we use a downsampling of the original point cloud and different neighborhood types to extract fundamental geometric features which in turn can be used for scene interpretation with respect to linear, planar or volumetric structures.

  9. Evaluation of processing methods for static radioisotope scan images

    International Nuclear Information System (INIS)

    Oakberg, J.A.

    1976-12-01

    Radioisotope scanning in the field of nuclear medicine provides a method for the mapping of a radioactive drug in the human body to produce maps (images) which prove useful in detecting abnormalities in vital organs. At best, radioisotope scanning methods produce images with poor counting statistics. One solution to improving the body scan images is using dedicated small computers with appropriate software to process the scan data. Eleven methods for processing image data are compared

  10. Robot calibration with a photogrammetric on-line system using reseau scanning cameras

    Science.gov (United States)

    Diewald, Bernd; Godding, Robert; Henrich, Andreas

    1994-03-01

    The possibility for testing and calibration of industrial robots becomes more and more important for manufacturers and users of such systems. Exacting applications in connection with the off-line programming techniques or the use of robots as measuring machines are impossible without a preceding robot calibration. At the LPA an efficient calibration technique has been developed. Instead of modeling the kinematic behavior of a robot, the new method describes the pose deviations within a user-defined section of the robot's working space. High- precision determination of 3D coordinates of defined path positions is necessary for calibration and can be done by digital photogrammetric systems. For the calibration of a robot at the LPA a digital photogrammetric system with three Rollei Reseau Scanning Cameras was used. This system allows an automatic measurement of a large number of robot poses with high accuracy.

  11. Method of composing two-dimensional scanned spectra observed by the New Vacuum Solar Telescope

    Science.gov (United States)

    Cai, Yun-Fang; Xu, Zhi; Chen, Yu-Chao; Xu, Jun; Li, Zheng-Gang; Fu, Yu; Ji, Kai-Fan

    2018-04-01

    In this paper we illustrate the technique used by the New Vacuum Solar Telescope (NVST) to increase the spatial resolution of two-dimensional (2D) solar spectroscopy observations involving two dimensions of space and one of wavelength. Without an image stabilizer at the NVST, large scale wobble motion is present during the spatial scanning, whose instantaneous amplitude can reach 1.3″ due to the Earth’s atmosphere and the precision of the telescope guiding system, and seriously decreases the spatial resolution of 2D spatial maps composed with scanned spectra. We make the following effort to resolve this problem: the imaging system (e.g., the TiO-band) is used to record and detect the displacement vectors of solar image motion during the raster scan, in both the slit and scanning directions. The spectral data (e.g., the Hα line) which are originally obtained in time sequence are corrected and re-arranged in space according to those displacement vectors. Raster scans are carried out in several active regions with different seeing conditions (two rasters are illustrated in this paper). Given a certain spatial sampling and temporal resolution, the spatial resolution of the composed 2D map could be close to that of the slit-jaw image. The resulting quality after correction is quantitatively evaluated with two methods. A physical quantity, such as the line-of-sight velocities in multiple layers of the solar atmosphere, is also inferred from the re-arranged spectrum, demonstrating the advantage of this technique.

  12. Line-scan inspection of conifer seedlings

    Science.gov (United States)

    Rigney, Michael P.; Kranzler, Glenn A.

    1993-05-01

    Almost two billion conifer seedlings are produced in the U.S. each year to support reforestation efforts. Seedlings are graded manually to improve viability after transplanting. Manual grading is labor-intensive and subject to human variability. Our previous research demonstrated the feasibility of automated tree seedling inspection with machine vision. Here we describe a system based on line-scan imaging, providing a three-fold increase in resolution and inspection rate. A key aspect of the system is automatic recognition of the seedling root collar. Root collar diameter, shoot height, and projected shoot and root areas are measured. Sturdiness ratio and shoot/root ratio are computed. Grade is determined by comparing measured features with pre-defined set points. Seedlings are automatically sorted. The precision of machine vision and manual measurements was determined in tests at a commercial forest nursery. Manual measurements of stem diameter, shoot height, and sturdiness ratio had standard deviations three times those of machine vision measurements. Projected shoot area was highly correlated (r2 equals 0.90) with shoot volume. Projected root area had good correlation (r2 equals 0.80) with root volume. Seedlings were inspected at rates as high as ten per second.

  13. Statistical image reconstruction methods for simultaneous emission/transmission PET scans

    International Nuclear Information System (INIS)

    Erdogan, H.; Fessler, J.A.

    1996-01-01

    Transmission scans are necessary for estimating the attenuation correction factors (ACFs) to yield quantitatively accurate PET emission images. To reduce the total scan time, post-injection transmission scans have been proposed in which one can simultaneously acquire emission and transmission data using rod sources and sinogram windowing. However, since the post-injection transmission scans are corrupted by emission coincidences, accurate correction for attenuation becomes more challenging. Conventional methods (emission subtraction) for ACF computation from post-injection scans are suboptimal and require relatively long scan times. We introduce statistical methods based on penalized-likelihood objectives to compute ACFs and then use them to reconstruct lower noise PET emission images from simultaneous transmission/emission scans. Simulations show the efficacy of the proposed methods. These methods improve image quality and SNR of the estimates as compared to conventional methods

  14. POINT CLOUD ANALYSIS FOR UAV-BORNE LASER SCANNING WITH HORIZONTALLY AND VERTICALLY ORIENTED LINE SCANNERS – CONCEPT AND FIRST RESULTS

    Directory of Open Access Journals (Sweden)

    M. Weinmann

    2017-08-01

    Full Text Available In this paper, we focus on UAV-borne laser scanning with the objective of densely sampling object surfaces in the local surrounding of the UAV. In this regard, using a line scanner which scans along the vertical direction and perpendicular to the flight direction results in a point cloud with low point density if the UAV moves fast. Using a line scanner which scans along the horizontal direction only delivers data corresponding to the altitude of the UAV and thus a low scene coverage. For these reasons, we present a concept and a system for UAV-borne laser scanning using multiple line scanners. Our system consists of a quadcopter equipped with horizontally and vertically oriented line scanners. We demonstrate the capabilities of our system by presenting first results obtained for a flight within an outdoor scene. Thereby, we use a downsampling of the original point cloud and different neighborhood types to extract fundamental geometric features which in turn can be used for scene interpretation with respect to linear, planar or volumetric structures.

  15. Footwear scanning systems and methods

    Science.gov (United States)

    Fernandes, Justin L.; McMakin, Douglas L.; Sheen, David M.; Tedeschi, Jonathan R.

    2017-07-25

    Methods and apparatus for scanning articles, such as footwear, to provide information regarding the contents of the articles are described. According to one aspect, a footwear scanning system includes a platform configured to contact footwear to be scanned, an antenna array configured to transmit electromagnetic waves through the platform into the footwear and to receive electromagnetic waves from the footwear and the platform, a transceiver coupled with antennas of the antenna array and configured to apply electrical signals to at least one of the antennas to generate the transmitted electromagnetic waves and to receive electrical signals from at least another of the antennas corresponding to the electromagnetic waves received by the others of the antennas, and processing circuitry configured to process the received electrical signals from the transceiver to provide information regarding contents within the footwear.

  16. Towards Robust Self-Calibration for Handheld 3d Line Laser Scanning

    Science.gov (United States)

    Bleier, M.; Nüchter, A.

    2017-11-01

    This paper studies self-calibration of a structured light system, which reconstructs 3D information using video from a static consumer camera and a handheld cross line laser projector. Intersections between the individual laser curves and geometric constraints on the relative position of the laser planes are exploited to achieve dense 3D reconstruction. This is possible without any prior knowledge of the movement of the projector. However, inaccurrately extracted laser lines introduce noise in the detected intersection positions and therefore distort the reconstruction result. Furthermore, when scanning objects with specular reflections, such as glossy painted or metalic surfaces, the reflections are often extracted from the camera image as erroneous laser curves. In this paper we investiagte how robust estimates of the parameters of the laser planes can be obtained despite of noisy detections.

  17. Automating data analysis during the inspection of boiler tubes using line scanning thermography

    Science.gov (United States)

    Ley, Obdulia; Momeni, Sepand; Ostroff, Jason; Godinez, Valery

    2012-05-01

    Failures in boiler waterwalls can occur when a relatively small amount of corrosion and loss of metal have been experienced. This study presents our efforts towards the application of Line Scanning Thermography (LST) for the analysis of thinning in boiler waterwall tubing. LST utilizes a line heat source to thermally excite the surface to be inspected and an infrared detector to record the transient surface temperature increase observed due to the presence of voids, thinning or other defects. In waterwall boiler tubes the defects that can be detected using LST correspond to corrosion pitting, hydrogen damage and wall thinning produced by inadequate burner heating or problems with the water chemistry. In this paper we discuss how the LST technique is implemented to determine thickness from the surface temperature data, and we describe our efforts towards developing a semiautomatic analysis tool to speed up the time between scanning, reporting and implementing repairs. We compare the density of data produced by the common techniques used to assess wall thickness and the data produced by LST.

  18. Novel Infiltration Diagnostics based on Laser-line Scanning and Infrared Temperature Field Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xinwei [Iowa State Univ., Ames, IA (United States)

    2017-12-08

    This project targets the building energy efficiency problems induced by building infiltration/leaks. The current infiltration inspection techniques often require extensive visual inspection and/or whole building pressure test. These current techniques cannot meet more than three of the below five criteria of ideal infiltration diagnostics: 1. location and extent diagnostics, 2. building-level application, 3. least surface preparation, 4. weather-proof, and 5. non-disruption to building occupants. These techniques are either too expensive or time consuming, and often lack accuracy and repeatability. They are hardly applicable to facades/facades section. The goal of the project was to develop a novel infiltration diagnostics technology based on laser line-scanning and simultaneous infrared temperature imaging. A laboratory scale experimental setup was designed to mimic a model house of well-defined pressure difference below or above the outside pressure. Algorithms and Matlab-based programs had been developed for recognition of the hole location in infrared images. Our experiment based on laser wavelengths of 450 and 1550 nm and laser beam diameters of 4-25 mm showed that the location of the holes could be identified using laser heating; the diagnostic approach however could not readily distinguish between infiltration and non-infiltration points. To significantly improve the scanning throughput and recognition accuracy, a second approach was explored, developed, and extensively tested. It incorporates a liquid spray on the surface to induce extra phase change cooling effect. In this spray method, we termed it as PECIT (Phase-change Enhanced Cooling Infrared Thermography), phase-change enhanced cooling was used, which significantly amplifies the effect of air flow (infiltration and exfiltration). This heat transfer method worked extremely well to identify infiltration and exfiltration locations with high accuracy and increased throughput. The PECIT technique was

  19. A laser sheet self-calibration method for scanning PIV

    Science.gov (United States)

    Knutsen, Anna N.; Lawson, John M.; Dawson, James R.; Worth, Nicholas A.

    2017-10-01

    Knowledge of laser sheet position, orientation, and thickness is a fundamental requirement of scanning PIV and other laser-scanning methods. This paper describes the development and evaluation of a new laser sheet self-calibration method for stereoscopic scanning PIV, which allows the measurement of these properties from particle images themselves. The approach is to fit a laser sheet model by treating particles as randomly distributed probes of the laser sheet profile, whose position is obtained via a triangulation procedure enhanced by matching particle images according to their variation in brightness over a scan. Numerical simulations and tests with experimental data were used to quantify the sensitivity of the method to typical experimental error sources and validate its performance in practice. The numerical simulations demonstrate the accurate recovery of the laser sheet parameters over range of different seeding densities and sheet thicknesses. Furthermore, they show that the method is robust to significant image noise and camera misalignment. Tests with experimental data confirm that the laser sheet model can be accurately reconstructed with no impairment to PIV measurement accuracy. The new method is more efficient and robust in comparison with the standard (self-) calibration approach, which requires an involved, separate calibration step that is sensitive to experimental misalignments. The method significantly improves the practicality of making accurate scanning PIV measurements and broadens its potential applicability to scanning systems with significant vibrations.

  20. Deformable motion reconstruction for scanned proton beam therapy using on-line x-ray imaging

    NARCIS (Netherlands)

    Zhang, Ye; Knopf, A; Tanner, Colby; Boye, Dirk; Lomax, Antony J.

    2013-01-01

    Organ motion is a major problem for any dynamic radiotherapy delivery technique, and is particularly so for spot scanned proton therapy. On the other hand, the use of narrow, magnetically deflected proton pencil beams is potentially an ideal delivery technique for tracking tumour motion on-line. At

  1. An Automatic Hypothesis of Electrical Lines from Range Scans and Photographs

    DEFF Research Database (Denmark)

    Krispel, Ulrich; Ullrich, Torsten; Evers, Henrik Leander

    2016-01-01

    Building information modeling (BIM) with high level of detail and semantic information on buildings throughout their lifetime are getting more and more important for stakeholders in the building domain. Currently, such models are not yet present for the majority of today’s building stock. With in......Building information modeling (BIM) with high level of detail and semantic information on buildings throughout their lifetime are getting more and more important for stakeholders in the building domain. Currently, such models are not yet present for the majority of today’s building stock...... to extract non-visible structures from visible geometric entities. This work uses domain specific geometric and semantic constraints to automatically deduce information that is not directly observable in architectural objects: electrical power supply lines. It utilizes as-built BIM data from scans of indoor...... room. Observable endpoints (sockets and switches) are detected in indoor scenes of buildings using methods from computer vision. The information from the reconstructed BIM model, as well as the detections and the generated installation zones are combined in a graph that represents all likely paths...

  2. Mjollnir Rotational Line Scan Diagnostics.

    Science.gov (United States)

    1981-05-19

    using long cavity. M8 Removable Pellicle Beam Splitter for He-Ne Lineup Beam. Removed before HF or DF laser is turned on. 27 A 27 * A r of the chopper...three probe laser lines, however three lines were sequentially measured to verify the diagnostic equipment. Two of the three lines have been monitored

  3. IMPROVED REAL-TIME SCAN MATCHING USING CORNER FEATURES

    Directory of Open Access Journals (Sweden)

    H. A. Mohamed

    2016-06-01

    Full Text Available The automation of unmanned vehicle operation has gained a lot of research attention, in the last few years, because of its numerous applications. The vehicle localization is more challenging in indoor environments where absolute positioning measurements (e.g. GPS are typically unavailable. Laser range finders are among the most widely used sensors that help the unmanned vehicles to localize themselves in indoor environments. Typically, automatic real-time matching of the successive scans is performed either explicitly or implicitly by any localization approach that utilizes laser range finders. Many accustomed approaches such as Iterative Closest Point (ICP, Iterative Matching Range Point (IMRP, Iterative Dual Correspondence (IDC, and Polar Scan Matching (PSM handles the scan matching problem in an iterative fashion which significantly affects the time consumption. Furthermore, the solution convergence is not guaranteed especially in cases of sharp maneuvers or fast movement. This paper proposes an automated real-time scan matching algorithm where the matching process is initialized using the detected corners. This initialization step aims to increase the convergence probability and to limit the number of iterations needed to reach convergence. The corner detection is preceded by line extraction from the laser scans. To evaluate the probability of line availability in indoor environments, various data sets, offered by different research groups, have been tested and the mean numbers of extracted lines per scan for these data sets are ranging from 4.10 to 8.86 lines of more than 7 points. The set of all intersections between extracted lines are detected as corners regardless of the physical intersection of these line segments in the scan. To account for the uncertainties of the detected corners, the covariance of the corners is estimated using the extracted lines variances. The detected corners are used to estimate the transformation parameters

  4. A line scanned light-sheet microscope with phase shaped self-reconstructing beams.

    Science.gov (United States)

    Fahrbach, Florian O; Rohrbach, Alexander

    2010-11-08

    We recently demonstrated that Microscopy with Self-Reconstructing Beams (MISERB) increases both image quality and penetration depth of illumination beams in strongly scattering media. Based on the concept of line scanned light-sheet microscopy, we present an add-on module to a standard inverted microscope using a scanned beam that is shaped in phase and amplitude by a spatial light modulator. We explain technical details of the setup as well as of the holograms for the creation, positioning and scaling of static light-sheets, Gaussian beams and Bessel beams. The comparison of images from identical sample areas illuminated by different beams allows a precise assessment of the interconnection between beam shape and image quality. The superior propagation ability of Bessel beams through inhomogeneous media is demonstrated by measurements on various scattering media.

  5. A METHOD OF SECURITY SCANNING OF CARRY-ON ITEMS, AND A CARRY-ON ITEMS SECURITY SCANNING SYSTEM

    DEFF Research Database (Denmark)

    2017-01-01

    A security scanning system (1) comprises a first stage module (3) having at least one X-ray source (6) and at least three first detectors (7) that are line-shaped and arranged in mutually different orientations and have at least dual energy resolution. A group of carry-on items (4) on a carrier...

  6. Method for updating pipelined, single port Z-buffer by segments on a scan line

    International Nuclear Information System (INIS)

    Hannah, M.R.

    1990-01-01

    This patent describes, in a raster scan, computer controlled video display system for presenting an image to an observer. Having Z-buffer for storing Z values and a frame buffer for storing pixel values, a method for updating the Z-buffer with new Z values to replace old Z values. It comprises: calculating a new pixel value and a new Z value for each pixel location in pixel locations, performing a Z comparison for each new Z value by comparing the old Z value with the new Z value for each pixel location, the Z comparison being performed sequentially in one direction through the plurality of pixel locations, and updating the Z-buffer only after the Z comparison produces a combination of a fail condition for a current pixel location subsequent to producing a pass condition for a pixel location immediately preceding the current pixel location

  7. Phase Error Caused by Speed Mismatch Analysis in the Line-Scan Defect Detection by Using Fourier Transform Technique

    Directory of Open Access Journals (Sweden)

    Eryi Hu

    2015-01-01

    Full Text Available The phase error caused by the speed mismatch issue is researched in the line-scan images capturing 3D profile measurement. The experimental system is constructed by a line-scan CCD camera, an object moving device, a digital fringe pattern projector, and a personal computer. In the experiment procedure, the detected object is moving relative to the image capturing system by using a motorized translation stage in a stable velocity. The digital fringe pattern is projected onto the detected object, and then the deformed patterns are captured and recorded in the computer. The object surface profile can be calculated by the Fourier transform profilometry. However, the moving speed mismatch error will still exist in most of the engineering application occasion even after an image system calibration. When the moving speed of the detected object is faster than the expected value, the captured image will be compressed in the moving direction of the detected object. In order to overcome this kind of measurement error, an image recovering algorithm is proposed to reconstruct the original compressed image. Thus, the phase values can be extracted much more accurately by the reconstructed images. And then, the phase error distribution caused by the speed mismatch is analyzed by the simulation and experimental methods.

  8. Uniform irradiation using rotational-linear scanning method for narrow synchrotron radiation beam

    International Nuclear Information System (INIS)

    Nariyama, N.; Ohnishi, S.; Odano, N.

    2004-01-01

    At SPring-8, photon intensity monitors for synchrotron radiation have been developed. Using these monitors, the responses of radiation detectors and dosimeters to monoenergetic photons can be measured. In most cases, uniform irradiation to the sample is necessary. Here, two scanning methods are proposed. One is an XZ-linear scanning method, which moves the sample simultaneously in both the X and Z direction, that is, in zigzag fashion. The other is a rotational-linear scanning method, which rotates the sample moving in the X direction. To investigate the validity of the two methods, thermoluminescent dosimeters were irradiated with a broad synchrotron-radiation beam, and the readings from the two methods were compared with that of the dosimeters fixed in the beam. The results for both scanning methods virtually agreed with that of the fixed method. The advantages of the rotational-linear scanning method are that low- and medium-dose irradiation is possible, uniformity is excellent and the load to the scanning equipment is light: hence, this method is superior to the XZ-linear scanning method for most applications. (author)

  9. Scanning tunneling microscope nanoetching method

    Science.gov (United States)

    Li, Yun-Zhong; Reifenberger, Ronald G.; Andres, Ronald P.

    1990-01-01

    A method is described for forming uniform nanometer sized depressions on the surface of a conducting substrate. A tunneling tip is used to apply tunneling current density sufficient to vaporize a localized area of the substrate surface. The resulting depressions or craters in the substrate surface can be formed in information encoding patterns readable with a scanning tunneling microscope.

  10. Scanning apparatus and method

    International Nuclear Information System (INIS)

    Brunnett, C.J.

    1980-01-01

    A novel method is described for processing the analogue signals from the photomultiplier tubes in a tomographic X-ray scanner. The system produces a series of pulses whose instantaneous frequency depends on the detected intensity of the X-radiation. A timer unit is used to determine the segment scan intervals and also to deduce the average radiation intensity detected during this interval. The overall system is claimed to possess the advantageous properties of low time delay, wide bandwidth and relative low cost. (U.K.)

  11. A new method of CT scanning for the diagnosis of mandibular fractures; A preliminary report: diagnosis of condyle fractures

    Energy Technology Data Exchange (ETDEWEB)

    Tsukagoshi, Taku; Satoh, Kaneshige; Onizuka, Takuya (Showa Univ., Tokyo (Japan). School of Medicine)

    1990-08-01

    The condylar neck of the mandible is one of the most common fracture sites in the facial skeleton. Such a fracture is routinely diagnosed by A-P, lateral oblique, and Towne projection roentgenography or orthopantomography. Despite the combination of these films, fracture of the neck of the mandible is still difficult to diagnose definitely. Therefore, a new CT scanning method was developed for diagnosing fractures of the neck of the condylar mandible. The CT axis is projected along the length of the mandible, extending from the condyle to the symphysis. This projection visualizes both the condyle and the mandibular symphysis in the same plane. The patient is placed in a supine position with the head fully extended. The base line, a line extending from the midpoint of the glenoid fossa to the menton, is determined with a lateral facial cephalogram. CT scanning with a 5 mm window is performed in parallel with and 2 cm anterior to and 2 cm posterior to the base line. When CT scanning was performed in a healthy volunteer, the condition of the condyle and the condylar neck of the mandible was clearly shown, and the view extended from the condyle to the symphysis. For automobile accident patients in whom fracture of the neck of the mandible was associated with fracture of the symphysis, two fractures were found in the same plane. A newly developed CT scanning technique is useful in the diagnosis of fractures of the condylar neck of the mandible and in the identification of fractures at other mandibular sites. It also allows scanning of patients in a supine position, which may aid in managing patients with multiple traumas. (N.K.).

  12. Three-dimensional fabric analysis for anisotropic material using multi-directional scanning line. Application to x-ray CI image

    International Nuclear Information System (INIS)

    Takemura, Takato; Takahashi, Manabu; Oda, Masanobu; Hirai, Hidekazu; Murakoshi, Atsushi; Miura, Makoto

    2007-01-01

    In microscopic analysis, materials are characterized by a three-dimensional (3D) microstructure which is composed of constituent elements such as pores, voids and cracks. A material's mechanical and hydrological properties are strongly dependent on its microstructure. In order to discuss the mechanics of geomaterials on a microstructural level, detailed information on their 3D macrostructure is required. X-ray computed tomography is a powerful non-destructive method for determining the microstructure, however it can be difficult to determine a material's microstructure from the reconstructed 3D image. We successfully evaluated the 3D microstructural anisotropy of porous and fibrous materials using a multi-directional scanning line method that employs straightforward image analysis, and its results were visualized using stereonet projection. (author)

  13. On-line pressure measurement using scanning systems

    International Nuclear Information System (INIS)

    Morss, A.G.; Watson, A.P.

    1978-08-01

    Data collection methods can be improved significantly by using pressure scanning systems in conjunction with transducers for the measurement of pressure distribution in fluid flow rigs. However, the response of pressure transducers to the slight random pressure fluctuations that occur in practice can cause some measurement problems, especially for accurate work. The nature of these pressure fluctuations is examined and suitable analysis techniques are recommended. Results obtained using these techniques are presented. It is concluded that by using the correct techniques pressure transducer systems can be used to measure pressure distributions accurately and are sufficiently sensitive to measure very small systematic effects with great precision. (author)

  14. A report on ultrafast scanning methods of cerebral computed angiotomography, 2

    International Nuclear Information System (INIS)

    Fukui, Keiji; Sadamoto, Kazuhiko; Ohue, Shiro; Nakamura, Hisashi; Yamamoto, Yuji; Asari, Syoji; Kimura, Hideki; Sakaki, Saburo.

    1986-01-01

    Forty milliliters of meglumine iothalamate 282 mg iodine/ml (60 % Conray) were injected into the basilic vein at the rate of 2 ml/second through an 18 G JELCO needle. Just before the completion of the injection, dynamic scanning with table increments was performed. Three to eight scans were taken 16 - 47 seconds after the single-bolus injection of the contrast medium, while the CT number at the circle of Willis was kept high. The three following different scanning techniques were newly developed and investigated as to their clinical application: 1) Overlapping scanning: 10 mm-slice-thickness scans with 5-mm table increments can be useful for the detection of lesions in a relatively limited range, such as unruptured cerebral aneurysms. For this purpose, the overlapping axial CT scans are centered at 25, 30, and 35 mm above the canthomeatal line (CML), and the overlapping modified coronal CT scans are centered at 35, 40, and 45 mm in front of the external auditory meatus (EAM) at an approximately 60 deg angle to the CML from the occipital side. 2) Sequential scanning: 10 mm-slice-thickness scans with 10-mm table increments are applied to the diagnosis of lesions over a wide range, such as brain tumors, AVMs, and isodense chronic subdural hematomas, because this procedure can scan over a wider range with a single injection than can overlapping scanning. Thin-section sequential scanning, such as 2 mm-slice-thickness scans with 2-mm table increments, is useful for minute examinations in order to ascertain the relationship between the cerebral vessels and the surrounding structures. 3) Two-step contrast-enhanced scanning: double overlapping or sequential scannings with a contrast injection at a certain interval can be used to examine the relationship between the tumor and the abnormal vascularity in surrounding areas which have different maximum timings of enhancement. (J.P.N.)

  15. Theoretical analysis of moiré fringe multiplication under a scanning electron microscope

    International Nuclear Information System (INIS)

    Li, Yanjie; Xie, Huimin; Chen, Pengwan; Zhang, Qingming

    2011-01-01

    In this study, theoretical analysis and experimental verification of fringe multiplication under a scanning electron microscope (SEM) are presented. Fringe multiplication can be realized by enhancing the magnification or the number of scanning lines under the SEM. A universal expression of the pitch of moiré fringes is deduced. To apply this method to deformation measurement, the calculation formulas of strain and displacement are derived. Compared to natural moiré, the displacement sensitivity is increased by fringe multiplication while the strain sensitivity may be retained or enhanced depending on the number of scanning lines used. The moiré patterns are formed by the interference of a 2000 lines mm −1 grating with the scanning lines of SEM, and the measured parameters of moiré fringes from experimental results agree well with theoretical analysis

  16. A design of a high speed dual spectrometer by single line scan camera

    Science.gov (United States)

    Palawong, Kunakorn; Meemon, Panomsak

    2018-03-01

    A spectrometer that can capture two orthogonal polarization components of s light beam is demanded for polarization sensitive imaging system. Here, we describe the design and implementation of a high speed spectrometer for simultaneous capturing of two orthogonal polarization components, i.e. vertical and horizontal components, of light beam. The design consists of a polarization beam splitter, two polarization-maintain optical fibers, two collimators, a single line-scan camera, a focusing lens, and a reflection blaze grating. The alignment of two beam paths was designed to be symmetrically incident on the blaze side and reverse blaze side of reflection grating, respectively. The two diffracted beams were passed through the same focusing lens and focused on the single line-scan sensors of a CMOS camera. The two spectra of orthogonal polarization were imaged on 1000 pixels per spectrum. With the proposed setup, the amplitude and shape of the two detected spectra can be controlled by rotating the collimators. The technique for optical alignment of spectrometer will be presented and discussed. The two orthogonal polarization spectra can be simultaneously captured at a speed of 70,000 spectra per second. The high speed dual spectrometer can simultaneously detected two orthogonal polarizations, which is an important component for the development of polarization-sensitive optical coherence tomography. The performance of the spectrometer have been measured and analyzed.

  17. Adaptive method of lines

    CERN Document Server

    Saucez, Ph

    2001-01-01

    The general Method of Lines (MOL) procedure provides a flexible format for the solution of all the major classes of partial differential equations (PDEs) and is particularly well suited to evolutionary, nonlinear wave PDEs. Despite its utility, however, there are relatively few texts that explore it at a more advanced level and reflect the method''s current state of development.Written by distinguished researchers in the field, Adaptive Method of Lines reflects the diversity of techniques and applications related to the MOL. Most of its chapters focus on a particular application but also provide a discussion of underlying philosophy and technique. Particular attention is paid to the concept of both temporal and spatial adaptivity in solving time-dependent PDEs. Many important ideas and methods are introduced, including moving grids and grid refinement, static and dynamic gridding, the equidistribution principle and the concept of a monitor function, the minimization of a functional, and the moving finite elem...

  18. A New, Simple Method for Estimating Pleural Effusion Size on CT Scans

    Science.gov (United States)

    Moy, Matthew P.; Berko, Netanel S.; Godelman, Alla; Jain, Vineet R.; Haramati, Linda B.

    2013-01-01

    Background: There is no standardized system to grade pleural effusion size on CT scans. A validated, systematic grading system would improve communication of findings and may help determine the need for imaging guidance for thoracentesis. Methods: CT scans of 34 patients demonstrating a wide range of pleural effusion sizes were measured with a volume segmentation tool and reviewed for qualitative and simple quantitative features related to size. A classification rule was developed using the features that best predicted size and distinguished among small, moderate, and large effusions. Inter-reader agreement for effusion size was assessed on the CT scans for three groups of physicians (radiology residents, pulmonologists, and cardiothoracic radiologists) before and after implementation of the classification rule. Results: The CT imaging features found to best classify effusions as small, moderate, or large were anteroposterior (AP) quartile and maximum AP depth measured at the midclavicular line. According to the decision rule, first AP-quartile effusions are small, second AP-quartile effusions are moderate, and third or fourth AP-quartile effusions are large. In borderline cases, AP depth is measured with 3-cm and 10-cm thresholds for the upper limit of small and moderate, respectively. Use of the rule improved interobserver agreement from κ = 0.56 to 0.79 for all physicians, 0.59 to 0.73 for radiology residents, 0.54 to 0.76 for pulmonologists, and 0.74 to 0.85 for cardiothoracic radiologists. Conclusions: A simple, two-step decision rule for sizing pleural effusions on CT scans improves interobserver agreement from moderate to substantial levels. PMID:23632863

  19. High-speed X-ray phase tomography with Talbot interferometer and fringe scanning method

    International Nuclear Information System (INIS)

    Kibayashi, Shunsuke; Harasse, Sébastien; Yashiro, Wataru; Momose, Atsushi

    2012-01-01

    High-speed X-ray phase tomography based on the Fourier-transform method has been demonstrated with an X-ray Talbot interferometer using white synchrotron radiation. We report the experimental results of high-speed X-ray phase tomography with fringe-scanning method instead of Fourier-transform method to improve spatial resolution without a considerable increase of scan time. To apply fringe-scanning method to high speed tomography, we tested a scan that is a synchronous combination of one-way continuous movements of the sample rotation and the grating displacement. When this scanning method was combined with X-ray phase tomography, we were able to obtain a scan time of 5 s. A comparison of the image quality derived with the conventional approach and with the proposed approach using the fringe-scanning method showed that the latter had better spatial resolution.

  20. Improvement of chirped pulse contrast using electro-optic birefringence scanning filter method

    International Nuclear Information System (INIS)

    Zeng Shuguang; Wang Xianglin; Wang Qishan; Zhang Bin; Sun Nianchun; Wang Fei

    2013-01-01

    A method using scanning filter to improve the contrast of chirped pulse is proposed, and the principle of this method is analyzed. The scanning filter is compared with the existing pulse-picking technique and nonlinear filtering technique. The scanning filter is a temporal gate that is independent on the intensity of the pulses, but on the instantaneous wavelengths of light. Taking the electro-optic birefringence scanning filter as an example, the application of scanning filter methods is illustrated. Based on numerical simulation and experimental research, it is found that the electro-optic birefringence scanning filter can eliminate a prepulse which is several hundred picoseconds before the main pulse, and the main pulse can maintain a high transmissivity. (authors)

  1. A Two-stage Improvement Method for Robot Based 3D Surface Scanning

    Science.gov (United States)

    He, F. B.; Liang, Y. D.; Wang, R. F.; Lin, Y. S.

    2018-03-01

    As known that the surface of unknown object was difficult to measure or recognize precisely, hence the 3D laser scanning technology was introduced and used properly in surface reconstruction. Usually, the surface scanning speed was slower and the scanning quality would be better, while the speed was faster and the quality would be worse. In this case, the paper presented a new two-stage scanning method in order to pursuit the quality of surface scanning in a faster speed. The first stage was rough scanning to get general point cloud data of object’s surface, and then the second stage was specific scanning to repair missing regions which were determined by chord length discrete method. Meanwhile, a system containing a robotic manipulator and a handy scanner was also developed to implement the two-stage scanning method, and relevant paths were planned according to minimum enclosing ball and regional coverage theories.

  2. In vitro studies of Rickettsia-host cell interactions: Confocal laser scanning microscopy of Rickettsia helvetica-infected eukaryotic cell lines.

    Science.gov (United States)

    Speck, Stephanie; Kern, Tanja; Aistleitner, Karin; Dilcher, Meik; Dobler, Gerhard; Essbauer, Sandra

    2018-02-01

    Rickettsia (R.) helvetica is the most prevalent rickettsia found in Ixodes ricinus ticks in Germany. Several studies reported antibodies against R. helvetica up to 12.5% in humans investigated, however, fulminant clinical cases are rare indicating a rather low pathogenicity compared to other rickettsiae. We investigated growth characteristics of R. helvetica isolate AS819 in two different eukaryotic cell lines with focus on ultra-structural changes of host cells during infection determined by confocal laser scanning microscopy. Further investigations included partially sequencing of rickA, sca4 and sca2 genes, which have been reported to encode proteins involved in cell-to-cell spread and virulence in some rickettsiae. R. helvetica grew constantly but slowly in both cell lines used. Confocal laser scanning microscopy revealed that the dissemination of R. helvetica AS819 in both cell lines was rather mediated by cell break-down and bacterial release than cell-to-cell spread. The cytoskeleton of both investigated eukaryotic cell lines was not altered. R. helvetica possesses rickA, but its expression is not sufficient to promote actin-based motility as demonstrated by confocal laser scanning microscopy. Hypothetical Sca2 and Sca4 proteins were deduced from nucleotide gene sequences but the predicted amino acid sequences were disrupted or truncated compared to other rickettsiae most likely resulting in non-functional proteins. Taken together, these results might give a first hint to the underlying causes of the reduced virulence and pathogenicity of R. helvetica.

  3. Miniature in vivo MEMS-based line-scanned dual-axis confocal microscope for point-of-care pathology

    Science.gov (United States)

    Yin, C.; Glaser, A.K.; Leigh, S. Y.; Chen, Y.; Wei, L.; Pillai, P. C. S.; Rosenberg, M. C.; Abeytunge, S.; Peterson, G.; Glazowski, C.; Sanai, N.; Mandella, M. J.; Rajadhyaksha, M.; Liu, J. T. C.

    2016-01-01

    There is a need for miniature optical-sectioning microscopes to enable in vivo interrogation of tissues as a real-time and noninvasive alternative to gold-standard histopathology. Such devices could have a transformative impact for the early detection of cancer as well as for guiding tumor-resection procedures. Miniature confocal microscopes have been developed by various researchers and corporations to enable optical sectioning of highly scattering tissues, all of which have necessitated various trade-offs in size, speed, depth selectivity, field of view, resolution, image contrast, and sensitivity. In this study, a miniature line-scanned (LS) dual-axis confocal (DAC) microscope, with a 12-mm diameter distal tip, has been developed for clinical point-of-care pathology. The dual-axis architecture has demonstrated an advantage over the conventional single-axis confocal configuration for reducing background noise from out-of-focus and multiply scattered light. The use of line scanning enables fast frame rates (16 frames/sec is demonstrated here, but faster rates are possible), which mitigates motion artifacts of a hand-held device during clinical use. We have developed a method to actively align the illumination and collection beams in a DAC microscope through the use of a pair of rotatable alignment mirrors. Incorporation of a custom objective lens, with a small form factor for in vivo clinical use, enables our device to achieve an optical-sectioning thickness and lateral resolution of 2.0 and 1.1 microns respectively. Validation measurements with reflective targets, as well as in vivo and ex vivo images of tissues, demonstrate the clinical potential of this high-speed optical-sectioning microscopy device. PMID:26977337

  4. Error analysis of motion correction method for laser scanning of moving objects

    Science.gov (United States)

    Goel, S.; Lohani, B.

    2014-05-01

    The limitation of conventional laser scanning methods is that the objects being scanned should be static. The need of scanning moving objects has resulted in the development of new methods capable of generating correct 3D geometry of moving objects. Limited literature is available showing development of very few methods capable of catering to the problem of object motion during scanning. All the existing methods utilize their own models or sensors. Any studies on error modelling or analysis of any of the motion correction methods are found to be lacking in literature. In this paper, we develop the error budget and present the analysis of one such `motion correction' method. This method assumes availability of position and orientation information of the moving object which in general can be obtained by installing a POS system on board or by use of some tracking devices. It then uses this information along with laser scanner data to apply correction to laser data, thus resulting in correct geometry despite the object being mobile during scanning. The major application of this method lie in the shipping industry to scan ships either moving or parked in the sea and to scan other objects like hot air balloons or aerostats. It is to be noted that the other methods of "motion correction" explained in literature can not be applied to scan the objects mentioned here making the chosen method quite unique. This paper presents some interesting insights in to the functioning of "motion correction" method as well as a detailed account of the behavior and variation of the error due to different sensor components alone and in combination with each other. The analysis can be used to obtain insights in to optimal utilization of available components for achieving the best results.

  5. Scanning tunneling microscopy III theory of STM and related scanning probe methods

    CERN Document Server

    Güntherodt, Hans-Joachim

    1993-01-01

    While the first two volumes on Scanning Tunneling Microscopy (STM) and its related scanning probe (SXM) methods have mainly concentrated on intro­ ducing the experimental techniques, as well as their various applications in different research fields, this third volume is exclusively devoted to the theory of STM and related SXM methods. As the experimental techniques including the reproducibility of the experimental results have advanced, more and more theorists have become attracted to focus on issues related to STM and SXM. The increasing effort in the development of theoretical concepts for STM/SXM has led to considerable improvements in understanding the contrast mechanism as well as the experimental conditions necessary to obtain reliable data. Therefore, this third volume on STM/SXM is not written by theorists for theorists, but rather for every scientist who is not satisfied by just obtaining real­ space images of surface structures by STM/SXM. After a brief introduction (Chap. 1), N. D. Lang first co...

  6. Vertical Scan-Conversion for Filling Purposes

    OpenAIRE

    Hersch, R. D.

    1988-01-01

    Conventional scan-conversion algorithms were developed independently of filling algorithms. They cause many problems, when used for filling purposes. However, today's raster printers and plotters require extended use of filling, especially for the generation of typographic characters and graphic line art. A new scan-conversion algorithm, called vertical scan-conversion has been specifically designed to meet the requirements of parity scan line fill algorithms. Vertical scan-conversion ensures...

  7. Measurement of gastric emptying rate in humans. Simplified scanning method

    Energy Technology Data Exchange (ETDEWEB)

    Holt, S.; Colliver, J.; Guram, M.; Neal, C.; Verhulst, S.J.; Taylor, T.V. (Univ. of South Carolina School of Medicine, Columbia (USA))

    1990-11-01

    Simultaneous measurements of the gastric emptying rate of the solid and liquid phase of a dual-isotope-labeled test meal were made using a gamma camera and a simple scintillation detector, similar to that used in a hand-held probe. A simple scanning apparatus, similar to that used in a hand-held scintillation probe, was compared with simultaneous measurements made by a gamma camera in 16 healthy males. A dual-labeled test meal was utilized to measure liquid and solid emptying simultaneously. Anterior and posterior scans were taken at intervals up to 120 min using both a gamma camera and the scintillation probe. Good relative agreement between the methods was obtained both for solid-phase (correlation range 0.92-0.99, mean 0.97) and for liquid-phase data (correlation range 0.93-0.99, mean 0.97). For solid emptying data regression line slopes varied from 0.75 to 1.03 (mean 0.84). Liquid emptying data indicated that slopes ranged from 0.71 to 1.06 (mean 0.87). These results suggested that an estimate of the gamma measurement could be obtained by multiplying the scintillation measurement by a factor of 0.84 for the solid phase and 0.87 for the liquid phase. Correlation between repeat studies was 0.97 and 0.96 for solids and liquids, respectively. The application of a hand-held probe technique provides a noninvasive and inexpensive method for accurately assessing solid- and liquid-phase gastric emptying from the human stomach that correlates well with the use of a gamma camera, within the range of gastric emptying rate in the normal individuals in this study.

  8. Measurement of gastric emptying rate in humans. Simplified scanning method

    International Nuclear Information System (INIS)

    Holt, S.; Colliver, J.; Guram, M.; Neal, C.; Verhulst, S.J.; Taylor, T.V.

    1990-01-01

    Simultaneous measurements of the gastric emptying rate of the solid and liquid phase of a dual-isotope-labeled test meal were made using a gamma camera and a simple scintillation detector, similar to that used in a hand-held probe. A simple scanning apparatus, similar to that used in a hand-held scintillation probe, was compared with simultaneous measurements made by a gamma camera in 16 healthy males. A dual-labeled test meal was utilized to measure liquid and solid emptying simultaneously. Anterior and posterior scans were taken at intervals up to 120 min using both a gamma camera and the scintillation probe. Good relative agreement between the methods was obtained both for solid-phase (correlation range 0.92-0.99, mean 0.97) and for liquid-phase data (correlation range 0.93-0.99, mean 0.97). For solid emptying data regression line slopes varied from 0.75 to 1.03 (mean 0.84). Liquid emptying data indicated that slopes ranged from 0.71 to 1.06 (mean 0.87). These results suggested that an estimate of the gamma measurement could be obtained by multiplying the scintillation measurement by a factor of 0.84 for the solid phase and 0.87 for the liquid phase. Correlation between repeat studies was 0.97 and 0.96 for solids and liquids, respectively. The application of a hand-held probe technique provides a noninvasive and inexpensive method for accurately assessing solid- and liquid-phase gastric emptying from the human stomach that correlates well with the use of a gamma camera, within the range of gastric emptying rate in the normal individuals in this study

  9. High Resolution Trichromatic Road Surface Scanning with a Line Scan Camera and Light Emitting Diode Lighting for Road-Kill Detection

    Directory of Open Access Journals (Sweden)

    Gil Lopes

    2016-04-01

    Full Text Available This paper presents a road surface scanning system that operates with a trichromatic line scan camera with light emitting diode (LED lighting achieving road surface resolution under a millimeter. It was part of a project named Roadkills—Intelligent systems for surveying mortality of amphibians in Portuguese roads, sponsored by the Portuguese Science and Technology Foundation. A trailer was developed in order to accommodate the complete system with standalone power generation, computer image capture and recording, controlled lighting to operate day or night without disturbance, incremental encoder with 5000 pulses per revolution attached to one of the trailer wheels, under a meter Global Positioning System (GPS localization, easy to utilize with any vehicle with a trailer towing system and focused on a complete low cost solution. The paper describes the system architecture of the developed prototype, its calibration procedure, the performed experimentation and some obtained results, along with a discussion and comparison with existing systems. Sustained operating trailer speeds of up to 30 km/h are achievable without loss of quality at 4096 pixels’ image width (1 m width of road surface with 250 µm/pixel resolution. Higher scanning speeds can be achieved by lowering the image resolution (120 km/h with 1 mm/pixel. Computer vision algorithms are under development to operate on the captured images in order to automatically detect road-kills of amphibians.

  10. High Resolution Trichromatic Road Surface Scanning with a Line Scan Camera and Light Emitting Diode Lighting for Road-Kill Detection.

    Science.gov (United States)

    Lopes, Gil; Ribeiro, A Fernando; Sillero, Neftalí; Gonçalves-Seco, Luís; Silva, Cristiano; Franch, Marc; Trigueiros, Paulo

    2016-04-19

    This paper presents a road surface scanning system that operates with a trichromatic line scan camera with light emitting diode (LED) lighting achieving road surface resolution under a millimeter. It was part of a project named Roadkills-Intelligent systems for surveying mortality of amphibians in Portuguese roads, sponsored by the Portuguese Science and Technology Foundation. A trailer was developed in order to accommodate the complete system with standalone power generation, computer image capture and recording, controlled lighting to operate day or night without disturbance, incremental encoder with 5000 pulses per revolution attached to one of the trailer wheels, under a meter Global Positioning System (GPS) localization, easy to utilize with any vehicle with a trailer towing system and focused on a complete low cost solution. The paper describes the system architecture of the developed prototype, its calibration procedure, the performed experimentation and some obtained results, along with a discussion and comparison with existing systems. Sustained operating trailer speeds of up to 30 km/h are achievable without loss of quality at 4096 pixels' image width (1 m width of road surface) with 250 µm/pixel resolution. Higher scanning speeds can be achieved by lowering the image resolution (120 km/h with 1 mm/pixel). Computer vision algorithms are under development to operate on the captured images in order to automatically detect road-kills of amphibians.

  11. Can anterior junction line be used to distinguish right middle from right upper lobe on CT scan?

    International Nuclear Information System (INIS)

    Cha, Jae Heon; Suh, Ja Young; Jo, Jin Man; Jeong, Hyeon Jo; Cheon, Mal Soon; Lee, Chul Woo; Yoon, Soon Min

    1997-01-01

    To evalvate the usefulness on a CT chest scan, of the anterior junction line as an anatomical landmark to distinguish the right middle and the right upper lobe We found that the anterior junction line has a constant anatomical relationship with the right upper and middle lobe, and with this in mind, analysed connvcntional CT films of 86 patients with normal lung(group A) and 30 with architectural distortion(group B). On a series of slices, we compared the location of slice 1 with that of slice 2(slice 1:the slice which includes the lowest portion of the anterior junction line, slice 2:the initial slice, in which the right middle lobe occupies the whole of the lung anterior to the right major fissure). In group A(n=86), the right upper lobe, as seen in the anteromedial zone of slice 1, was present in 83 cases(96.5%). The right upper lobe on slice 1 was absent in two cases(2.3%) in which a minor fissure was almost completely abent. In group B(n=30), the right upper lobe on slice 1 was absent in 19 cases(63.3%). We suggest that on a CT chest scan, the anterior junction line can be used as an anatomical landmark in the differentiation of the right middle from the right upper lobe, and as an indicator of the presence of architectural distortion

  12. SU-F-T-138: Commissioning and Evaluating Dose Computation Models for a Dedicated Proton Line Scanning Beam Nozzle in Eclipse Treatment Planning System

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, P [Chang Gung Memorial Hospital, Proton and Radiation Therapy Center, Tao-yuan, Taiwan (China); Chang Gung University, Taoyuan, Taiwan (China); Huang, H; Cai, S; Chen, H; Wu, S; Wu, T; Lee, S; Yeh, C; Wu, T [Chang Gung Memorial Hospital, Proton and Radiation Therapy Center, Tao-yuan, Taiwan (China); Lee, C [Chang Gung University, Taoyuan, Taiwan (China)

    2016-06-15

    Purpose: In this study, we present an effective method to derive low dose envelope of the proton in-air spot fluence at beam positions other than the isocenter to reduce amount of measurements required for planning commission. Also, we demonstrate commissioning and validation results of this method to the Eclipse treatment planning system (version 13.0.29) for a Sumitomo dedicated proton line scanning beam nozzle. Methods: The in-air spot profiles at five beam-axis positions (±200, ±100 and 0 mm) were obtained in trigger mode using a MP3 Water tank (PTW-Freiburg) and a pinpoint ionization chamber (model 31014, PTW-Freiburg). Low dose envelope (below 1% of the center dose) of the spot profile at isocenter was obtained by repeated point measurements to minimize dosimetry uncertainty. The double Gaussian (DG) model was used to fit and obtain optimal σ1, σ2 and their corresponding weightings through our in-house MATLAB (Mathworks) program. σ1, σ2 were assumed to expand linearly along the beam axis from a virtual source position calculated by back projecting fitted sigmas from the single Gaussian (SG) model. Absolute doses in water were validated using an Advanced Markus chamber at the depth of 2cm with Pristine Peak (BP) R90d ranging from 5–32 cm for 10×10 cm2 scanned fields. The field size factors were verified with square fields from 2 to 20 cm at 2cm and before BP depth. Results: The absolute dose outputs were found to be within ±3%. For field size factor, the agreement between calculated and measurement were within ±2% at 2cm and ±3% before BP, except for the field size below 2×2 cm2. Conclusion: The double Gaussian model was found to be sufficient for characterizing the Sumitomo dedicated proton line scanning nozzle. With our effective double Gaussian fitting method, we are able to save significant proton beam time with acceptable output accuracy.

  13. 26 CFR 1.167(b)-1 - Straight line method.

    Science.gov (United States)

    2010-04-01

    ... 26 Internal Revenue 2 2010-04-01 2010-04-01 false Straight line method. 1.167(b)-1 Section 1.167(b... Straight line method. (a) In general. Under the straight line method the cost or other basis of the... may be reduced to a percentage or fraction. The straight line method may be used in determining a...

  14. Method and apparatus for scanning x-ray tomography

    International Nuclear Information System (INIS)

    Albert, R.D.

    1988-01-01

    In a method of producing a tomographic image of a subject that includes the steps of generating X-rays at a moving origin point by directing a charged particle beam to a target surface, deflecting the charged particle beam to travel the origin point through a predetermined raster scan at the surface, detecting variations of X-ray intensity during the course of the raster scan at spaced apart detection points situated at the opposite side of the subject from the origin point, generating a first sequence of data values that is indicative of variations of X-ray intensity at a first of the detection points at successive times during the course of the raster scan and generating at least a second sequence of data values that is indicative of variations of X-ray intensity at a second of the detection points at successive times during the course of the same raster scan, the improvement is described comprising: combining successive individual data values of the first sequence that are generated by X-rays from successive particular locations in the raster scan with at least individual data values of the second sequence that are generated by X-rays from predetermined successive different locations in the same raster scan in order to produce a composite sequence of data values, and producing an image corresponding to at least a portion of the raster scan which depicts variations of the magnitude of successive data values of the composite sequence

  15. Analysis of the sweeped actuator line method

    OpenAIRE

    Nathan Jörn; Masson Christian; Dufresne Louis; Churchfield Matthew

    2015-01-01

    The actuator line method made it possible to describe the near wake of a wind turbine more accurately than with the actuator disk method. Whereas the actuator line generates the helicoidal vortex system shed from the tip blades, the actuator disk method sheds a vortex sheet from the edge of the rotor plane. But with the actuator line come also temporal and spatial constraints, such as the need for a much smaller time step than with actuator disk. While the latter one only has to obey the Cour...

  16. Comparison of demons deformable registration-based methods for texture analysis of serial thoracic CT scans

    Science.gov (United States)

    Cunliffe, Alexandra R.; Al-Hallaq, Hania A.; Fei, Xianhan M.; Tuohy, Rachel E.; Armato, Samuel G.

    2013-02-01

    To determine how 19 image texture features may be altered by three image registration methods, "normal" baseline and follow-up computed tomography (CT) scans from 27 patients were analyzed. Nineteen texture feature values were calculated in over 1,000 32x32-pixel regions of interest (ROIs) randomly placed in each baseline scan. All three methods used demons registration to map baseline scan ROIs to anatomically matched locations in the corresponding transformed follow-up scan. For the first method, the follow-up scan transformation was subsampled to achieve a voxel size identical to that of the baseline scan. For the second method, the follow-up scan was transformed through affine registration to achieve global alignment with the baseline scan. For the third method, the follow-up scan was directly deformed to the baseline scan using demons deformable registration. Feature values in matched ROIs were compared using Bland- Altman 95% limits of agreement. For each feature, the range spanned by the 95% limits was normalized to the mean feature value to obtain the normalized range of agreement, nRoA. Wilcoxon signed-rank tests were used to compare nRoA values across features for the three methods. Significance for individual tests was adjusted using the Bonferroni method. nRoA was significantly smaller for affine-registered scans than for the resampled scans (p=0.003), indicating lower feature value variability between baseline and follow-up scan ROIs using this method. For both of these methods, however, nRoA was significantly higher than when feature values were calculated directly on demons-deformed followup scans (p<0.001). Across features and methods, nRoA values remained below 26%.

  17. Change Analysis in Structural Laser Scanning Point Clouds: The Baseline Method.

    Science.gov (United States)

    Shen, Yueqian; Lindenbergh, Roderik; Wang, Jinhu

    2016-12-24

    A method is introduced for detecting changes from point clouds that avoids registration. For many applications, changes are detected between two scans of the same scene obtained at different times. Traditionally, these scans are aligned to a common coordinate system having the disadvantage that this registration step introduces additional errors. In addition, registration requires stable targets or features. To avoid these issues, we propose a change detection method based on so-called baselines. Baselines connect feature points within one scan. To analyze changes, baselines connecting corresponding points in two scans are compared. As feature points either targets or virtual points corresponding to some reconstructable feature in the scene are used. The new method is implemented on two scans sampling a masonry laboratory building before and after seismic testing, that resulted in damages in the order of several centimeters. The centres of the bricks of the laboratory building are automatically extracted to serve as virtual points. Baselines connecting virtual points and/or target points are extracted and compared with respect to a suitable structural coordinate system. Changes detected from the baseline analysis are compared to a traditional cloud to cloud change analysis demonstrating the potential of the new method for structural analysis.

  18. Change Analysis in Structural Laser Scanning Point Clouds: The Baseline Method

    Directory of Open Access Journals (Sweden)

    Yueqian Shen

    2016-12-01

    Full Text Available A method is introduced for detecting changes from point clouds that avoids registration. For many applications, changes are detected between two scans of the same scene obtained at different times. Traditionally, these scans are aligned to a common coordinate system having the disadvantage that this registration step introduces additional errors. In addition, registration requires stable targets or features. To avoid these issues, we propose a change detection method based on so-called baselines. Baselines connect feature points within one scan. To analyze changes, baselines connecting corresponding points in two scans are compared. As feature points either targets or virtual points corresponding to some reconstructable feature in the scene are used. The new method is implemented on two scans sampling a masonry laboratory building before and after seismic testing, that resulted in damages in the order of several centimeters. The centres of the bricks of the laboratory building are automatically extracted to serve as virtual points. Baselines connecting virtual points and/or target points are extracted and compared with respect to a suitable structural coordinate system. Changes detected from the baseline analysis are compared to a traditional cloud to cloud change analysis demonstrating the potential of the new method for structural analysis.

  19. Automatic localization of the prostate for on-line or off-line image-guided radiotherapy

    International Nuclear Information System (INIS)

    Smitsmans, Monique H.P.; Wolthaus, Jochem W.H.; Artignan, Xavier; Bois, Josien de; Jaffray, David A.; Lebesque, Joos V.; Herk, Marcel van

    2004-01-01

    Purpose: With higher radiation dose, higher cure rates have been reported in prostate cancer patients. The extra margin needed to account for prostate motion, however, limits the level of dose escalation, because of the presence of surrounding organs at risk. Knowledge of the precise position of the prostate would allow significant reduction of the treatment field. Better localization of the prostate at the time of treatment is therefore needed, e.g. using a cone-beam computed tomography (CT) system integrated with the linear accelerator. Localization of the prostate relies upon manual delineation of contours in successive axial CT slices or interactive alignment and is fairly time-consuming. A faster method is required for on-line or off-line image-guided radiotherapy, because of prostate motion, for patient throughput and efficiency. Therefore, we developed an automatic method to localize the prostate, based on 3D gray value registration. Methods and materials: A study was performed on conventional repeat CT scans of 19 prostate cancer patients to develop the methodology to localize the prostate. For each patient, 8-13 repeat CT scans were made during the course of treatment. First, the planning CT scan and the repeat CT scan were registered onto the rigid bony structures. Then, the delineated prostate in the planning CT scan was enlarged by an optimum margin of 5 mm to define a region of interest in the planning CT scan that contained enough gray value information for registration. Subsequently, this region was automatically registered to a repeat CT scan using 3D gray value registration to localize the prostate. The performance of automatic prostate localization was compared to prostate localization using contours. Therefore, a reference set was generated by registering the delineated contours of the prostates in all scans of all patients. Gray value registrations that showed large differences with respect to contour registrations were detected with a χ 2

  20. A storage and display method for radioisotope imaging using scan conversion memory

    International Nuclear Information System (INIS)

    Takizawa, Masaomi; Kobayashi, Toshio; Nakanishi, Fumiko; Suzuki, Shigeo; Miyabayashi, Hiroyasu

    1975-01-01

    The scan conversion memory (SCM) has been applied to a method for the storage and display of radioisotope images. Scan data were fed into SCM as pulse signals with X and Y axis from the scinti-scanner or the scinti-camera. The electric charge on the SCM target is directly proportional to the pulse density. A TV display was executed immediately after the recording of a radioisotope image. If necessary, a seven additive color display to the image density could be obtained by a simple color slicer, and the image could be hard-copied by a video hard-copy printer. Characteristics of the SCM were experimentally clarified as follows: the practical resolution was 700 line/TV; ten levels gray scale were discriminated on the video monitor, the uniformity, measured by an oscilloscope was less than 20%, and dead time of the pulse interval at full scale signal was 5 μ sec. In their representation, the SCM scintigrams were observed as closely resembling conventional film scintigrams. Superimposed imaging of an X-ray picture and a radioisotope image can be realized by using the SCM, for an increase in anatomical localization on reading images. The SCM scintigram can be applied rapidly and can be the viewer of radioisotope imaging. (auth.)

  1. A Rapid Coordinate Transformation Method Applied in Industrial Robot Calibration Based on Characteristic Line Coincidence

    Directory of Open Access Journals (Sweden)

    Bailing Liu

    2016-02-01

    Full Text Available Coordinate transformation plays an indispensable role in industrial measurements, including photogrammetry, geodesy, laser 3-D measurement and robotics. The widely applied methods of coordinate transformation are generally based on solving the equations of point clouds. Despite the high accuracy, this might result in no solution due to the use of ill conditioned matrices. In this paper, a novel coordinate transformation method is proposed, not based on the equation solution but based on the geometric transformation. We construct characteristic lines to represent the coordinate systems. According to the space geometry relation, the characteristic line scan is made to coincide by a series of rotations and translations. The transformation matrix can be obtained using matrix transformation theory. Experiments are designed to compare the proposed method with other methods. The results show that the proposed method has the same high accuracy, but the operation is more convenient and flexible. A multi-sensor combined measurement system is also presented to improve the position accuracy of a robot with the calibration of the robot kinematic parameters. Experimental verification shows that the position accuracy of robot manipulator is improved by 45.8% with the proposed method and robot calibration.

  2. A Rapid Coordinate Transformation Method Applied in Industrial Robot Calibration Based on Characteristic Line Coincidence

    Science.gov (United States)

    Liu, Bailing; Zhang, Fumin; Qu, Xinghua; Shi, Xiaojia

    2016-01-01

    Coordinate transformation plays an indispensable role in industrial measurements, including photogrammetry, geodesy, laser 3-D measurement and robotics. The widely applied methods of coordinate transformation are generally based on solving the equations of point clouds. Despite the high accuracy, this might result in no solution due to the use of ill conditioned matrices. In this paper, a novel coordinate transformation method is proposed, not based on the equation solution but based on the geometric transformation. We construct characteristic lines to represent the coordinate systems. According to the space geometry relation, the characteristic line scan is made to coincide by a series of rotations and translations. The transformation matrix can be obtained using matrix transformation theory. Experiments are designed to compare the proposed method with other methods. The results show that the proposed method has the same high accuracy, but the operation is more convenient and flexible. A multi-sensor combined measurement system is also presented to improve the position accuracy of a robot with the calibration of the robot kinematic parameters. Experimental verification shows that the position accuracy of robot manipulator is improved by 45.8% with the proposed method and robot calibration. PMID:26901203

  3. Method for Surface Scanning in Medical Imaging and Related Apparatus

    DEFF Research Database (Denmark)

    2015-01-01

    A method and apparatus for surface scanning in medical imaging is provided. The surface scanning apparatus comprises an image source, a first optical fiber bundle comprising first optical fibers having proximal ends and distal ends, and a first optical coupler for coupling an image from the image...

  4. Performance of analytical methods for tomographic gamma scanning

    International Nuclear Information System (INIS)

    Prettyman, T.H.; Mercer, D.J.

    1997-01-01

    The use of gamma-ray computerized tomography for nondestructive assay of radioactive materials has led to the development of specialized analytical methods. Over the past few years, Los Alamos has developed and implemented a computer code, called ARC-TGS, for the analysis of data obtained by tomographic gamma scanning (TGS). ARC-TGS reduces TGS transmission and emission tomographic data, providing the user with images of the sample contents, the activity or mass of selected radionuclides, and an estimate of the uncertainty in the measured quantities. The results provided by ARC-TGS can be corrected for self-attenuation when the isotope of interest emits more than one gamma-ray. In addition, ARC-TGS provides information needed to estimate TGS quantification limits and to estimate the scan time needed to screen for small amounts of radioactivity. In this report, an overview of the analytical methods used by ARC-TGS is presented along with an assessment of the performance of these methods for TGS

  5. X-CT imaging method for large objects using double offset scan mode

    International Nuclear Information System (INIS)

    Fu Jian; Lu Hongnian; Li Bing; Zhang Lei; Sun Jingjing

    2007-01-01

    In X-ray computed tomography (X-CT) inspection, rotate-only scanner is commonly used because this configuration offers the highest imaging speed and best utilization of X-ray dose. But it requires that the imaging region of the scanned object must fit within the X-ray beam. Another configuration, transverse-rotate scanner, has a bigger field of view, but it is much more time consuming. In this paper, a two-dimensional X-CT imaging method for large objects is proposed to overcome the existing disadvantages. The scan principle of this method has been described and the reconstruction algorithm has been deduced. The results of the computer simulation and the experiments show the validity of the new method. Analysis shows that the scan field of view of this method is 1.8 times larger than that of rotate-only X-CT. The scan speed of this method is also much quicker than transverse-rotate X-CT

  6. Sample preparation method for scanning force microscopy

    CERN Document Server

    Jankov, I R; Szente, R N; Carreno, M N P; Swart, J W; Landers, R

    2001-01-01

    We present a method of sample preparation for studies of ion implantation on metal surfaces. The method, employing a mechanical mask, is specially adapted for samples analysed by Scanning Force Microscopy. It was successfully tested on polycrystalline copper substrates implanted with phosphorus ions at an acceleration voltage of 39 keV. The changes of the electrical properties of the surface were measured by Kelvin Probe Force Microscopy and the surface composition was analysed by Auger Electron Spectroscopy.

  7. Full cycle rapid scan EPR deconvolution algorithm.

    Science.gov (United States)

    Tseytlin, Mark

    2017-08-01

    Rapid scan electron paramagnetic resonance (RS EPR) is a continuous-wave (CW) method that combines narrowband excitation and broadband detection. Sinusoidal magnetic field scans that span the entire EPR spectrum cause electron spin excitations twice during the scan period. Periodic transient RS signals are digitized and time-averaged. Deconvolution of absorption spectrum from the measured full-cycle signal is an ill-posed problem that does not have a stable solution because the magnetic field passes the same EPR line twice per sinusoidal scan during up- and down-field passages. As a result, RS signals consist of two contributions that need to be separated and postprocessed individually. Deconvolution of either of the contributions is a well-posed problem that has a stable solution. The current version of the RS EPR algorithm solves the separation problem by cutting the full-scan signal into two half-period pieces. This imposes a constraint on the experiment; the EPR signal must completely decay by the end of each half-scan in order to not be truncated. The constraint limits the maximum scan frequency and, therefore, the RS signal-to-noise gain. Faster scans permit the use of higher excitation powers without saturating the spin system, translating into a higher EPR sensitivity. A stable, full-scan algorithm is described in this paper that does not require truncation of the periodic response. This algorithm utilizes the additive property of linear systems: the response to a sum of two inputs is equal the sum of responses to each of the inputs separately. Based on this property, the mathematical model for CW RS EPR can be replaced by that of a sum of two independent full-cycle pulsed field-modulated experiments. In each of these experiments, the excitation power equals to zero during either up- or down-field scan. The full-cycle algorithm permits approaching the upper theoretical scan frequency limit; the transient spin system response must decay within the scan

  8. The relative biological effectiveness for carbon and oxygen ion beams using the raster-scanning technique in hepatocellular carcinoma cell lines.

    Directory of Open Access Journals (Sweden)

    Daniel Habermehl

    Full Text Available BACKGROUND: Aim of this study was to evaluate the relative biological effectiveness (RBE of carbon (12C and oxygen ion (16O-irradiation applied in the raster-scanning technique at the Heidelberg Ion beam Therapy center (HIT based on clonogenic survival in hepatocellular carcinoma cell lines compared to photon irradiation. METHODS: Four human HCC lines Hep3B, PLC, HepG2 and HUH7 were irradiated with photons, 12C and 16O using a customized experimental setting at HIT for in-vitro trials. Cells were irradiated with increasing physical photon single doses of 0, 2, 4 and 6 Gy and heavy ion-single doses of 0, 0.125, 0.5, 1, 2, 3 Gy (12C and 16O. SOBP-penetration depth and extension was 35 mm +/-4 mm and 36 mm +/-5 mm for carbon ions and oxygen ions respectively. Mean energy level and mean linear energy transfer (LET were 130 MeV/u and 112 keV/um for 12C, and 154 MeV/u and 146 keV/um for 16O. Clonogenic survival was computated and relative biological effectiveness (RBE values were defined. RESULTS: For all cell lines and both particle modalities α- and β-values were determined. As expected, α-values were significantly higher for 12C and 16O than for photons, reflecting a steeper decline of the initial slope of the survival curves for high-LET beams. RBE-values were in the range of 2.1-3.3 and 1.9-3.1 for 12C and 16O, respectively. CONCLUSION: Both irradiation with 12C and 16O using the raster-scanning technique leads to an enhanced RBE in HCC cell lines. No relevant differences between achieved RBE-values for 12C and 16O were found. Results of this work will further influence biological-adapted treatment planning for HCC patients that will undergo particle therapy with 12C or 16O.

  9. SU-C-207A-06: On-Line Beam Range Verification with Multiple Scanning Particle Beams: Initial Feasibility Study with Simulations

    Energy Technology Data Exchange (ETDEWEB)

    Zhong, Y; Sun, X; Lu, W; Jia, X; Wang, J; Shao, Y [The University of Texas Southwestern Medical Ctr., Dallas, TX (United States)

    2016-06-15

    Purpose: To investigate the feasibility and requirement for intra-fraction on-line multiple scanning particle beam range verifications (BRVs) with in-situ PET imaging, which is beyond the current single-beam BRV with extra factors that will affect the BR measurement accuracy, such as beam diameter, separation between beams, and different image counts at different BRV positions. Methods: We simulated a 110-MeV proton beam with 5-mm diameter irradiating a uniform PMMA phantom by GATE simulation, which generated nuclear interaction-induced positrons. In this preliminary study, we simply duplicated these positrons and placed them next to the initial protons to approximately mimic the two spatially separated positron distributions produced by two beams parallel to each other but with different beam ranges. These positrons were then imaged by a PET (∼2-mm resolution, 10% sensitivity, 320×320×128 mm^3 FOV) with different acquisition times. We calculated the positron activity ranges (ARs) from reconstructed PET images and compared them with the corresponding ARs of original positron distributions. Results: Without further image data processing and correction, the preliminary study show the errors between the measured and original ARs varied from 0.2 mm to 2.3 mm as center-to-center separations and range differences were in the range of 8–12 mm and 2–8 mm respectively, indicating the accuracy of AR measurement strongly depends on the beam separations and range differences. In addition, it is feasible to achieve ≤ 1.0-mm accuracy for both beams with 1-min PET acquisition and 12 mm beam separation. Conclusion: This study shows that the overlap between the positron distributions from multiple scanning beams can significantly impact the accuracy of BRVs of distributed particle beams and need to be further addressed beyond the established method of single-beam BRV, but it also indicates the feasibility to achieve accurate on-line multi-beam BRV with further improved

  10. A new mathematical formulation of the line-by-line method in case of weak line overlapping

    Science.gov (United States)

    Ishov, Alexander G.; Krymova, Natalie V.

    1994-01-01

    A rigorous mathematical proof is presented for multiline representation on the equivalent width of a molecular band which consists in the general case of n overlapping spectral lines. The multiline representation includes a principal term and terms of minor significance. The principal term is the equivalent width of the molecular band consisting of the same n nonoverlapping spectral lines. The terms of minor significance take into consideration the overlapping of two, three and more spectral lines. They are small in case of the weak overlapping of spectral lines in the molecular band. The multiline representation can be easily generalized for optically inhomogeneous gas media and holds true for combinations of molecular bands. If the band lines overlap weakly the standard formulation of line-by-line method becomes too labor-consuming. In this case the multiline representation permits line-by-line calculations to be performed more effectively. Other useful properties of the multiline representation are pointed out.

  11. CORRECTION OF FAULTY LINES IN MUSCLE MODEL, TO BE USED IN 3D BUILDING NETWORK CONSTRUCTION

    Directory of Open Access Journals (Sweden)

    I. R. Karas

    2012-07-01

    Full Text Available This paper describes the usage of MUSCLE (Multidirectional Scanning for Line Extraction Model for automatic generation of 3D networks in CityGML format (from raster floor plans. MUSCLE (Multidirectional Scanning for Line Extraction Model is a conversion method which was developed to vectorize the straight lines through the raster images including floor plans, maps for GIS, architectural drawings, and machine plans. The model allows user to define specific criteria which are crucial for acquiring the vectorization process. Unlike traditional vectorization process, this model generates straight lines based on a line thinning algorithm, without performing line following-chain coding and vector reduction stages. In this method the nearly vertical lines were obtained by scanning the images horizontally, while the nearly horizontal lines were obtained by scanning the images vertically. In a case where two or more consecutive lines are nearly horizontal or nearly vertical, raster data become unmanageable and the process generates wrongly vectorized lines. In this situation, to obtain the precise lines, the image with the wrongly vectorized lines is diagonally scanned. By using MUSCLE model, the network models are topologically structured in CityGML format. After the generation process, it is possible to perform 3D network analysis based on these models. Then, by using the software that was designed based on the generated models, a geodatabase of the models could be established. This paper presents the correction application in MUSCLE and explains 3D network construction in detail.

  12. Nanometrology using a through-focus scanning optical microscopy method

    International Nuclear Information System (INIS)

    Attota, Ravikiran; Silver, Richard

    2011-01-01

    We present an initial review of a novel through-focus scanning optical microscopy (TSOM pronounced as 'tee-som') imaging method that produces nanometer-dimensional measurement sensitivity using a conventional bright-field optical microscope. In the TSOM method a target is scanned through the focus of an optical microscope, acquiring conventional optical images at different focal positions. The TSOM images are constructed using the through-focus optical images. A TSOM image is unique under given experimental conditions and is sensitive to changes in the dimensions of a target in a distinct way. We use this characteristic for nanoscale-dimensional metrology. This technique can be used to identify the dimension which is changing between two nanosized targets and to determine the dimensions using a library-matching method. This methodology has potential utility for a wide range of target geometries and application areas, including nanometrology, nanomanufacturing, defect analysis, inspection, process control and biotechnology

  13. Two methods for isolating the lung area of a CT scan for density information

    International Nuclear Information System (INIS)

    Hedlund, L.W.; Anderson, R.F.; Goulding, P.L.; Beck, J.W.; Effmann, E.L.; Putman, C.E.

    1982-01-01

    Extracting density information from irregularly shaped tissue areas of CT scans requires automated methods when many scans are involved. We describe two computer methods that automatically isolate the lung area of a CT scan. Each starts from a single, operator specified point in the lung. The first method follows the steep density gradient boundary between lung and adjacent tissues; this tracking method is useful for estimating the overall density and total area of lung in a scan because all pixels within the lung area are available for statistical sampling. The second method finds all contiguous pixels of lung that are within the CT number range of air to water and are not a part of strong density gradient edges; this method is useful for estimating density and area of the lung parenchyma. Structures within the lung area that are surrounded by strong density gradient edges, such as large blood vessels, airways and nodules, are excluded from the lung sample while lung areas with diffuse borders, such as an area of mild or moderate edema, are retained. Both methods were tested on scans from an animal model of pulmonary edema and were found to be effective in isolating normal and diseased lungs. These methods are also suitable for isolating other organ areas of CT scans that are bounded by density gradient edges

  14. High-resolution line-scan analysis of resin-embedded sediments using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS)

    NARCIS (Netherlands)

    Hennekam, R.; Jilbert, T.; de Lange, G.J.; Reichart, G.J.

    2015-01-01

    Laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) line-scanning is a promising technique for producing high-resolution (µm-scale) geochemical records on resin-embedded sediments. However, this approach has not yet been thoroughly tested on sediment samples of known elemental

  15. Numerical methods for two-phase flow with contact lines

    Energy Technology Data Exchange (ETDEWEB)

    Walker, Clauido

    2012-07-01

    This thesis focuses on numerical methods for two-phase flows, and especially flows with a moving contact line. Moving contact lines occur where the interface between two fluids is in contact with a solid wall. At the location where both fluids and the wall meet, the common continuum descriptions for fluids are not longer valid, since the dynamics around such a contact line are governed by interactions at the molecular level. Therefore the standard numerical continuum models have to be adjusted to handle moving contact lines. In the main part of the thesis a method to manipulate the position and the velocity of a contact line in a two-phase solver, is described. The Navier-Stokes equations are discretized using an explicit finite difference method on a staggered grid. The position of the interface is tracked with the level set method and the discontinuities at the interface are treated in a sharp manner with the ghost fluid method. The contact line is tracked explicitly and its dynamics can be described by an arbitrary function. The key part of the procedure is to enforce a coupling between the contact line and the Navier-Stokes equations as well as the level set method. Results for different contact line models are presented and it is demonstrated that they are in agreement with analytical solutions or results reported in the literature.The presented Navier-Stokes solver is applied as a part in a multiscale method to simulate capillary driven flows. A relation between the contact angle and the contact line velocity is computed by a phase field model resolving the micro scale dynamics in the region around the contact line. The relation of the microscale model is then used to prescribe the dynamics of the contact line in the macro scale solver. This approach allows to exploit the scale separation between the contact line dynamics and the bulk flow. Therefore coarser meshes can be applied for the macro scale flow solver compared to global phase field simulations

  16. Speeding up the Raster Scanning Methods used in theX-Ray Fluorescence Imaging of the Ancient Greek Text of Archimedes

    Energy Technology Data Exchange (ETDEWEB)

    Turner, Manisha; /Norfolk State U.

    2006-08-24

    Progress has been made at the Stanford Linear Accelerator Center (SLAC) toward deciphering the remaining 10-20% of ancient Greek text contained in the Archimedes palimpsest. The text is known to contain valuable works by the mathematician, including the ''Method of Mechanical Theorems, the Equilibrium of Planes, On Floating Bodies'', and several diagrams as well. The only surviving copy of the text was recycled into a prayer book in the Middle Ages. The ink used to write on the goat skin parchment is partly composed of iron, which is visible by x-ray radiation. To image the palimpsest pages, the parchment is framed and placed in a stage that moves according to the raster method. When an x-ray beam strikes the parchment, the iron in the ink is detected by a germanium detector. The resulting signal is converted to a gray-scale image on the imaging program, Rasplot. It is extremely important that each line of data is perfectly aligned with the line that came before it because the image is scanned in two directions. The objectives of this experiment were to determine the best parameters for producing well-aligned images and to reduce the scanning time. Imaging half a page of parchment during previous beam time for this project was achieved in thirty hours. Equations were produced to evaluate count time, shutter time, and the number of pixels in this experiment. On Beamline 6-2 at the Stanford Synchrotron Radiation Laboratory (SSRL), actual scanning time was reduced by one fourth. The remaining pages were successfully imaged and sent to ancient Greek experts for translation.

  17. Speeding up the Raster Scanning Methods used in the X-Ray Fluorescence Imaging of the Ancient Greek Text of Archimedes

    International Nuclear Information System (INIS)

    Turner, Manisha; Norfolk State U.

    2006-01-01

    Progress has been made at the Stanford Linear Accelerator Center (SLAC) toward deciphering the remaining 10-20% of ancient Greek text contained in the Archimedes palimpsest. The text is known to contain valuable works by the mathematician, including the ''Method of Mechanical Theorems, the Equilibrium of Planes, On Floating Bodies'', and several diagrams as well. The only surviving copy of the text was recycled into a prayer book in the Middle Ages. The ink used to write on the goat skin parchment is partly composed of iron, which is visible by x-ray radiation. To image the palimpsest pages, the parchment is framed and placed in a stage that moves according to the raster method. When an x-ray beam strikes the parchment, the iron in the ink is detected by a germanium detector. The resulting signal is converted to a gray-scale image on the imaging program, Rasplot. It is extremely important that each line of data is perfectly aligned with the line that came before it because the image is scanned in two directions. The objectives of this experiment were to determine the best parameters for producing well-aligned images and to reduce the scanning time. Imaging half a page of parchment during previous beam time for this project was achieved in thirty hours. Equations were produced to evaluate count time, shutter time, and the number of pixels in this experiment. On Beamline 6-2 at the Stanford Synchrotron Radiation Laboratory (SSRL), actual scanning time was reduced by one fourth. The remaining pages were successfully imaged and sent to ancient Greek experts for translation

  18. RESEARCH ON COORDINATE TRANSFORMATION METHOD OF GB-SAR IMAGE SUPPORTED BY 3D LASER SCANNING TECHNOLOGY

    Directory of Open Access Journals (Sweden)

    P. Wang

    2018-04-01

    Full Text Available In the image plane of GB-SAR, identification of deformation distribution is usually carried out by artificial interpretation. This method requires analysts to have adequate experience of radar imaging and target recognition, otherwise it can easily cause false recognition of deformation target or region. Therefore, it is very meaningful to connect two-dimensional (2D plane coordinate system with the common three-dimensional (3D terrain coordinate system. To improve the global accuracy and reliability of the transformation from 2D coordinates of GB-SAR images to local 3D coordinates, and overcome the limitation of traditional similarity transformation parameter estimation method, 3D laser scanning data is used to assist the transformation of GB-SAR image coordinates. A straight line fitting method for calculating horizontal angle was proposed in this paper. After projection into a consistent imaging plane, we can calculate horizontal rotation angle by using the linear characteristics of the structure in radar image and the 3D coordinate system. Aided by external elevation information by 3D laser scanning technology, we completed the matching of point clouds and pixels on the projection plane according to the geometric projection principle of GB-SAR imaging realizing the transformation calculation of GB-SAR image coordinates to local 3D coordinates. Finally, the effectiveness of the method is verified by the GB-SAR deformation monitoring experiment on the high slope of Geheyan dam.

  19. Research on Coordinate Transformation Method of Gb-Sar Image Supported by 3d Laser Scanning Technology

    Science.gov (United States)

    Wang, P.; Xing, C.

    2018-04-01

    In the image plane of GB-SAR, identification of deformation distribution is usually carried out by artificial interpretation. This method requires analysts to have adequate experience of radar imaging and target recognition, otherwise it can easily cause false recognition of deformation target or region. Therefore, it is very meaningful to connect two-dimensional (2D) plane coordinate system with the common three-dimensional (3D) terrain coordinate system. To improve the global accuracy and reliability of the transformation from 2D coordinates of GB-SAR images to local 3D coordinates, and overcome the limitation of traditional similarity transformation parameter estimation method, 3D laser scanning data is used to assist the transformation of GB-SAR image coordinates. A straight line fitting method for calculating horizontal angle was proposed in this paper. After projection into a consistent imaging plane, we can calculate horizontal rotation angle by using the linear characteristics of the structure in radar image and the 3D coordinate system. Aided by external elevation information by 3D laser scanning technology, we completed the matching of point clouds and pixels on the projection plane according to the geometric projection principle of GB-SAR imaging realizing the transformation calculation of GB-SAR image coordinates to local 3D coordinates. Finally, the effectiveness of the method is verified by the GB-SAR deformation monitoring experiment on the high slope of Geheyan dam.

  20. Automated inspection of gaps on the free-form shape parts by laser scanning technologies

    Science.gov (United States)

    Zhou, Sen; Xu, Jian; Tao, Lei; An, Lu; Yu, Yan

    2018-01-01

    In industrial manufacturing processes, the dimensional inspection of the gaps on the free-form shape parts is critical and challenging, and is directly associated with subsequent assembly and terminal product quality. In this paper, a fast measuring method for automated gap inspection based on laser scanning technologies is presented. The proposed measuring method consists of three steps: firstly, the relative position is determined according to the geometric feature of measuring gap, which considers constraints existing in a laser scanning operation. Secondly, in order to acquire a complete gap profile, a fast and effective scanning path is designed. Finally, the range dimension of the gaps on the free-form shape parts including width, depth and flush, correspondingly, is described in a virtual environment. In the future, an appliance machine based on the proposed method will be developed for the on-line dimensional inspection of gaps on the automobile or aerospace production line.

  1. High-resolution line-scan analysis of resin-embedded sediments using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS)

    NARCIS (Netherlands)

    Hennekam, Rick; Jilbert, Tom; Mason, Paul R D; de Lange, Gert J.; Reichart, Gert Jan

    2015-01-01

    Laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) line-scanning is a promising technique for producing high-resolution (μm-scale) geochemical records on resin-embedded sediments. However, this approach has not yet been thoroughly tested on sediment samples of known elemental

  2. LIDAR COMBINED SCANNING UNIT

    Directory of Open Access Journals (Sweden)

    V. V. Elizarov

    2016-11-01

    Full Text Available Subject of Research. The results of lidar combined scanning unit development for locating leaks of hydrocarbons are presented The unit enables to perform high-speed scanning of the investigated space in wide and narrow angle fields. Method. Scanning in a wide angular field is produced by one-line scanning path by means of the movable aluminum mirror with a frequency of 20Hz and amplitude of 20 degrees of swing. Narrowband scanning is performed along a spiral path by the deflector. The deflection of the beam is done by rotation of the optical wedges forming part of the deflector at an angle of ±50. The control function of the scanning node is performed by a specialized software product written in C# programming language. Main Results. This scanning unit allows scanning the investigated area at a distance of 50-100 m with spatial resolution at the level of 3 cm. The positioning accuracy of the laser beam in space is 15'. The developed scanning unit gives the possibility to browse the entire investigated area for the time not more than 1 ms at a rotation frequency of each wedge from 50 to 200 Hz. The problem of unambiguous definition of the beam geographical coordinates in space is solved at the software level according to the rotation angles of the mirrors and optical wedges. Lidar system coordinates are determined by means of GPS. Practical Relevance. Development results open the possibility for increasing the spatial resolution of scanning systems of a wide range of lidars and can provide high positioning accuracy of the laser beam in space.

  3. High-speed adaptive optics line scan confocal retinal imaging for human eye.

    Science.gov (United States)

    Lu, Jing; Gu, Boyu; Wang, Xiaolin; Zhang, Yuhua

    2017-01-01

    Continuous and rapid eye movement causes significant intraframe distortion in adaptive optics high resolution retinal imaging. To minimize this artifact, we developed a high speed adaptive optics line scan confocal retinal imaging system. A high speed line camera was employed to acquire retinal image and custom adaptive optics was developed to compensate the wave aberration of the human eye's optics. The spatial resolution and signal to noise ratio were assessed in model eye and in living human eye. The improvement of imaging fidelity was estimated by reduction of intra-frame distortion of retinal images acquired in the living human eyes with frame rates at 30 frames/second (FPS), 100 FPS, and 200 FPS. The device produced retinal image with cellular level resolution at 200 FPS with a digitization of 512×512 pixels/frame in the living human eye. Cone photoreceptors in the central fovea and rod photoreceptors near the fovea were resolved in three human subjects in normal chorioretinal health. Compared with retinal images acquired at 30 FPS, the intra-frame distortion in images taken at 200 FPS was reduced by 50.9% to 79.7%. We demonstrated the feasibility of acquiring high resolution retinal images in the living human eye at a speed that minimizes retinal motion artifact. This device may facilitate research involving subjects with nystagmus or unsteady fixation due to central vision loss.

  4. A Generalised Sweep-Line Method for Safety Properties

    DEFF Research Database (Denmark)

    Mailund; Kristensen, Lars Michael

    2002-01-01

    The recently developed sweep-line method exploits progress present in many concurrent systems to explore the full state space of the system while storing only small fragments of the state space in memory at a time. A disadvantage of the sweep-line method is that it relies on a monotone and global...

  5. Dedicated breast CT: radiation dose for circle-plus-line trajectory

    International Nuclear Information System (INIS)

    Vedantham, Srinivasan; Shi, Linxi; Karellas, Andrew; Noo, Frederic

    2012-01-01

    Purpose: Dedicated breast CT prototypes used in clinical investigations utilize single circular source trajectory and cone-beam geometry with flat-panel detectors that do not satisfy data-sufficiency conditions and could lead to cone beam artifacts. Hence, this work investigated the glandular dose characteristics of a circle-plus-line trajectory that fulfills data-sufficiency conditions for image reconstruction in dedicated breast CT. Methods: Monte Carlo-based computer simulations were performed using the GEANT4 toolkit and was validated with previously reported normalized glandular dose coefficients for one prototype breast CT system. Upon validation, Monte Carlo simulations were performed to determine the normalized glandular dose coefficients as a function of x-ray source position along the line scan. The source-to-axis of rotation distance and the source-to-detector distance were maintained constant at 65 and 100 cm, respectively, in all simulations. The ratio of the normalized glandular dose coefficient at each source position along the line scan to that for the circular scan, defined as relative normalized glandular dose coefficient (RD g N), was studied by varying the diameter of the breast at the chest wall, chest-wall to nipple distance, skin thickness, x-ray beam energy, and glandular fraction of the breast. Results: The RD g N metric when stated as a function of source position along the line scan, relative to the maximum length of line scan needed for data sufficiency, was found to be minimally dependent on breast diameter, chest-wall to nipple distance, skin thickness, glandular fraction, and x-ray photon energy. This observation facilitates easy estimation of the average glandular dose of the line scan. Polynomial fit equations for computing the RD g N and hence the average glandular dose are provided. Conclusions: For a breast CT system that acquires 300-500 projections over 2π for the circular scan, the addition of a line trajectory with equal

  6. Nanolithography and nanochemistry utilizing scanning probe techniques: directed self-assembly of sub-micrometer-sized structures by scanning probe lithography defined templates

    NARCIS (Netherlands)

    Wouters, D.; Sturms, J.P.E.; Schubert, U.S.

    2004-01-01

    The octadecyl trichlorosilane (OTS) monolayer was formed on Si carrier, and the template regulated by a local probe oxidation method from this was produced using a scanning probe lithography. The local probe oxidation was done by moving an AFM tip along an axle line. When the chip contacts a OTS

  7. An atomic force microscopy-based method for line edge roughness measurement

    Energy Technology Data Exchange (ETDEWEB)

    Fouchier, M.; Pargon, E.; Bardet, B. [CNRS/UJF-Grenoble1/CEA LTM, 17 avenue des Martyrs, 38054 Grenoble cedex 9 (France)

    2013-03-14

    With the constant decrease of semiconductor device dimensions, line edge roughness (LER) becomes one of the most important sources of device variability and needs to be controlled below 2 nm for the future technological nodes of the semiconductor roadmap. LER control at the nanometer scale requires accurate measurements. We introduce a technique for LER measurement based upon the atomic force microscope (AFM). In this technique, the sample is tilted at about 45 Degree-Sign and feature sidewalls are scanned along their length with the AFM tip to obtain three-dimensional images. The small radius of curvature of the tip together with the low noise level of a laboratory AFM result in high resolution images. Half profiles and LER values on all the height of the sidewalls are extracted from the 3D images using a procedure that we developed. The influence of sample angle variations on the measurements is shown to be small. The technique is applied to the study of a full pattern transfer into a simplified gate stack. The images obtained are qualitatively consistent with cross-section scanning electron microscopy images and the average LER values agree with that obtained by critical dimension scanning electron microscopy. In addition to its high resolution, this technique presents several advantages such as the ability to image the foot of photoresist lines, complex multi-layer stacks regardless of the materials, and deep re-entrant profiles.

  8. Concurrent Driving Method with Fast Scan Rate for Large Mutual Capacitance Touch Screens

    Directory of Open Access Journals (Sweden)

    Mohamed Gamal Ahmed Mohamed

    2015-01-01

    Full Text Available A novel touch screen control technique is introduced, which scans each frame in two steps of concurrent multichannel driving and differential sensing. The proposed technique substantially increases the scan rate and reduces the ambient noise effectively. It is also extended to a multichip architecture to support excessively large touch screens with great scan rate improvement. The proposed method has been implemented using 0.18 μm CMOS TowerJazz process and tested with FPGA and AFE board connecting a 23-inch touch screen. Experimental results show a scan rate improvement of up to 23.8 times and an SNR improvement of 24.6 dB over the conventional method.

  9. Angular on-line tube current modulation in multidetector CT examinations of children and adults: The influence of different scanning parameters on dose reduction

    International Nuclear Information System (INIS)

    Papadakis, Antonios E.; Perisinakis, Kostas; Damilakis, John

    2007-01-01

    The purpose of this study was to assess the potential of angular on-line tube current modulation on dose reduction in pediatric and adult patients undergoing multidetector computed tomography (MDCT) examinations. Five physical anthropomorphic phantoms that simulate the average individual as neonate, 1-year-old, 5-year-old, 10-year-old, and adult were employed in the current study. Phantoms were scanned with the use of on-line tube current modulation (TCM). Percent dose reduction (%DR) factors achieved by applying TCM, were determined for standard protocols used for head and neck, shoulder, thorax, thorax and abdomen, abdomen, abdomen and pelvis, pelvis, and whole body examinations. A preliminary study on the application of TCM in MDCT examinations of adult patients was performed to validate the results obtained in anthropomorphic phantoms. Dose reduction was estimated as the percentage difference of the modulated milliamperes for each scan and the preset milliamperes prescribed by the scan protocol. The dose reduction in children was found to be much lower than the corresponding reduction achieved for adults. For helical scans the %DR factors, ranged between 1.6% and 7.4% for the neonate, 2.9% and 8.7% for the 1-year old, 2% and 6% for the 5-year-old, 5% and 10.9% for the 10-year-old, and 10.4% and 20.7% for the adult individual. For sequential scans the corresponding %DR factors ranged between 1.3% and 6.7%, 4.5% and 11%, 4.2% and 6.6%, 6.4% and 12.3%, and 8.9% and 23.3%, respectively. Broader beam collimations are associated with decreased %DR factors, when other scanning parameters are held constant. TCM did not impair image noise. In adult patients, the %DR values were found to be in good agreement with the corresponding results obtained in the anthropomorphic adult phantom. In conclusion, on-line TCM may be considered as a valuable tool for reducing dose in routine CT examinations of pediatric and adult patients. However, the dose reduction achieved with TCM

  10. CAMAC gamma ray scanning system

    International Nuclear Information System (INIS)

    Moss, C.E.; Pratt, J.C.; Shunk, E.R.

    1981-01-01

    A flexible gamma-ray scanning system, based on a LeCroy 3500 multichannel analyzer and CAMAC modules, is described. The system is designed for making simultaneous passive and active scans of objects of interest to nuclear safeguards. The scanner is a stepping-motor-driven carriage; the detectors, a bismuth-germanate scintillator and a high-purity germanium detector. A total of sixteen peaks in the two detector-produced spectra can be integrated simultaneously, and any scan can be viewed during data acquisition. For active scanning, the 2615-keV gamma-ray line from a 232 U source and the 4439-keV gamma-ray line from 9 Be(α,n) 12 C were selected. The system can be easily reconfigured to accommodate up to seven detectors because it is based on CAMAC modules and FORTRAN. The system is designed for field use and is easily transported. Examples of passive and active scans are presented

  11. Test of the Capability of Laser Line Scan Technology to Support Benthic Habitat Mapping in Coral Reef Ecosystems, Maui Island, November 2006

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — The utility of Laser Line Scan (LLS) Technology for optical validation of benthic habitat map data from coral reef ecosystems was tested with a deployment of a...

  12. Evaluation of positional plagiocephaly: Conventional anthropometric measurement versus laser scanning method.

    Science.gov (United States)

    Nahles, Susanne; Klein, Martin; Yacoub, Anke; Neyer, Julia

    2018-01-01

    The incidence of plagiocephaly has increased in the 25 years since the "Back to Sleep" campaign in 1991 to prevent sudden infant death. Plagiocephaly is not considered to be a pathological condition. It is more of an esthetic impairment and could have potentially negative psychological or psychosocial consequences; therefore, treatment is recommended. The aim of this study is to compare conventional anthropometry and laser scanning - two different measurement methods - as diagnostic instruments for plagiocephaly. The present study also tests the measurement time of both methods and whether one method is easier on the patient than the other. A total of 44 children (21 girls, 23 boys) with a mean age of 8.8 months were involved in the present study. Of all patients, the following parameters were routinely evaluated using a standard protocol with the conventional anthropometric method and the scan method: head circumference, head length, head width, head diagonals, and distances ex-t. Furthermore, the time required to obtain measurements and the behavior of the children during measurement were documented. For statistical analysis, a t-test and a Wilcoxon test were used to analyze differences between the two methods. The results for head circumference showed a mean of 441.5 mm for the anthropometric measurements and 441.6 mm for the scan method, with no significant difference between the two methods. A significant difference was found regarding the head width, head length, diagonals, and distance ex-t. The measurement process using the scan method needed a mean of 579.6 s in contrast to the manual anthropometric method, which required a mean time of 180.5 s. In comparison with the conventional anthropometric method, measurements made with a 3D laser scanner yield inconsistent results. Moreover, the current state of technology of 3D cephalometry has no advantages compared with the conventional anthropometric method. Disadvantages worth mentioning appear to be the

  13. A Novel Real-Time Reference Key Frame Scan Matching Method

    Directory of Open Access Journals (Sweden)

    Haytham Mohamed

    2017-05-01

    Full Text Available Unmanned aerial vehicles represent an effective technology for indoor search and rescue operations. Typically, most indoor missions’ environments would be unknown, unstructured, and/or dynamic. Navigation of UAVs in such environments is addressed by simultaneous localization and mapping approach using either local or global approaches. Both approaches suffer from accumulated errors and high processing time due to the iterative nature of the scan matching method. Moreover, point-to-point scan matching is prone to outlier association processes. This paper proposes a low-cost novel method for 2D real-time scan matching based on a reference key frame (RKF. RKF is a hybrid scan matching technique comprised of feature-to-feature and point-to-point approaches. This algorithm aims at mitigating errors accumulation using the key frame technique, which is inspired from video streaming broadcast process. The algorithm depends on the iterative closest point algorithm during the lack of linear features which is typically exhibited in unstructured environments. The algorithm switches back to the RKF once linear features are detected. To validate and evaluate the algorithm, the mapping performance and time consumption are compared with various algorithms in static and dynamic environments. The performance of the algorithm exhibits promising navigational, mapping results and very short computational time, that indicates the potential use of the new algorithm with real-time systems.

  14. Structured-Light Based 3d Laser Scanning of Semi-Submerged Structures

    Science.gov (United States)

    van der Lucht, J.; Bleier, M.; Leutert, F.; Schilling, K.; Nüchter, A.

    2018-05-01

    In this work we look at 3D acquisition of semi-submerged structures with a triangulation based underwater laser scanning system. The motivation is that we want to simultaneously capture data above and below water to create a consistent model without any gaps. The employed structured light scanner consist of a machine vision camera and a green line laser. In order to reconstruct precise surface models of the object it is necessary to model and correct for the refraction of the laser line and camera rays at the water-air boundary. We derive a geometric model for the refraction at the air-water interface and propose a method for correcting the scans. Furthermore, we show how the water surface is directly estimated from sensor data. The approach is verified using scans captured with an industrial manipulator to achieve reproducible scanner trajectories with different incident angles. We show that the proposed method is effective for refractive correction and that it can be applied directly to the raw sensor data without requiring any external markers or targets.

  15. STRUCTURED-LIGHT BASED 3D LASER SCANNING OF SEMI-SUBMERGED STRUCTURES

    Directory of Open Access Journals (Sweden)

    J. van der Lucht

    2018-05-01

    Full Text Available In this work we look at 3D acquisition of semi-submerged structures with a triangulation based underwater laser scanning system. The motivation is that we want to simultaneously capture data above and below water to create a consistent model without any gaps. The employed structured light scanner consist of a machine vision camera and a green line laser. In order to reconstruct precise surface models of the object it is necessary to model and correct for the refraction of the laser line and camera rays at the water-air boundary. We derive a geometric model for the refraction at the air-water interface and propose a method for correcting the scans. Furthermore, we show how the water surface is directly estimated from sensor data. The approach is verified using scans captured with an industrial manipulator to achieve reproducible scanner trajectories with different incident angles. We show that the proposed method is effective for refractive correction and that it can be applied directly to the raw sensor data without requiring any external markers or targets.

  16. A Line-Tau Collocation Method for Partial Differential Equations ...

    African Journals Online (AJOL)

    This paper deals with the numerical solution of second order linear partial differential equations with the use of the method of lines coupled with the tau collocation method. The method of lines is used to convert the partial differential equation (PDE) to a sequence of ordinary differential equations (ODEs) which is then ...

  17. Computation of nonuniform transmission lines using the FDTD method

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, G.C.; Paulino, J.O.S. [Universidade Federal de Minas Gerais, Belo Horizonte, MG (Brazil). School of Engineering

    1997-12-31

    Calculation of lightning overvoltages on transmission lines has been described. Lightning induced overvoltages are of great significance under certain conditions because of the main characteristics of the phenomena. The lightning channel model is one of the most important parameters essential to obtaining the generated electromagnetic fields. In this study, nonuniform transmission line equations were solved using the finite difference method and the leap-frog scheme, the Finite Difference Time Domain (FDTD) method. The subroutine was interfaced with the Electromagnetic Transients Program (EMTP). Two models were used to represent the characteristic impedance of the nonuniform lines used to model the transmission line towers and the lightning main channel. The advantages of the FDTD method was the much smaller code and faster processing time. 35 refs., 5 figs.

  18. Cardiac imaging systems and methods employing computerized tomographic scanning

    International Nuclear Information System (INIS)

    Richey, J.B.; Wake, R.H.; Walters, R.G.; Hunt, W.F.; Cool, S.L.

    1980-01-01

    The invention relates to cardiac imaging systems and methods employing computerised tomographic scanning. Apparatus is described which allows an image of the radiation attenuation of the heart at a desired phase of the cardiac cycle. The patients ECG signal can be used in a transverse-and-rotate type CT scanner as a time base, so that the beam reaches the heart at a desired phase of the cardiac cycle, or, in a purely rotational-type CT scanner continuously generated scan data is only stored for corresponding phases of successive cardiac cycles. Alternatively, gating of the beams themselves by shuttering or switching the power supply can be controlled by the ECG signal. A pacemaker is used to stabilize the cardiac period. Also used is a system for recognising unacceptable variations in the cardiac period and discarding corresponding scan data. In a transverse-and-rotate type fan-beam CT scanner, the effective beam width is narrowed to reduce the duration of the traverse of the heart. (U.K.)

  19. Circular mode: a new scanning probe microscopy method for investigating surface properties at constant and continuous scanning velocities.

    Science.gov (United States)

    Nasrallah, Hussein; Mazeran, Pierre-Emmanuel; Noël, Olivier

    2011-11-01

    In this paper, we introduce a novel scanning probe microscopy mode, called the circular mode, which offers expanded capabilities for surface investigations especially for measuring physical properties that require high scanning velocities and/or continuous displacement with no rest periods. To achieve these specific conditions, we have implemented a circular horizontal displacement of the probe relative to the sample plane. Thus the relative probe displacement follows a circular path rather than the conventional back and forth linear one. The circular mode offers advantages such as high and constant scanning velocities, the possibility to be combined with other classical operating modes, and a simpler calibration method of the actuators generating the relative displacement. As application examples of this mode, we report its ability to (1) investigate the influence of scanning velocity on adhesion forces, (2) measure easily and instantly the friction coefficient, and (3) generate wear tracks very rapidly for tribological investigations. © 2011 American Institute of Physics

  20. Ultrasonic unit for line-by-line ultrasonic scanning of bodies

    International Nuclear Information System (INIS)

    Soldner, R.

    1978-01-01

    The ultrasonic unit for medical diagnostics operates by the sectorial scanning principle, which avoids direct coupling of the transducer head to the surface of the body. For this purpose, several transmitter/receiver units (approx. 100) are arranged on a partial ring of a circular arc and the ultrasonic beams, which can be triggered sequentially in time, are directed at a common intersection behind the ultrasonic window of the unit, i.e., outside the unit. A mechanical system is employed to set and adjust the partial ring carrying the transmitter/receiver units. (DG) [de

  1. Simulating Various Terrestrial and Uav LIDAR Scanning Configurations for Understory Forest Structure Modelling

    Science.gov (United States)

    Hämmerle, M.; Lukač, N.; Chen, K.-C.; Koma, Zs.; Wang, C.-K.; Anders, K.; Höfle, B.

    2017-09-01

    Information about the 3D structure of understory vegetation is of high relevance in forestry research and management (e.g., for complete biomass estimations). However, it has been hardly investigated systematically with state-of-the-art methods such as static terrestrial laser scanning (TLS) or laser scanning from unmanned aerial vehicle platforms (ULS). A prominent challenge for scanning forests is posed by occlusion, calling for proper TLS scan position or ULS flight line configurations in order to achieve an accurate representation of understory vegetation. The aim of our study is to examine the effect of TLS or ULS scanning strategies on (1) the height of individual understory trees and (2) understory canopy height raster models. We simulate full-waveform TLS and ULS point clouds of a virtual forest plot captured from various combinations of max. 12 TLS scan positions or 3 ULS flight lines. The accuracy of the respective datasets is evaluated with reference values given by the virtually scanned 3D triangle mesh tree models. TLS tree height underestimations range up to 1.84 m (15.30 % of tree height) for single TLS scan positions, but combining three scan positions reduces the underestimation to maximum 0.31 m (2.41 %). Combining ULS flight lines also results in improved tree height representation, with a maximum underestimation of 0.24 m (2.15 %). The presented simulation approach offers a complementary source of information for efficient planning of field campaigns aiming at understory vegetation modelling.

  2. SIMULATING VARIOUS TERRESTRIAL AND UAV LIDAR SCANNING CONFIGURATIONS FOR UNDERSTORY FOREST STRUCTURE MODELLING

    Directory of Open Access Journals (Sweden)

    M. Hämmerle

    2017-09-01

    Full Text Available Information about the 3D structure of understory vegetation is of high relevance in forestry research and management (e.g., for complete biomass estimations. However, it has been hardly investigated systematically with state-of-the-art methods such as static terrestrial laser scanning (TLS or laser scanning from unmanned aerial vehicle platforms (ULS. A prominent challenge for scanning forests is posed by occlusion, calling for proper TLS scan position or ULS flight line configurations in order to achieve an accurate representation of understory vegetation. The aim of our study is to examine the effect of TLS or ULS scanning strategies on (1 the height of individual understory trees and (2 understory canopy height raster models. We simulate full-waveform TLS and ULS point clouds of a virtual forest plot captured from various combinations of max. 12 TLS scan positions or 3 ULS flight lines. The accuracy of the respective datasets is evaluated with reference values given by the virtually scanned 3D triangle mesh tree models. TLS tree height underestimations range up to 1.84 m (15.30 % of tree height for single TLS scan positions, but combining three scan positions reduces the underestimation to maximum 0.31 m (2.41 %. Combining ULS flight lines also results in improved tree height representation, with a maximum underestimation of 0.24 m (2.15 %. The presented simulation approach offers a complementary source of information for efficient planning of field campaigns aiming at understory vegetation modelling.

  3. Acquiring 4D thoracic CT scans using a multislice helical method

    International Nuclear Information System (INIS)

    Keall, P J; Starkschall, G; Shukla, H; Forster, K M; Ortiz, V; Stevens, C W; Vedam, S S; George, R; Guerrero, T; Mohan, R

    2004-01-01

    Respiratory motion degrades anatomic position reproducibility during imaging, necessitates larger margins during radiotherapy planning and causes errors during radiation delivery. Computed tomography (CT) scans acquired synchronously with the respiratory signal can be used to reconstruct 4D CT scans, which can be employed for 4D treatment planning to explicitly account for respiratory motion. The aim of this research was to develop, test and clinically implement a method to acquire 4D thoracic CT scans using a multislice helical method. A commercial position-monitoring system used for respiratory-gated radiotherapy was interfaced with a third generation multislice scanner. 4D cardiac reconstruction methods were modified to allow 4D thoracic CT acquisition. The technique was tested on a phantom under different conditions: stationary, periodic motion and non-periodic motion. 4D CT was also implemented for a lung cancer patient with audio-visual breathing coaching. For all cases, 4D CT images were successfully acquired from eight discrete breathing phases, however, some limitations of the system in terms of respiration reproducibility and breathing period relative to scanner settings were evident. Lung mass for the 4D CT patient scan was reproducible to within 2.1% over the eight phases, though the lung volume changed by 20% between end inspiration and end expiration (870 cm 3 ). 4D CT can be used for 4D radiotherapy, respiration-gated radiotherapy, 'slow' CT acquisition and tumour motion studies

  4. Apparatus and Method for Communication over Power Lines

    Science.gov (United States)

    Krasowski, Michael J. (Inventor); Prokop, Norman F. (Inventor); Greer, III, Lawrence C. (Inventor); Nappier, Jennifer M. (Inventor)

    2017-01-01

    An apparatus and method are provided for communicating over power lines. The apparatus includes a coupling modem that is situated between a power line and a device. The coupling modem is configured to demodulate a signal received from the power line into a sine signal and a cosine signal. The coupling modem is also configured to modulate a communicated bit stream received from the device into a transmitted signal in order to impose the transmitted signal onto the power line.

  5. Scatter measurement and correction method for cone-beam CT based on single grating scan

    Science.gov (United States)

    Huang, Kuidong; Shi, Wenlong; Wang, Xinyu; Dong, Yin; Chang, Taoqi; Zhang, Hua; Zhang, Dinghua

    2017-06-01

    In cone-beam computed tomography (CBCT) systems based on flat-panel detector imaging, the presence of scatter significantly reduces the quality of slices. Based on the concept of collimation, this paper presents a scatter measurement and correction method based on single grating scan. First, according to the characteristics of CBCT imaging, the scan method using single grating and the design requirements of the grating are analyzed and figured out. Second, by analyzing the composition of object projection images and object-and-grating projection images, the processing method for the scatter image at single projection angle is proposed. In addition, to avoid additional scan, this paper proposes an angle interpolation method of scatter images to reduce scan cost. Finally, the experimental results show that the scatter images obtained by this method are accurate and reliable, and the effect of scatter correction is obvious. When the additional object-and-grating projection images are collected and interpolated at intervals of 30 deg, the scatter correction error of slices can still be controlled within 3%.

  6. Data Based Parameter Estimation Method for Circular-scanning SAR Imaging

    Directory of Open Access Journals (Sweden)

    Chen Gong-bo

    2013-06-01

    Full Text Available The circular-scanning Synthetic Aperture Radar (SAR is a novel working mode and its image quality is closely related to the accuracy of the imaging parameters, especially considering the inaccuracy of the real speed of the motion. According to the characteristics of the circular-scanning mode, a new data based method for estimating the velocities of the radar platform and the scanning-angle of the radar antenna is proposed in this paper. By referring to the basic conception of the Doppler navigation technique, the mathematic model and formulations for the parameter estimation are firstly improved. The optimal parameter approximation based on the least square criterion is then realized in solving those equations derived from the data processing. The simulation results verified the validity of the proposed scheme.

  7. Decommissioning and dismantling: Qualification of the gamma scanning method as a certified method for radiological decontrolling measurement. Final report; Stillegung und Rueckbau: Qualifizierung des Gamma-Scanning zur Freimessung. Genehmigungspraxis. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Kirchhoff, J.; Stasch, W.P.

    1998-10-01

    Prior to release from the radiological supervision and control regime of the AtG (German Atomic Energy Act), nuclear facilities have to be scanned using licensed radioactivity measuring methods for providing evidence that the remaining radioactive contamination is below the legally defined limits and excludes hazards to the population, material goods, or the environment. The manually performed {alpha}/{beta} measuring methods applied so far for radiological decontrolling measurement are surface scanning methods measuring the contamination of very thin surface layers of the structures, while radioactivity in deeper layers is not necessarily detected. The gamma scanning method presented in this document is capable of scanning the structures and materials of nuclear facilities from the surface down to much deeper layers in just one measuring run. The automated scanning process at the same time offers the advantage of preventing the uncertainties possibly contributed through human factors. (orig./CB) [Deutsch] Vor der Entlassung von kerntechnischen Anlagen aus dem Geltungsbereich des AtG ist sicherzustellen, dass von der Anlage nach Freigabe aus der atomrechtlichen Ueberwachung keine Gefahren fuer Personen, Sachgueter und die Umwelt ausgehen koennen. Hierzu sind die Anlagen freizumessen, d.h. es ist durch qualifizierte Messverfahren sicherzustellen, dass festgelegte radiologische Grenzwerte unterschritten werden. Die bisherigen auf {alpha}/{beta}-Messung beruhenden Freimessverfahren (Kontaminationsmonitore) erfassen nur die Radioaktivitaet in duennsten Oberflaechenschichten. Radioaktivitaet in darunter liegenden Schichten wird nicht zwangslaeufig erfasst. Zudem erfolgen diese Messungen ausschliesslich manuell. Das Gamma-Scanning-Freimessverfahren erfasst neben der Oberflaechenschicht gleichzeitig auch tiefere Schichten. Der Nachweis der Grenzwertunterschreitung kann somit in nur einem Arbeitsgang erbracht werden. Durch automatisiertes Scannen koennte darueber hinaus

  8. Frequency scanning-based stability analysis method for grid-connected inverter system

    DEFF Research Database (Denmark)

    Wang, Yanbo; Wang, Xiongfei; Blaabjerg, Frede

    2017-01-01

    This paper proposes a frequency scanning-based impedance analysis for stability assessment of grid-connected inverter system, which is able to perform stability assessment without using system mathematical models and inherit the superior feature of impedance-based stability criterion with conside......This paper proposes a frequency scanning-based impedance analysis for stability assessment of grid-connected inverter system, which is able to perform stability assessment without using system mathematical models and inherit the superior feature of impedance-based stability criterion...... with consideration of the inverter nonlinearities. Small current disturbance is injected into grid-connected inverter system in a particular frequency range, and the impedance is computed according to the harmonic-frequency response using Fourier analysis, and then the stability is predicted on the basis...... of the impedance stability criterion. The stability issues of grid-connected inverters with grid-current feedback and the converter-current feedback are addressed using the proposed method. The results obtained from simulation and experiments validate the effectiveness of the method. The frequency scanning...

  9. SCANNING AND TRACKING MONITORING APPARATUS AND METHOD

    DEFF Research Database (Denmark)

    2017-01-01

    Disclosed is a scanning monitoring apparatus for medical imaging, the scanning monitoring apparatus comprising a controller unit and a display, wherein the controller unit during a scanning session is configured to obtain tracking data (102) of a subject in a medical scanner, obtain scanner data ...

  10. Development of a Method for Tool Wear Analysis Using 3D Scanning

    Directory of Open Access Journals (Sweden)

    Hawryluk Marek

    2017-12-01

    Full Text Available The paper deals with evaluation of a 3D scanning method elaborated by the authors, by applying it to the analysis of the wear of forging tools. The 3D scanning method in the first place consists in the application of scanning to the analysis of changes in geometry of a forging tool by way of comparing the images of a worn tool with a CAD model or an image of a new tool. The method was evaluated in the context of the important measurement problems resulting from the extreme conditions present during the industrial hot forging processes. The method was used to evaluate wear of tools with an increasing wear degree, which made it possible to determine the wear characteristics in a function of the number of produced forgings. The following stage was the use it for a direct control of the quality and geometry changes of forging tools (without their disassembly by way of a direct measurement of the geometry of periodically collected forgings (indirect method based on forgings. The final part of the study points to the advantages and disadvantages of the elaborated method as well as the potential directions of its further development.

  11. Eine elektronische Linienkamera fuer die Tomografie von Brennelementen mit durchdringenden Strahlen. An electronic line scan camera for tomographic evaluation of fuel assemblies using penetrating radiation

    International Nuclear Information System (INIS)

    Steinbock, L.

    1988-01-01

    The described electronic line scan camaera saves time and costs in taking radiographs and tompgraphs in nuclear facilities. The pictures being taken on polaroid films, there is no need for expensive laboratory work as for the X-ray films. The immediate digitalisation of the signals (saves) expensive digitalisation of X-ray films and allows to record the data of the pictures on cheap magnetic or optical disc. The data can later be processed by the common image analysing methods. Spatial resolutions achieved up to now are about 0.3 mm both for shadow radiography and tomography. (orig.) [de

  12. Method and apparatus for scanning a transverse field

    International Nuclear Information System (INIS)

    Stoddart, H.F.

    1978-01-01

    A transverse radionuclide scan-field imaging apparatus is described for use in scanning with particular reference to the brain. It comprises a plurality of highly focussed collimators surrounding and being focussed inwardly with respect to the scan-field and means for imparting movement to the collimators. Adjacent collimators can be stepped in radially opposite directions after each tangential scan, so that the focal point of each collimator scans at least one half of the scan-field. Each collimator is associated with a scintillator crystal and photodetector whose output is used to calculate the radioactive emission intensity at a number of points in the scan-field. (author)

  13. A Novel Assembly Line Balancing Method Based on PSO Algorithm

    Directory of Open Access Journals (Sweden)

    Xiaomei Hu

    2014-01-01

    Full Text Available Assembly line is widely used in manufacturing system. Assembly line balancing problem is a crucial question during design and management of assembly lines since it directly affects the productivity of the whole manufacturing system. The model of assembly line balancing problem is put forward and a general optimization method is proposed. The key data on assembly line balancing problem is confirmed, and the precedence relations diagram is described. A double objective optimization model based on takt time and smoothness index is built, and balance optimization scheme based on PSO algorithm is proposed. Through the simulation experiments of examples, the feasibility and validity of the assembly line balancing method based on PSO algorithm is proved.

  14. Truncation correction for oblique filtering lines

    International Nuclear Information System (INIS)

    Hoppe, Stefan; Hornegger, Joachim; Lauritsch, Guenter; Dennerlein, Frank; Noo, Frederic

    2008-01-01

    State-of-the-art filtered backprojection (FBP) algorithms often define the filtering operation to be performed along oblique filtering lines in the detector. A limited scan field of view leads to the truncation of those filtering lines, which causes artifacts in the final reconstructed volume. In contrast to the case where filtering is performed solely along the detector rows, no methods are available for the case of oblique filtering lines. In this work, the authors present two novel truncation correction methods which effectively handle data truncation in this case. Method 1 (basic approach) handles data truncation in two successive preprocessing steps by applying a hybrid data extrapolation method, which is a combination of a water cylinder extrapolation and a Gaussian extrapolation. It is independent of any specific reconstruction algorithm. Method 2 (kink approach) uses similar concepts for data extrapolation as the basic approach but needs to be integrated into the reconstruction algorithm. Experiments are presented from simulated data of the FORBILD head phantom, acquired along a partial-circle-plus-arc trajectory. The theoretically exact M-line algorithm is used for reconstruction. Although the discussion is focused on theoretically exact algorithms, the proposed truncation correction methods can be applied to any FBP algorithm that exposes oblique filtering lines.

  15. Side-Scan-Sonar Lines for Hudson River, NY

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — Side Scan Sonar and Subbottom Profiler Tracklines. Data was collected November 5 to December 15, 2009, in the estuary north from Saugerties to Troy. Fugro utilized...

  16. Simulation of scanning geometry for Shadow Shield counter using Monte Carlo method

    International Nuclear Information System (INIS)

    Deepu, R.; Manohari, M.; Mathiyarasu, R.

    2018-01-01

    The whole body counting facility at RSD, IGCAR employs a Shadow Shield Counter (SSC) for the assessment of internal exposure of radiation workers from fission and activation products. The SSC system is routinely calibrated using an in-house built Masonite cut sheet phantom, loaded with standard point sources, in scanning mode. The system is capable of measuring gamma energy from 200 keV to 3 MeV. The standard sources available have energies in the range of 300 - 1500 keV. In order to extend the energy range, numerical simulation can be carried out. As, numerical methods cannot simulate scanning mode directly, a novel scheme is attempted to generate the efficiency value for scanning mode through the use of multiple static mode. The same method was also verified through measurement. The efficiency values of the simulation as well two measurements techniques were compared

  17. Measurement Axis Searching Model for Terrestrial Laser Scans Registration

    Directory of Open Access Journals (Sweden)

    Shaoxing Hu

    2016-01-01

    Full Text Available Nowadays, terrestrial Lidar scans can cover rather a large area; the point densities are strongly varied because of the line-of-sight measurement principle in potential overlaps with scans taken from different viewpoints. Most of the traditional methods focus on registration algorithm and ignore searching model. Sometimes the traditional methods are directly used to align two point clouds; a large critically unsolved problem of the large biases will be created in areas distant from the overlaps while the local overlaps are often aligned well. So a novel measurement axis searching model (MASM has been proposed in this paper. The method includes four steps: (1 the principal axis fitting, (2 the measurement axis generation, (3 low-high-precision search, and (4 result generation. The principal axis gives an orientation to the point cloud; the search scope is limited by the measurement axis. The point cloud orientation can be adjusted gradually until the achievement of the global optimum using low- and high-precision search. We perform some experiments with simulated point clouds and real terrestrial laser scans. The results of simulated point clouds have shown the processing steps of our method, and the results of real terrestrial laser scans have shown the sensitivity of the approach with respect to the indoor and outdoor scenes.

  18. Micro-PIXE line-scan measurements of the yellow eel's otolith

    International Nuclear Information System (INIS)

    Zheng, Y.; Guo, H.; Tang, W.; Wei, K.; Shen, H.; Yang, M.; Mi, Y.

    2011-01-01

    Anguilla japonica has a high economic value. The abundance had decreased significantly due to excessive fishing and change in the aquatic ecology. Life history patterns of A. japonica have been studied to prevent excessive fishing and make management plans. Strontium (Sr)-calcium (Ca) ratio along a line down the long axis from the core to the edge of the yellow eel's otolith was measured using micro proton induced X-ray emission (micro-PIXE). An efficient and precise method was proposed to locate the core where an otolith begins to grow, based on Sr concentration and distribution. Using this method, life history patterns of the yellow eels collected from Jingjiang River in China were investigated. In general, there are two types, river eels and estuarine eels.

  19. Deconvolution of EPR spectral lines with an approximate method

    International Nuclear Information System (INIS)

    Jimenez D, H.; Cabral P, A.

    1990-10-01

    A recently reported approximation expression to deconvolution Lorentzian-Gaussian spectral lines. with small Gaussian contribution, is applied to study an EPR line shape. The potassium-ammonium solution line reported in the literature by other authors was used and the results are compared with those obtained by employing a precise method. (Author)

  20. Exact extraction method for road rutting laser lines

    Science.gov (United States)

    Hong, Zhiming

    2018-02-01

    This paper analyzes the importance of asphalt pavement rutting detection in pavement maintenance and pavement administration in today's society, the shortcomings of the existing rutting detection methods are presented and a new rutting line-laser extraction method based on peak intensity characteristic and peak continuity is proposed. The intensity of peak characteristic is enhanced by a designed transverse mean filter, and an intensity map of peak characteristic based on peak intensity calculation for the whole road image is obtained to determine the seed point of the rutting laser line. Regarding the seed point as the starting point, the light-points of a rutting line-laser are extracted based on the features of peak continuity, which providing exact basic data for subsequent calculation of pavement rutting depths.

  1. A Compositional Sweep-Line State Space Exploration Method

    DEFF Research Database (Denmark)

    Kristensen, Lars Michael; Mailund, Thomas

    2002-01-01

    State space exploration is a main approach to verification of finite-state systems. The sweep-line method exploits a certain kind of progress present in many systems to reduce peak memory usage during state space exploration. We present a new sweep-line algorithm for a compositional setting where...

  2. Application of laser ultrasonic method for on-line monitoring of friction stir spot welding process.

    Science.gov (United States)

    Zhang, Kuanshuang; Zhou, Zhenggan; Zhou, Jianghua

    2015-09-01

    Application of a laser ultrasonic method is developed for on-line monitoring of the friction stir spot welding (FSSW) process. Based on the technology of FSSW, laser-generated ultrasonic waves in a good weld and nonweld area are simulated by a finite element method. The reflected and transmitted waves are analyzed to disclose the properties of the welded interface. The noncontact-laser ultrasonic-inspection system was established to verify the numerical results. The reflected waves in the good-weld and nonweld area can be distinguished by time-of-flight. The transmitted waves evidently attenuate in the nonweld area in contrast to signal amplitude in the good weld area because of interfacial impedance difference. Laser ultrasonic C-scan images can sufficiently evaluate the intrinsic character of the weld area in comparison with traditional water-immersion ultrasonic testing results. The research results confirm that laser ultrasonics would be an effective method to realize the characterization of FSSW defects.

  3. Some elaborating methods of gamma scanning results on irradiated nuclear fuels

    International Nuclear Information System (INIS)

    Sternini, E.

    1979-01-01

    Gamma scanning, as a post-irradiation examination, is a technique which provides a large number of informations on irradiated nuclear fuels. Power profile, fission products distribution, average and local burn-up of single elements structural and nuclear behaviour of fuel materials are examples of the obtained informations. In the present work experimental methods and theoretical calculations used at the CNEN hot cell laboratory for the mentioned purposes are described. Errors arising from the application of the gamma scanning technique are also discussed

  4. Three-Dimensional Laser Scanning for Geometry Documentation and Construction Management of Highway Tunnels during Excavation

    Science.gov (United States)

    Gikas, Vassilis

    2012-01-01

    Driven by progress in sensor technology, computer software and data processing capabilities, terrestrial laser scanning has recently proved a revolutionary technique for high accuracy, 3D mapping and documentation of physical scenarios and man-made structures. Particularly, this is of great importance in the underground space and tunnel construction environment as surveying engineering operations have a great impact on both technical and economic aspects of a project. This paper discusses the use and explores the potential of laser scanning technology to accurately track excavation and construction activities of highway tunnels. It provides a detailed overview of the static laser scanning method, its principles of operation and applications for tunnel construction operations. Also, it discusses the planning, execution, data processing and analysis phases of laser scanning activities, with emphasis given on geo-referencing, mesh model generation and cross-section extraction. Specific case studies are considered based on two construction sites in Greece. Particularly, the potential of the method is examined for checking the tunnel profile, producing volume computations and validating the smoothness/thickness of shotcrete layers at an excavation stage and during the completion of excavation support and primary lining. An additional example of the use of the method in the geometric documentation of the concrete lining formwork is examined and comparisons against dimensional tolerances are examined. Experimental comparisons and analyses of the laser scanning method against conventional surveying techniques are also considered. PMID:23112655

  5. Accuracy of optical scanning methods of the Cerec®3D system in the process of making ceramic inlays

    Directory of Open Access Journals (Sweden)

    Trifković Branka

    2010-01-01

    Full Text Available Background/Aim. One of the results of many years of Cerec® 3D CAD/CAM system technological development is implementation of one intraoral and two extraoral optical scanning methods which, depending on the current indications, are applied in making fixed restorations. The aim of this study was to determine the degree of precision of optical scanning methods by the use of the Cerec®3D CAD/CAM system in the process of making ceramic inlays. Methods. The study was conducted in three experimental groups of inlays prepared using the procedure of three methods of scanning Cerec ®3D system. Ceramic inlays made by conventional methodology were the control group. The accuracy of optical scanning methods of the Cerec®3D system computer aided designcomputer aided manufacturing (CAD/CAM was indirectly examined by measuring a marginal gap size between inlays and demarcation preparation by scanning electron microscope (SEM. Results. The results of the study showed a difference in the accuracy of the existing methods of scanning dental CAD/CAM systems. The highest level of accuracy was achieved by the extraoral optical superficial scanning technique. The value of marginal gap size inlays made with the technique of extraoral optical superficial scanning was 32.97 ± 13.17 μ. Techniques of intraoral optical superficial and extraoral point laser scanning showed a lower level of accuracy (40.29 ± 21.46 μ for inlays of intraoral optical superficial scanning and 99.67 ± 37.25 μ for inlays of extraoral point laser scanning. Conclusion. Optical scanning methods in dental CAM/CAM technologies are precise methods of digitizing the spatial models; application of extraoral optical scanning methods provides the hightest precision.

  6. Improving the Raster Scanning Methods used with X-ray Fluorescence to See the Ancient Greek Text of Archimedes (SULI Paper)

    Energy Technology Data Exchange (ETDEWEB)

    Griffin, Isabella B.; /Norfolk State U. /SLAC, SSRL

    2006-01-04

    X-ray fluorescence is being used to detect the ancient Greek copy of Archimedes work. The copy of Archimedes text was erased with a weak acid and written over to make a prayer book in the Middle Ages. The ancient parchment, made of goat skin, has on it some of Archimedes most valuable writings. The ink in the text contains iron which will fluoresce under x-ray radiation. My research project deals with the scanning and imaging process. The palimpsest is put in a stage that moves in a raster format. As the beam hits the parchment, a germanium detector detects the iron atoms and discriminates against other elements. Since the computer scans in both forwards and backwards directions, it is imperative that each row of data lines up exactly on top of the next row. There are several parameters to consider when scanning the parchment. These parameters include: speed, count time, shutter time, x-number of points, and acceleration. Formulas were made to relate these parameters together. During the actual beam time of this project, the scanning was very slow going; it took 30 hours to scan 1/2 of a page. Using the formulas, the scientists doubled distance and speed to scan the parchment faster; however, the grey scaled data was not lined up properly causing the images to look blurred. My project was is to find out why doubling the parameters caused blurred images, and to fix the problem if it is fixable.

  7. A Novel Complementary Method for the Point-Scan Nondestructive Tests Based on Lamb Waves

    Directory of Open Access Journals (Sweden)

    Rahim Gorgin

    2014-01-01

    Full Text Available This study presents a novel area-scan damage identification method based on Lamb waves which can be used as a complementary method for point-scan nondestructive techniques. The proposed technique is able to identify the most probable locations of damages prior to point-scan test which lead to decreasing the time and cost of inspection. The test-piece surface was partitioned with some smaller areas and the damage probability presence of each area was evaluated. A0 mode of Lamb wave was generated and collected using a mobile handmade transducer set at each area. Subsequently, a damage presence probability index (DPPI based on the energy of captured responses was defined for each area. The area with the highest DPPI value highlights the most probable locations of damages in test-piece. Point-scan nondestructive methods can then be used once these areas are found to identify the damage in detail. The approach was validated by predicting the most probable locations of representative damages including through-thickness hole and crack in aluminum plates. The obtained experimental results demonstrated the high potential of developed method in defining the most probable locations of damages in structures.

  8. Study on scan timing using a test injection method in head CTA

    International Nuclear Information System (INIS)

    Sekito, Yuichi; Sanada, Hidenori

    2005-01-01

    In head computed tomographic angiography (CTA), circulation from arterial phase to venous phase is more rapid than that in other regions. Therefore, it is necessary to determine correct scan timing to obtain ideal CTA images. A test injection method makes it possible to set correct scan timing from the time density curve (TDC) for each subject. The method, however, has a weak point that is a time lag in an arrival time at peak point of contrast medium on TDC between the test injection and the primary examination because of the difference in total volume of contrast medium used. The purpose of this study calculated the delay time on the TDC in both scans. We used the test injection method and the bolus tracking method in the primary examination. The average errors in start time (Δt1) and slope change time (Δt2) of the contrast medium on the TDC between test injection and primary examination were 0.15 sec and 3.05 sec, respectively. The results indicated that it was important to grasp the delay time in start time and peak arrival time of the contrast medium between test injection and primary examination to obtain ideal images in head CTA. (author)

  9. Modeling Main Body of Overcrossing Bridge Based on Vehicle-Borne Laser Scanning Data

    Science.gov (United States)

    Chen, X.; Chen, M.; Wei, Z.; Zhong, R.

    2017-09-01

    Vehicle-borne laser scanning (VBLS) is widely used to collect urban data for various mapping and modelling systems. This paper proposes a strategy of feature extraction and 3d model reconstruction for main body of overcrossing bridges based on VBLS point clouds. As the bridges usually have a large span, and the clouds data is often affected by obstacles, we have to use round-trip cloud data to avoid missing part. To begin with, pick out the cloud of the bridge body by an interactive clip-box, and group points by scan-line, then sort the points by scanning angle on each scan line. Since the position under the vehicle have a fixed scan-angle, a virtual path can be obtained. Secondly, extract horizontal line segments perpendicular to the virtual path along adjacent scan-lines, and then cluster line segments into long line-strings, which represent the top and bottom edge. Finally, regularize the line-strings and build 3d surface model of the bridge body. Experimental studies have demonstrated its efficiency and accuracy in case of building bridge model. Modelling the stairs at the both end of the bridge will be the direction of the next step.

  10. An Advanced Actuator Line Method for Wind Energy Applications and Beyond

    Energy Technology Data Exchange (ETDEWEB)

    Churchfield, Matthew J.; Schreck, Scott; Martinez-Tossas, Luis A.; Meneveau, Charles; Spalart, Philippe R.

    2017-01-09

    The actuator line method to represent rotor aerodynamics within computational fluid dynamics has been in use for over a decade. This method applies a body force to the flow field along rotating lines corresponding to the individual rotor blades and employs tabular airfoil data to compute the force distribution. The actuator line method is attractive because compared to blade-resolved simulations, the required mesh is much simpler and the computational cost is lower. This work proposes a higher fidelity variant of the actuator line method meant to fill the space between current actuator line and blade-resolved simulations. It contains modifications in two key areas. The first is that of freestream velocity vector estimation along the line, which is necessary to compute the lift and drag along the line using tabular airfoil data. Most current methods rely on point sampling in which the location of sampling is ambiguous. Here we test a velocity sampling method that uses a properly weighted integral over space, removing this ambiguity. The second area of improvement is the function used to project the one-dimensional actuator line force onto the three-dimensional fluid mesh as a body force. We propose and test a projection function that spreads the force over a region that looks something like a real blade with the hope that it will produce the blade local and near wake flow features with more accuracy and higher fidelity. Our goal is that between these two improvements, not only will the flow field predictions be enhanced, but also the spanwise loading will be made more accurate. We refer to this combination of improvements as the advanced actuator line method. We apply these improvements to two different wind turbine cases. Although there is a strong wind energy motivation in our work, there is no reason these advanced actuator line ideas cannot be used in other applications, such as helicopter rotors.

  11. Gamma Ray Tomographic Scan Method for Large Scale Industrial Plants

    International Nuclear Information System (INIS)

    Moon, Jin Ho; Jung, Sung Hee; Kim, Jong Bum; Park, Jang Geun

    2011-01-01

    The gamma ray tomography systems have been used to investigate a chemical process for last decade. There have been many cases of gamma ray tomography for laboratory scale work but not many cases for industrial scale work. Non-tomographic equipment with gamma-ray sources is often used in process diagnosis. Gamma radiography, gamma column scanning and the radioisotope tracer technique are examples of gamma ray application in industries. In spite of many outdoor non-gamma ray tomographic equipment, the most of gamma ray tomographic systems still remained as indoor equipment. But, as the gamma tomography has developed, the demand on gamma tomography for real scale plants also increased. To develop the industrial scale system, we introduced the gamma-ray tomographic system with fixed detectors and rotating source. The general system configuration is similar to 4 th generation geometry. But the main effort has been made to actualize the instant installation of the system for real scale industrial plant. This work would be a first attempt to apply the 4th generation industrial gamma tomographic scanning by experimental method. The individual 0.5-inch NaI detector was used for gamma ray detection by configuring circular shape around industrial plant. This tomographic scan method can reduce mechanical complexity and require a much smaller space than a conventional CT. Those properties make it easy to get measurement data for a real scale plant

  12. System and method for compressive scanning electron microscopy

    Science.gov (United States)

    Reed, Bryan W

    2015-01-13

    A scanning transmission electron microscopy (STEM) system is disclosed. The system may make use of an electron beam scanning system configured to generate a plurality of electron beam scans over substantially an entire sample, with each scan varying in electron-illumination intensity over a course of the scan. A signal acquisition system may be used for obtaining at least one of an image, a diffraction pattern, or a spectrum from the scans, the image, diffraction pattern, or spectrum representing only information from at least one of a select subplurality or linear combination of all pixel locations comprising the image. A dataset may be produced from the information. A subsystem may be used for mathematically analyzing the dataset to predict actual information that would have been produced by each pixel location of the image.

  13. Comparison of electric field exposure measurement methods under power lines

    International Nuclear Information System (INIS)

    Korpinen, L.; Kuisti, H.; Tarao, H.; Paeaekkoenen, R.; Elovaara, J.

    2014-01-01

    The object of the study was to investigate extremely low frequency (ELF) electric field exposure measurement methods under power lines. The authors compared two different methods under power lines: in Method A, the sensor was placed on a tripod; and Method B required the measurer to hold the meter horizontally so that the distance from him/her was at least 1.5 m. The study includes 20 measurements in three places under 400 kV power lines. The authors used two commercial three-axis meters, EFA-3 and EFA-300. In statistical analyses, they did not find significant differences between Methods A and B. However, in the future, it is important to take into account that measurement methods can, in some cases, influence ELF electric field measurement results, and it is important to report the methods used so that it is possible to repeat the measurements. (authors)

  14. Fast beam cut-off method in RF-knockout extraction for spot-scanning

    CERN Document Server

    Furukawa, T

    2002-01-01

    An irradiation method with magnetic scanning has been developed in order to provide accurate irradiation even for an irregular target shape. The scanning method has strongly required a lower ripple of the beam spill and a faster response to beam-on/off in slow extraction from a synchrotron ring. At HIMAC, RF-knockout extraction has utilized a bunched beam to reduce the beam-spill ripple. Therefore, particles near the resonance can be spilled out from the separatrices by synchrotron oscillation as well as by a transverse RF field. From this point of view, a fast beam cut-off method has been proposed and verified by both simulations and experiments. The maximum delay from the beam cut-off signal to beam-off has been improved to around 60 mu s from 700 mu s by a usual method. Unwanted dose has been considerably reduced by around a factor of 10 compared with that by the usual method.

  15. The sweep-line state space exploration method

    DEFF Research Database (Denmark)

    Jensen, Kurt; Kristensen, Lars M.; Mailund, Thomas

    2012-01-01

    . The contribution of this paper is twofold. First, we provide a coherent presentation of the sweep-line theory and the many variants of the method that have been developed over the past 10 years since the basic idea of the method was conceived. Second, we survey a selection of case studies where the sweep...

  16. Development of a method of absorbed dose on-line monitoring at product processing by scanned electron beam

    International Nuclear Information System (INIS)

    Pomatsalyuk, R.I.; Shevchenko, V.A.; Tenishev, A.Eh.; Titov, D.V.; Uvarov, V.L.

    2016-01-01

    The conditions of the contact-free absorbed dose monitoring at industrial product processing by electron beam are investigated. The method is based on analysing the collected charge in a stack monitor (SM) mounted down-stream of irradiated object. Using computer simulation on the basis of a modified transport code PENELOPE-2008, it is shown that by placing a filter of low-energy electrons before SM it is possible to obtain the one-to-one correlation dependence between the monitor charge and absorbed energy of radiation in the processed object. At a certain surface density of the filter, this dependence takes on the form similar to linear. The possibility to use an air gap between the object and SM as such a filter has been demonstrated. For the conditions of radiation plant with an electron accelerator LU-10 of NSC KIPT, the optimum distance of the SM location has been established. For the practical range of the electron energy, beam scan width and surface density of the irradiated product, the constants of ''product absorbed energy-to- SM charge '' linear dependence have been determined. The capability to establish the average absorbed dose in the object moving trough the irradiation zone on the SM current is shown. The calculation data are in satisfactory agreement with the results of measurements.

  17. Automated bone removal in CT angiography: Comparison of methods based on single energy and dual energy scans

    International Nuclear Information System (INIS)

    Straten, Marcel van; Schaap, Michiel; Dijkshoorn, Marcel L.; Greuter, Marcel J.; Lugt, Aad van der; Krestin, Gabriel P.; Niessen, Wiro J.

    2011-01-01

    Purpose: To evaluate dual energy based methods for bone removal in computed tomography angiography (CTA) images and compare these with single energy based methods that use an additional, nonenhanced, CT scan. Methods: Four different bone removal methods were applied to CT scans of an anthropomorphic thorax phantom, acquired with a second generation dual source CT scanner. The methods differed by the way information on the presence of bone was obtained (either by using an additional, nonenhanced scan or by scanning with two tube voltages at the same time) and by the way the bone was removed from the CTA images (either by masking or subtracting the bone). The phantom contained parts which mimic vessels of various diameters in direct contact with bone. Both a quantitative and qualitative analysis of image quality after bone removal was performed. Image quality was quantified by the contrast-to-noise ratio (CNR) normalized to the square root of the dose (CNRD). At locations where vessels touch bone, the quality of the bone removal and the vessel preservation were visually assessed. The dual energy based methods were assessed with and without the addition of a 0.4 mm tin filter to the high voltage x-ray tube filtration. For each bone removal method, the dose required to obtain a certain CNR after bone removal was compared with the dose of a reference scan with the same CNR but without automated bone removal. The CNRD value of the reference scan was maximized by choosing the lowest tube voltage available. Results: All methods removed the bone completely. CNRD values were higher for the masking based methods than for the subtraction based methods. Single energy based methods had a higher CNRD value than the corresponding dual energy based methods. For the subtraction based dual energy method, tin filtration improved the CNRD value with approximately 50%. For the masking based dual energy method, it was easier to differentiate between iodine and bone when tin filtration

  18. Reliability testing of tendon disease using two different scanning methods in patients with rheumatoid arthritis

    DEFF Research Database (Denmark)

    Bruyn, George A W; Möller, Ingrid; Garrido, Jesus

    2012-01-01

    To assess the intra- and interobserver reliability of musculoskeletal ultrasonography (US) in detecting inflammatory and destructive tendon abnormalities in patients with RA using two different scanning methods.......To assess the intra- and interobserver reliability of musculoskeletal ultrasonography (US) in detecting inflammatory and destructive tendon abnormalities in patients with RA using two different scanning methods....

  19. SU-E-T-594: Preliminary Active Scanning Results of KHIMA

    International Nuclear Information System (INIS)

    Kim, C; Yang, T; Chang, S; Kim, H; Lee, H; Kim, J; Jang, H; Han, G; Park, D; Hwang, W; Kim, G

    2014-01-01

    Purpose: To verify the design criteria on heavy ion beam irradiation, developing a proto type active scanning system was purposed. The active scanning system consists of scanning magnet, power supplies, beam monitors, energy modulation system, and irradiation control system. Methods: Each components of the active scanning system was designed for carbon beam first. For the fast ramping a laminated yoke was purposed. To measure incoming dose and profile, a plate and strip type of ion chambers were designed. Also, ridge filter and range shifter was manufactured. And, the scanning system was modified to adopt 45 MeV of proton beam because of the absence of carbon ion beam in Korea. The system was installed in a beam line at MC-50, KIRAMS. Also, the irradiation control system and planning software was provided. Results: The scanning experiment was performed by drawing KHIMA logo on GaF film. The logo was scanned by 237 scanning points through time normalized intensity modulation. Also, a grid points scanning was performed to measure the scanning resolution and intensity resolution. Conclusion: A prototype active scanning system was successfully designed and manufactured. Also, an initial experiment to print out a drawing on GaF film through the scanning system was completed. More experiments would be required to specify the system performance

  20. HOVE-Wedge-Filtering of Geomorphologic Terrestrial Laser Scan Data

    Directory of Open Access Journals (Sweden)

    Helmut Panholzer

    2018-02-01

    Full Text Available Terrestrial laser scanning has become an important surveying technique in many fields such as natural hazard assessment. To analyse earth surface processes, it is useful to generate a digital terrain model originated from laser scan point cloud data. To determine the terrain surface as precisely as possible, it is often necessary to filter out points that do not represent the terrain surface. Examples are vegetation, vehicles, and animals. In mountainous terrain with a small-structured topography, filtering is very difficult. Here, automatic filtering solutions usually designed for airborne laser scan data often lead to unsatisfactory results. In this work, we further develop an existing approach for automated filtering of terrestrial laser scan data, which is based on the assumption that no other surface point can be located in the area above a direct line of sight between scanner and another measured point. By taking into account several environmental variables and a repetitive calculation method, the modified method leads to significantly better results. The root-mean-square-error (RSME for the same test measurement area could be reduced from 5.284 to 1.610. In addition, a new approach for filtering and interpolation of terrestrial laser scanning data is presented using a grid with horizontal and vertical angular data and the measurement length.

  1. MODELING MAIN BODY OF OVERCROSSING BRIDGE BASED ON VEHICLE-BORNE LASER SCANNING DATA

    Directory of Open Access Journals (Sweden)

    X. Chen

    2017-09-01

    Full Text Available Vehicle-borne laser scanning (VBLS is widely used to collect urban data for various mapping and modelling systems. This paper proposes a strategy of feature extraction and 3d model reconstruction for main body of overcrossing bridges based on VBLS point clouds. As the bridges usually have a large span, and the clouds data is often affected by obstacles, we have to use round-trip cloud data to avoid missing part. To begin with, pick out the cloud of the bridge body by an interactive clip-box, and group points by scan-line, then sort the points by scanning angle on each scan line. Since the position under the vehicle have a fixed scan-angle, a virtual path can be obtained. Secondly, extract horizontal line segments perpendicular to the virtual path along adjacent scan-lines, and then cluster line segments into long line-strings, which represent the top and bottom edge. Finally, regularize the line-strings and build 3d surface model of the bridge body. Experimental studies have demonstrated its efficiency and accuracy in case of building bridge model. Modelling the stairs at the both end of the bridge will be the direction of the next step.

  2. Scan-Less Line Field Optical Coherence Tomography, with Automatic Image Segmentation, as a Measurement Tool for Automotive Coatings

    Directory of Open Access Journals (Sweden)

    Samuel Lawman

    2017-04-01

    Full Text Available The measurement of the thicknesses of layers is important for the quality assurance of industrial coating systems. Current measurement techniques only provide a limited amount of information. Here, we show that spectral domain Line Field (LF Optical Coherence Tomography (OCT is able to return to the user a cross sectional B-Scan image in a single shot with no mechanical moving parts. To reliably extract layer thicknesses from such images of automotive paint systems, we present an automatic graph search image segmentation algorithm. To show that the algorithm works independently of the OCT device, the measurements are repeated with a separate time domain Full Field (FF OCT system. This gives matching mean thickness values within the standard deviations of the measured thicknesses across each B-Scan image. The combination of an LF-OCT with graph search segmentation is potentially a powerful technique for the quality assurance of non-opaque industrial coating layers.

  3. Efficient cascaded parameter scan approach for studying top-off safety in storage rings

    Directory of Open Access Journals (Sweden)

    Yongjun Li

    2011-03-01

    Full Text Available We introduce a new algorithm, which we call the cascaded parameter scan method, to efficiently carry out the scan over magnet parameters in the safety analysis for top-off injection in synchrotron radiation storage rings. In top-off safety analysis, one must track particles populating phase space through a beam line containing magnets and apertures and clearly demonstrate that, for all possible magnet settings and errors, all particles are lost on scrapers within the properly shielded region. In the usual approach, if one considers m magnets and scans each magnet through n setpoints, then one must carry out n^{m} tracking runs. In the cascaded parameter scan method, the number of tracking runs is reduced to n×m. This reduction of exponential to linear dependence on the number of setpoints n greatly reduces the required computation time and allows one to more densely populate phase space and to increase the number n of setpoints scanned for each magnet.

  4. method and container for production of diagnostic scanning agents

    International Nuclear Information System (INIS)

    Ruddock, C.F.

    1979-01-01

    The pertechnetate ion containing the technetium-99m isotope has limited applications in diagnostic scanning because it does not readily form complexes with materials which locate in specific parts of the body. Stannous salts have been widely used to reduce the pertechnetate to a form which readily complexes with materials. In the present invention, both a container and a more suitable metal reducing agent are discussed for transforming the technetium in pertechnetate for diagnostic scanning use. The vessel contains tin or a tin-containing alloy as a reducing agent for the pertechnetate and a complexant for the reduced technetium; all contents are sterile and dry. The present invention is advantageous over the stannous salts method since (1) problems of stannous salt instability during production, storage and after labelling are eliminated; (2) production procedures are simplified; (3) it is not essential to nitrogen purge vials before sterilisation; (4) it reduces toxicity; (5) the shelf life of diagnostic scanning kits may be dramatically improved; (6) the metal reducing agent may be sterilised by γ-irradiation without deteriorating; (7) the labelling technique can be performed over a wide pH range; and (8) the technique should be unaffected by technetium-99 in the technetium-99m. (U.K.)

  5. Improved coating and fixation methods for scanning electron microscope autoradiography

    International Nuclear Information System (INIS)

    Weiss, R.L.

    1984-01-01

    A simple apparatus for emulsion coating is described. The apparatus is inexpensive and easily assembled in a standard glass shop. Emulsion coating for scanning electron microscope autoradiography with this apparatus consistently yields uniform layers. When used in conjunction with newly described fixation methods, this new approach produces reliable autoradiographs of undamaged specimens

  6. Accuracy and Reliability of a Novel Method for Fusion of Digital Dental Casts and Cone Beam Computed Tomography Scans

    Science.gov (United States)

    Rangel, Frits A.; Maal, Thomas J. J.; Bronkhorst, Ewald M.; Breuning, K. Hero; Schols, Jan G. J. H.; Bergé, Stefaan J.; Kuijpers-Jagtman, Anne Marie

    2013-01-01

    Several methods have been proposed to integrate digital models into Cone Beam Computed Tomography scans. Since all these methods have some drawbacks such as radiation exposure, soft tissue deformation and time-consuming digital handling processes, we propose a new method to integrate digital dental casts into Cone Beam Computed Tomography scans. Plaster casts of 10 patients were randomly selected and 5 titanium markers were glued to the upper and lower plaster cast. The plaster models were scanned, impressions were taken from the plaster models and the impressions were also scanned. Linear measurements were performed on all three models, to assess accuracy and reproducibility. Besides that, matching of the scanned plaster models and scanned impressions was done, to assess the accuracy of the matching procedure. Results show that all measurement errors are smaller than 0.2 mm, and that 81% is smaller than 0.1 mm. Matching of the scanned plaster casts and scanned impressions show a mean error between the two surfaces of the upper arch of 0.14 mm and for the lower arch of 0.18 mm. The time needed for reconstructing the CBCT scans to a digital patient, where the impressions are integrated into the CBCT scan of the patient takes about 15 minutes, with little variance between patients. In conclusion, we can state that this new method is a reliable method to integrate digital dental casts into CBCT scans. As far as radiation exposure, soft tissue deformation and digital handling processes are concerned, it is a significant improvement compared to the previously published methods. PMID:23527111

  7. Accuracy and reliability of a novel method for fusion of digital dental casts and Cone Beam Computed Tomography scans.

    Directory of Open Access Journals (Sweden)

    Frits A Rangel

    Full Text Available Several methods have been proposed to integrate digital models into Cone Beam Computed Tomography scans. Since all these methods have some drawbacks such as radiation exposure, soft tissue deformation and time-consuming digital handling processes, we propose a new method to integrate digital dental casts into Cone Beam Computed Tomography scans. Plaster casts of 10 patients were randomly selected and 5 titanium markers were glued to the upper and lower plaster cast. The plaster models were scanned, impressions were taken from the plaster models and the impressions were also scanned. Linear measurements were performed on all three models, to assess accuracy and reproducibility. Besides that, matching of the scanned plaster models and scanned impressions was done, to assess the accuracy of the matching procedure. Results show that all measurement errors are smaller than 0.2 mm, and that 81% is smaller than 0.1 mm. Matching of the scanned plaster casts and scanned impressions show a mean error between the two surfaces of the upper arch of 0.14 mm and for the lower arch of 0.18 mm. The time needed for reconstructing the CBCT scans to a digital patient, where the impressions are integrated into the CBCT scan of the patient takes about 15 minutes, with little variance between patients. In conclusion, we can state that this new method is a reliable method to integrate digital dental casts into CBCT scans. As far as radiation exposure, soft tissue deformation and digital handling processes are concerned, it is a significant improvement compared to the previously published methods.

  8. The method of lines solution of discrete ordinates method for non-grey media

    International Nuclear Information System (INIS)

    Cayan, Fatma Nihan; Selcuk, Nevin

    2007-01-01

    A radiation code based on method of lines (MOL) solution of discrete ordinates method (DOM) for radiative heat transfer in non-grey absorbing-emitting media was developed by incorporation of a gas spectral radiative property model, namely wide band correlated-k (WBCK) model, which is compatible with MOL solution of DOM. Predictive accuracy of the code was evaluated by applying it to 1-D parallel plate and 2-D axisymmetric cylindrical enclosure problems containing absorbing-emitting medium and benchmarking its predictions against line-by-line solutions available in the literature. Comparisons reveal that MOL solution of DOM with WBCK model produces accurate results for radiative heat fluxes and source terms and can be used with confidence in conjunction with computational fluid dynamics codes based on the same approach

  9. A Scanning Microwave Radar and Radiometer

    DEFF Research Database (Denmark)

    Skou, Niels

    1995-01-01

    The Scanning Microwave Radar and Radiometer (SMRR) is a line scanner featuring a combined radar and radiometer system operating around 35 and 94 GHz. The layout of the SMRR is shown. The 2 offset antenna parabolas scan in synchronism, the receiver antenna has the highest gain in order to ensure...

  10. Thyroid Scan and Uptake

    Medline Plus

    Full Text Available ... found, and should not be a cause of concern for you. If you had an intravenous line ... found, and should not be a cause of concern for you. Actual scanning time for each thyroid ...

  11. An elastography method based on the scanning contact resonance of a piezoelectric cantilever

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Ji; Li, Faxin, E-mail: lifaxin@pku.edu.cn [State Key Lab for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871, China and HEDPS, Center for Applied Physics and Technologies, Peking University, Beijing 100871 (China)

    2013-12-15

    Purpose: Most tissues may become significantly stiffer than their normal states when there are lesions inside. The tissue's modulus can then act as an identification parameter for clinic diagnosis of tumors or fibrosis, which leads to elastography. This study introduces a novel elastography method that can be used for modulus imaging of superficial organs. Methods: This method is based on the scanning contact-resonance of a unimorph piezoelectric cantilever. The cantilever vibrates in its bending mode with the tip pressed tightly on the sample. The contact resonance frequency of the cantilever-sample system is tracked at each scanning point, from which the sample's modulus can be derived based on a beam dynamic model and a contact mechanics model. Scanning is performed by a three-dimensional motorized stage and the whole system is controlled by a homemade software program based on LabVIEW. Results: Testing onin vitro beef tissues indicates that the fat and the muscle can be easily distinguished using this system, and the accuracy of the modulus measurement can be comparable with that of nanoindentation. Imaging on homemade gelatin phantoms shows that the depth information of the abnormalities can be qualitatively obtained by varying the pressing force. The detection limit of this elastography method is specially examined both experimentally and numerically. Results show that it can detect the typical lesions in superficial organs with the depth of several centimeters. The lateral resolution of this elastography method/system is better than 0.5 mm, and could be further enhanced by using more scanning points. Conclusions: The proposed elastography system can be regarded as a sensitive palpation robot, which may be very promising in early diagnosis of tumors in superficial organs such as breast and thyroid.

  12. Marginal and internal fit of zirconia copings obtained using different digital scanning methods

    Directory of Open Access Journals (Sweden)

    Lorena Oliveira PEDROCHE

    Full Text Available Abstract The objective of this study was to evaluate the marginal and internal fit of zirconia copings obtained with different digital scanning methods. A human mandibular first molar was set in a typodont with its adjacent and antagonist teeth and prepared for an all-ceramic crown. Digital impressions were made using an intraoral scanner (3Shape. Polyvinyl siloxane impressions and Type IV gypsum models were also obtained and scanned with a benchtop laboratory scanner (3Shape D700. Ten zirconia copings were fabricated for each group using CAD-CAM technology. The marginal and internal fit of the zirconia copings was assessed by the silicone replica technique. Four sections of each replica were obtained, and each section was evaluated at four points: marginal gap (MG, axial wall (AW, axio-occlusal edge (AO and centro-occlusal wall (CO, using an image analyzing software. The data were submitted to one-way ANOVA and Tukey’s test (α = 0.05. They showed statistically significant differences for MG, AO and CO. Regarding MG, intraoral scanning showed lower gap values, whereas gypsum model scanning showed higher gap values. Regarding AO and CO, intraoral digital scanning showed lower gap values. Polyvinyl siloxane impression scanning and gypsum model scanning showed higher gap values and were statistically similar. It can be concluded that intraoral digital scanning provided a lower mean gap value, in comparison with conventional impressions and gypsum casts scanned with a standard benchtop laboratory scanner.

  13. An Advanced Actuator Line Method for Wind Energy Applications and Beyond: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Churchfield, Matthew; Schreck, Scott; Martinez-Tossas, Luis A.; Meneveau, Charles; Spalart, Philippe R.

    2017-03-24

    The actuator line method to represent rotor aerodynamics within computational fluid dynamics has been in use for over a decade. This method applies a body force to the flow field along rotating lines corresponding to the individual rotor blades and employs tabular airfoil data to compute the force distribution. The actuator line method is attractive because compared to blade-resolved simulations, the required mesh is much simpler and the computational cost is lower. This work proposes a higher fidelity variant of the actuator line method meant to fill the space between current actuator line and blade-resolved simulations. It contains modifications in two key areas. The first is that of freestream velocity vector estimation along the line, which is necessary to compute the lift and drag along the line using tabular airfoil data. Most current methods rely on point sampling in which the location of sampling is ambiguous. Here we test a velocity sampling method that uses a properly weighted integral over space, removing this ambiguity. The second area of improvement is the function used to project the one-dimensional actuator line force onto the three-dimensional fluid mesh as a body force. We propose and test a projection function that spreads the force over a region that looks something like a real blade with the hope that it will produce the blade local and near wake flow features with more accuracy and higher fidelity. Our goal is that between these two improvements, not only will the flow field predictions be enhanced, but also the spanwise loading will be made more accurate. We refer to this combination of improvements as the advanced actuator line method. We apply these improvements to two different wind turbine cases. Although there is a strong wind energy motivation in our work, there is no reason these advanced actuator line ideas cannot be used in other applications, such as helicopter rotors.

  14. Risk Analysis Method Based on FMEA for Transmission Line in Lightning Hazards

    Directory of Open Access Journals (Sweden)

    You-Yuan WANG

    2014-05-01

    Full Text Available Failure rate of transmission line and reliability of power system are significantly affected by Lightning meteorological factor. In view of the complexity and variability of Lightning meteorological factors, this paper presents lightning trip-out rate model of transmission line in considering distribution of ground flash density and lightning day hours. Meanwhile, presents a failure rate model of transmission line in different condition, and a risk analysis method for transmission line considering multiple risk factors based on risk quantification. This method takes Lightning meteorological factor as the main evaluation standard, and establishes risk degree evaluation system for transmission line including another five evaluation standard. Put forward the risk indicators by quantify the risk factors based on experience date of transmission line in service. Based on the risk indexes comprehensive evaluation is conducted, and the evaluation result closer to practice is achieved, providing basis for transmission line risk warning and maintenance strategy. Through the risk analysis for 220 kV transmission line in a certain power supply bureau, the effectiveness of the proposed method is validated.

  15. In-line monitoring of Li-ion battery electrode porosity and areal loading using active thermal scanning - modeling and initial experiment

    Science.gov (United States)

    Rupnowski, Przemyslaw; Ulsh, Michael; Sopori, Bhushan; Green, Brian G.; Wood, David L.; Li, Jianlin; Sheng, Yangping

    2018-01-01

    This work focuses on a new technique called active thermal scanning for in-line monitoring of porosity and areal loading of Li-ion battery electrodes. In this technique a moving battery electrode is subjected to thermal excitation and the induced temperature rise is monitored using an infra-red camera. Static and dynamic experiments with speeds up to 1.5 m min-1 are performed on both cathodes and anodes and a combined micro- and macro-scale finite element thermal model of the system is developed. It is shown experimentally and through simulations that during thermal scanning the temperature profile generated in an electrode depends on both coating porosity (or area loading) and thickness. It is concluded that by inverting this relation the porosity (or areal loading) can be determined, if thermal response and thickness are simultaneously measured.

  16. CS-Studio Scan System Parallelization

    Energy Technology Data Exchange (ETDEWEB)

    Kasemir, Kay [ORNL; Pearson, Matthew R [ORNL

    2015-01-01

    For several years, the Control System Studio (CS-Studio) Scan System has successfully automated the operation of beam lines at the Oak Ridge National Laboratory (ORNL) High Flux Isotope Reactor (HFIR) and Spallation Neutron Source (SNS). As it is applied to additional beam lines, we need to support simultaneous adjustments of temperatures or motor positions. While this can be implemented via virtual motors or similar logic inside the Experimental Physics and Industrial Control System (EPICS) Input/Output Controllers (IOCs), doing so requires a priori knowledge of experimenters requirements. By adding support for the parallel control of multiple process variables (PVs) to the Scan System, we can better support ad hoc automation of experiments that benefit from such simultaneous PV adjustments.

  17. The effect of sidewall roughness on line edge roughness in top-down scanning electron microscopy images

    Science.gov (United States)

    Verduin, T.; Lokhorst, S. R.; Kruit, P.; Hagen, C. W.

    2015-03-01

    We have investigated in a numerical study the determination of sidewall roughness (SWR) from top down scanning electron microscopy (SEM) images. In a typical metrology application, top-down SEM images are acquired in a (critical-dimension) SEM and the roughness is analyzed. However, the true size, shape and roughness characteristics of resist features are not fully investigated in the analysis of top-down SEM images. In reality, rough resist features are complex three-dimensional structures and the characterization naturally extends to the analysis of SWR. In this study we randomly generate images of rough lines and spaces, where the lines are made of PMMA on a silicon substrate. The lines that we study have a length of 2 µm, a width of 32nm and a height of 32 nm. The SWR is modeled by using the power spectral density (PSD) function of Palasantzas, which characterizes roughness by the standard deviation σ, correlation length ξ and roughness exponent α . The actual roughness is generated by application of the method of Thorsos in two dimensions. The images are constructed by using a home-built program for simulating electron-specimen interactions. The program that we have developed is optimized for complex arbitrary geometries and large number of incident low energy primary electrons by using multi-core CPUs and GPUs. The program uses the dielectric function model for inelastic scattering events and has an implementation specifically for low energy electrons. A satisfactory comparison is made between the secondary electron yields from the home-built program and another program found in literature. In order to reduce the risk of shrinkage, we use a beam energy of 300 eV and a spot size of 3 nm. Each pixel is exposed with 20 electrons on average (≍ 276 µC/cm2), following the Poisson distribution to account for illumination shot noise. We have assumed that the detection of electrons is perfect and does not introduce additional noise. We measure line edge

  18. A method for separation of the terrain and non-terrain from Vehicle-borne Laser Scanning Data

    International Nuclear Information System (INIS)

    Wei, Jiangxia; Zhong, Ruofei

    2014-01-01

    Half the points from vehicle-borne laser scanning data are terrain data. If you want to extract features such as trees, street lights and buildings, terrain points must be removed. Nowadays, either airborne or vehicle-borne laser data, are mostly used to set an elevation threshold based on the scanning line or POS data to determine whether the point is a terrain point or not, but the disadvantage is part of low buildings or other feature objects will be lost. If the study area has high differences in the horizontal or the forward direction, this method is not applicable. This paper investigates a new methodology to extract the terrain points, which has great significance for data reduction and classification. The procedure includes the following steps: 1)Pre-processing: to remove discrete points and abnormal points. 2) Divided all the points into grid, calculating the average value of the XY and the minimum value of the Z of all the points in the same grid as the central point of the grid.3) Choose nearest six points which are close to the centre point to fitting the quadratic surface.4)Compare the normal vector of the fitting surface of the grid to the normal vector of the 8-neighborhood, if the difference is too big, it will be smoothed.5) Determine whether the point in the grid is on the surface, if the point belongs to the surface, it will be classified as terrain point. The results and evaluation have shown the effectiveness of the method and its potential in separation of the terrain of various areas

  19. Frequency scanning microstrip antennas

    DEFF Research Database (Denmark)

    Danielsen, Magnus; Jørgensen, Rolf

    1979-01-01

    The principles of using radiating microstrip resonators as elements in a frequency scanning antenna array are described. The resonators are cascade-coupled. This gives a scan of the main lobe due to the phase-shift in the resonator in addition to that created by the transmission line phase......-shift. Experimental results inX-band, in good agreement with the theory, show that it is possible to scan the main lobe an angle ofpm30degby a variation of the frequencypm300MHz, and where the 3 dB beamwidth is less than10deg. The directivity was 14.7 dB, while the gain was 8.1 dB. The efficiency might be improved...

  20. New on-line method for water isotope analysis of speleothem fluid inclusions using laser absorption spectroscopy (WS-CRDS)

    Science.gov (United States)

    Affolter, S.; Fleitmann, D.; Leuenberger, M.

    2014-01-01

    A new online method to analyse water isotopes of speleothem fluid inclusions using a wavelength scanned cavity ring down spectroscopy (WS-CRDS) instrument is presented. This novel technique allows us to simultaneously measure hydrogen and oxygen isotopes for a released aliquot of water. To do so, we designed a new simple line that allows the on-line water extraction and isotope analysis of speleothem samples. The specificity of the method lies in the fact that fluid inclusions release is made on a standard water background, which mainly improves the δD reliability. To saturate the line, a peristaltic pump continuously injects standard water into the line that is permanently heated to 140 °C and flushed with dry nitrogen gas. This permits instantaneous and complete vaporisation of the standard water resulting in an artificial water background with well-known δD and δ18O values. The speleothem sample is placed into a copper tube, attached to the line and after system stabilisation is crushed using a simple hydraulic device to liberate speleothem fluid inclusions water. The released water is carried by the nitrogen/standard water gas stream directly to a Picarro L1102-i for isotope determination. To test the accuracy and reproducibility of the line and to measure standard water during speleothem measurements a syringe injection unit was added to the line. Peak evaluation is done similarly as in gas chromatography to obtain δD and δ18O isotopic composition of measured water aliquots. Precision is better than 1.5‰ for δD and 0.4‰ for δ18O for water measurement for an extended range (-210 to 0‰ for δD and -27 to 0‰ for δ18O) primarily dependent on the amount of water released from speleothem fluid inclusions and secondarily on the isotopic composition of the sample. The results show that WS-CRDS technology is suitable for speleothem fluid inclusion measurements and gives results that are comparable to Isotope Ratio Mass Spectrometry (IRMS) technique.

  1. Spectrum scanning and reserve channel methods for link maintenance in cognitive radio systems

    OpenAIRE

    Subramani, S; Armour, SMD; Kaleshi, D; Fan, Z

    2008-01-01

    Underutilization of the limited spectrum sparked the need for dynamic spectrum access and flexible spectrum policies. Accurate estimation of spectrum occupancy is an essential step for spectrum access in distributed networks. This paper analyzes a bidirectional and dual scanning method that scans multiple spectrum bands to find a suitable free-channel. Moreover, secondary users' access to available spectrum could be interrupted by the arrival of primary spectrum users, forcing the well-behave...

  2. A landmark-based method for the geometrical 3D calibration of scanning microscopes

    Energy Technology Data Exchange (ETDEWEB)

    Ritter, M.

    2007-04-27

    This thesis presents a new strategy and a spatial method for the geometric calibration of 3D measurement devices at the micro-range, based on spatial reference structures with nanometersized landmarks (nanomarkers). The new method was successfully applied for the 3D calibration of scanning probe microscopes (SPM) and confocal laser scanning microscopes (CLSM). Moreover, the spatial method was also used for the photogrammetric self-calibration of scanning electron microscopes (SEM). In order to implement the calibration strategy to all scanning microscopes used, the landmark-based principle of reference points often applied at land survey or at close-range applications has been transferred to the nano- and micro-range in the form of nanomarker. In order to function as a support to the nanomarkers, slope-shaped step pyramids have been developed and fabricated by focused ion beam (FIB) induced metal deposition. These FIB produced 3D microstructures have been sized to embrace most of the measurement volume of the scanning microscopes. Additionally, their special design allows the homogenous distribution of the nanomarkers. The nanomarkers were applied onto the support and the plateaus of the slope-step pyramids by FIB etching (milling) as landmarks with as little as several hundreds of nanometers in diameter. The nanomarkers are either of point-, or ring-shaped design. They are optimized so that they can be spatially measured by SPM and CLSM, and, imaged and photogrammetrically analyzed on the basis of SEM data. The centre of the each nanomarker serves as reference point in the measurement data or images. By applying image processing routines, the image (2D) or object (3D) coordinates of each nanomarker has been determined with subpixel accuracy. The correlative analysis of the SPM, CLSM and photogrammetric SEM measurement data after 3D calibration resulted in mean residues in the measured coordinates of as little as 13 nm. Without the coupling factors the mean

  3. Intercultural Pedagogy and Story-line as a Method

    DEFF Research Database (Denmark)

    Gregersen, Annette Søndergaard; Tiemensma, Britt Due

    2006-01-01

    The paper focuses on intercultural competence achieved through knowledge of the relationship of identity, culture and language. The theoretical approach will be combined with an outline of story-line as a method....

  4. Analysis of tyrosine phosphorylation sites in signaling molecules by a phosphotyrosine-specific immonium ion scanning method

    DEFF Research Database (Denmark)

    Steen, Hanno; Pandey, Akhilesh; Andersen, Jens S

    2002-01-01

    mechanism for activating or inhibiting enzymes and for the assembly of multiprotein complexes. Here, we describe a mass spectrometry-based phosphotyrosine-specific immonium ion scanning (PSI scanning) method for selective detection of tyrosine-phosphorylated peptides. Once the tyrosine....... Because of its simplicity and specificity, PSI scanning is likely to become an important tool in proteomic studies of pathways involving tyrosine phosphorylation....

  5. New hybrid conjugate gradient methods with the generalized Wolfe line search.

    Science.gov (United States)

    Xu, Xiao; Kong, Fan-Yu

    2016-01-01

    The conjugate gradient method was an efficient technique for solving the unconstrained optimization problem. In this paper, we made a linear combination with parameters β k of the DY method and the HS method, and putted forward the hybrid method of DY and HS. We also proposed the hybrid of FR and PRP by the same mean. Additionally, to present the two hybrid methods, we promoted the Wolfe line search respectively to compute the step size α k of the two hybrid methods. With the new Wolfe line search, the two hybrid methods had descent property and global convergence property of the two hybrid methods that can also be proved.

  6. Highly Accurate Tree Models Derived from Terrestrial Laser Scan Data: A Method Description

    Directory of Open Access Journals (Sweden)

    Jan Hackenberg

    2014-05-01

    Full Text Available This paper presents a method for fitting cylinders into a point cloud, derived from a terrestrial laser-scanned tree. Utilizing high scan quality data as the input, the resulting models describe the branching structure of the tree, capable of detecting branches with a diameter smaller than a centimeter. The cylinders are stored as a hierarchical tree-like data structure encapsulating parent-child neighbor relations and incorporating the tree’s direction of growth. This structure enables the efficient extraction of tree components, such as the stem or a single branch. The method was validated both by applying a comparison of the resulting cylinder models with ground truth data and by an analysis between the input point clouds and the models. Tree models were accomplished representing more than 99% of the input point cloud, with an average distance from the cylinder model to the point cloud within sub-millimeter accuracy. After validation, the method was applied to build two allometric models based on 24 tree point clouds as an example of the application. Computation terminated successfully within less than 30 min. For the model predicting the total above ground volume, the coefficient of determination was 0.965, showing the high potential of terrestrial laser-scanning for forest inventories.

  7. Numerical Methods for Plate Forming by Line Heating

    DEFF Research Database (Denmark)

    Clausen, Henrik Bisgaard

    2000-01-01

    Few researchers have addressed so far the topic Line Heating in the search for better control of the process. Various methods to help understanding the mechanics have been used, including beam analysis approximation, equivalent force calculation and three-dimensional finite element analysis. I...... consider here finite element methods to model the behaviour and to predict the heating paths....

  8. A method for crack profiles identification in eddy current testing by the multi-directional scan

    International Nuclear Information System (INIS)

    Kojima, Fumio; Ikeda, Takuya; Nguyen, Doung

    2006-01-01

    This paper is concerned with a method for identification of crack shape in conducting materials. Multi-directional scanning strategies using Eddy Current Testing is performed for sizing complex natural crackings. Two dimensional measurements by means of multi-directional scan are used in a output least square identifications. (author)

  9. Research on Method of Photoelectric Measurement for Tilt Angle of Scanning Mirror of Infrared Earth Sensor

    Energy Technology Data Exchange (ETDEWEB)

    Xu, X P; Zhang, G Y; Zhang, N; Wang, L Y [Changchun University of Science and Technology, 130022, Changchun (China)

    2006-10-15

    Tilt angle of scanning mirror is one of the important qualifications of performance measurement on the earth surface for swing scanning mode infrared the earth sensor. In order to settle the problem of measuring the tilt angle of scanning mirror in dynamic, real-time and non-contact, based on laser inspecting technology and CCD probing technology, a method of laser dynamical measurement for tilt angle of scanning mirror of the infrared earth sensor is presented. The measurement system developed in this paper can accomplish the dynamic and static laser non-contact measurement for the parameters of scanning mirror such as tilt angle, swing frequency, etc. In this paper the composition and overall structure of system are introduced. Emphasis on analyzing and discussing the theory of dynamically measuring tilt angle of scanning mirror, the problems of data processing and error correction are settled by established mathematic model of system. The accuracy of measurement system is verified by experiment, the results indicated that measurement range of system for tilt angle is 0{approx}{+-}12{sup 0}, accuracy of dynamic and static measurement is less than {+-}0.05{sup 0}, this method of dynamically measuring tilt angle is suitable.

  10. In vitro evaluation of photon and raster-scanned carbon ion radiotherapy in combination with gemcitabine in pancreatic cancer cell lines

    International Nuclear Information System (INIS)

    Shafie, Rami A. El; Habermehl, Daniel; Rieken, Stefan

    2013-01-01

    Pancreatic cancer is the fourth leading cause of cancer deaths, being responsible for 6% of all cancer-related deaths. Conventional radiotherapy with or without additional chemotherapy has been applied in the past in the context of neoadjuvant or adjuvant therapy concepts with only modest results, however new radiation modalities, such as particle therapy with promising physical and biological characteristics, present an alternative treatment option for patients with pancreatic cancer. Up until now the raster scanning technique employed at our institution for the application of carbon ions has been unique, and no radiobiological data using pancreatic cancer cells has been available yet. The aim of this study was to evaluate cytotoxic effects that can be achieved by treating pancreatic cancer cell lines with combinations of X-rays and gemcitabine, or alternatively with carbon ion irradiation and gemcitabine, respectively. Human pancreatic cancer cell lines AsPC-1, BxPC-3 and Panc-1 were irradiated with photons and carbon ions at various doses and treated with gemcitabine. Photon irradiation was applied with a biological cabin X-ray irradiator, and carbon ion irradiation was applied with an extended Bragg peak (linear energy transfer (LET) 103 keV/μm) using the raster scanning technique at the Heidelberg Ion Therapy Center (HIT). Responsiveness of pancreatic cancer cells to the treatment was measured by clonogenic survival. Clonogenic survival curves were then compared to predicted curves that were calculated employing the local effect model (LEM). Cell survival curves were calculated from the surviving fractions of each combination experiment and compared to a drug control that was only irradiated with X-rays or carbon ions, without application of gemcitabine. In terms of cytotoxicity, additive effects were achieved for the cell lines Panc-1 and BxPC-3, and a slight radiosensitizing effect was observed for AsPC-1. Relative biological effectiveness (RBE) of carbon

  11. EXTRACTION OF ROOF LINES FROM HIGH-RESOLUTION IMAGES BY A GROUPING METHOD

    Directory of Open Access Journals (Sweden)

    A. P. Dal Poz

    2016-06-01

    Full Text Available This paper proposes a method for extracting groups of straight lines that represent roof boundaries and roof ridgelines from highresolution aerial images using corresponding Airborne Laser Scanner (ALS roof polyhedrons as initial approximations. The proposed method is based on two main steps. First, straight lines that are candidates to represent roof ridgelines and roof boundaries of a building are extracted from the aerial image. Second, a group of straight lines that represent roof boundaries and roof ridgelines of a selected building is obtained through the optimization of a Markov Random Field (MRF-based energy function using the genetic algorithm optimization method. The formulation of this energy function considers several attributes, such as the proximity of the extracted straight lines to the corresponding projected ALS-derived roof polyhedron and the rectangularity (extracted straight lines that intersect at nearly 90°. Experimental results are presented and discussed in this paper.

  12. Image Mosaic Method Based on SIFT Features of Line Segment

    Directory of Open Access Journals (Sweden)

    Jun Zhu

    2014-01-01

    Full Text Available This paper proposes a novel image mosaic method based on SIFT (Scale Invariant Feature Transform feature of line segment, aiming to resolve incident scaling, rotation, changes in lighting condition, and so on between two images in the panoramic image mosaic process. This method firstly uses Harris corner detection operator to detect key points. Secondly, it constructs directed line segments, describes them with SIFT feature, and matches those directed segments to acquire rough point matching. Finally, Ransac method is used to eliminate wrong pairs in order to accomplish image mosaic. The results from experiment based on four pairs of images show that our method has strong robustness for resolution, lighting, rotation, and scaling.

  13. An empirical Bayes method for updating inferences in analysis of quantitative trait loci using information from related genome scans.

    Science.gov (United States)

    Zhang, Kui; Wiener, Howard; Beasley, Mark; George, Varghese; Amos, Christopher I; Allison, David B

    2006-08-01

    Individual genome scans for quantitative trait loci (QTL) mapping often suffer from low statistical power and imprecise estimates of QTL location and effect. This lack of precision yields large confidence intervals for QTL location, which are problematic for subsequent fine mapping and positional cloning. In prioritizing areas for follow-up after an initial genome scan and in evaluating the credibility of apparent linkage signals, investigators typically examine the results of other genome scans of the same phenotype and informally update their beliefs about which linkage signals in their scan most merit confidence and follow-up via a subjective-intuitive integration approach. A method that acknowledges the wisdom of this general paradigm but formally borrows information from other scans to increase confidence in objectivity would be a benefit. We developed an empirical Bayes analytic method to integrate information from multiple genome scans. The linkage statistic obtained from a single genome scan study is updated by incorporating statistics from other genome scans as prior information. This technique does not require that all studies have an identical marker map or a common estimated QTL effect. The updated linkage statistic can then be used for the estimation of QTL location and effect. We evaluate the performance of our method by using extensive simulations based on actual marker spacing and allele frequencies from available data. Results indicate that the empirical Bayes method can account for between-study heterogeneity, estimate the QTL location and effect more precisely, and provide narrower confidence intervals than results from any single individual study. We also compared the empirical Bayes method with a method originally developed for meta-analysis (a closely related but distinct purpose). In the face of marked heterogeneity among studies, the empirical Bayes method outperforms the comparator.

  14. Robust intravascular optical coherence elastography by line correlations

    International Nuclear Information System (INIS)

    Soest, Gijs van; Mastik, Frits; Jong, Nico de; Steen, Anton F W van der

    2007-01-01

    We present a new method for intravascular optical coherence elastography, which is robust against motion artefacts. It employs the correlation between adjacent lines, instead of subsequent frames. Pressure to deform the tissue is applied synchronously with the line scan rate of the optical coherence tomography (OCT) instrument. The viability of the method is demonstrated with a simulation study. We find that the root mean square (rms) error of the displacement estimate is 0.55 μm, and the rms error of the strain is 0.6%. It is shown that high-strain spots in the vessel wall, such as observed at the sites of vulnerable atherosclerotic lesions, can be detected with the technique

  15. A method to select aperture margin in collimated spot scanning proton therapy

    International Nuclear Information System (INIS)

    Wang, Dongxu; Smith, Blake R; Gelover, Edgar; Flynn, Ryan T; Hyer, Daniel E

    2015-01-01

    The use of collimator or aperture may sharpen the lateral dose gradient for spot scanning proton therapy. However, to date, there has not been a standard method to determine the aperture margin for a single field in collimated spot scanning proton therapy. This study describes a theoretical framework to select the optimal aperture margin for a single field, and also presents the spot spacing limit required such that the optimal aperture margin exists. Since, for a proton pencil beam partially intercepted by collimator, the maximum point dose (spot center) shifts away from the original pencil beam central axis, we propose that the optimal margin should be equal to the maximum pencil beam center shift under the condition that spot spacing is small with respect to the maximum pencil beam center shift, which can be numerically determined based on beam modeling data. A test case is presented which demonstrates agreement with the prediction made based on the proposed methods. When apertures are applied in a commercial treatment planning system this method may be implemented. (note)

  16. Keypoint-based 4-Points Congruent Sets - Automated marker-less registration of laser scans

    Science.gov (United States)

    Theiler, Pascal Willy; Wegner, Jan Dirk; Schindler, Konrad

    2014-10-01

    We propose a method to automatically register two point clouds acquired with a terrestrial laser scanner without placing any markers in the scene. What makes this task challenging are the strongly varying point densities caused by the line-of-sight measurement principle, and the huge amount of data. The first property leads to low point densities in potential overlap areas with scans taken from different viewpoints while the latter calls for highly efficient methods in terms of runtime and memory requirements. A crucial yet largely unsolved step is the initial coarse alignment of two scans without any simplifying assumptions, that is, point clouds are given in arbitrary local coordinates and no knowledge about their relative orientation is available. Once coarse alignment has been solved, scans can easily be fine-registered with standard methods like least-squares surface or Iterative Closest Point matching. In order to drastically thin out the original point clouds while retaining characteristic features, we resort to extracting 3D keypoints. Such clouds of keypoints, which can be viewed as a sparse but nevertheless discriminative representation of the original scans, are then used as input to a very efficient matching method originally developed in computer graphics, called 4-Points Congruent Sets (4PCS) algorithm. We adapt the 4PCS matching approach to better suit the characteristics of laser scans. The resulting Keypoint-based 4-Points Congruent Sets (K-4PCS) method is extensively evaluated on challenging indoor and outdoor scans. Beyond the evaluation on real terrestrial laser scans, we also perform experiments with simulated indoor scenes, paying particular attention to the sensitivity of the approach with respect to highly symmetric scenes.

  17. Scanning holograms

    International Nuclear Information System (INIS)

    Natali, S.

    1984-01-01

    This chapter reports on the scanning of 1000 holograms taken in HOBC at CERN. Each hologram is triggered by an interaction in the chamber, the primary particles being pions at 340 GeV/c. The aim of the experiment is the study of charm production. The holograms, recorded on 50 mm film with the ''in line'' technique, can be analyzed by shining a parallel expanded laser beam through the film, obtaining immediately above it the real image of the chamber which can then be scanned and measured with a technique half way between emulsions and bubble chambers. The results indicate that holograms can be analyzed as quickly and reliably as in other visual techniques and that to them is open the same order of magnitude of large scale experiments

  18. The innominate line

    International Nuclear Information System (INIS)

    Whelan, M.A.; Myung, K.H.; Bergeron, R.T.

    1984-01-01

    The innominate line continues to be of value in evaluating the integrity of the sphenoid bone since plain skull radiographs remain a primary screening tool for metastatic disease, seizure disorder and headache. The detection of lesions involving the sphenoid bone can be difficult. The accuracy of the radionulcide scan is reduced because of confusion caused by uptake in the adjacent nasal and sinus mucosa. On computed tomography, the sections through the base of the skull and orbit can contain many artifictual densities caused by a combination of bone, soft tissue and sinus air interfaces. In addition, routine settings of window width and level on CT scan are designed to best demonstrate the soft tissues, and bony lesions can easily be missed. Thus, disruption of the ''integrity'' of this line on plain films, particularly the Caldwell projection, can be a sensitive first indicator of disease involving the sphenoid bone. Such a determination on plain film leads to more accurate CT scanning, in that attention will be given to the skull base and scans will be imaged with both soft tissue and bone windows. (orig./MG)

  19. FBILI method for multi-level line transfer

    Science.gov (United States)

    Kuzmanovska, O.; Atanacković, O.; Faurobert, M.

    2017-07-01

    Efficient non-LTE multilevel radiative transfer calculations are needed for a proper interpretation of astrophysical spectra. In particular, realistic simulations of time-dependent processes or multi-dimensional phenomena require that the iterative method used to solve such non-linear and non-local problem is as fast as possible. There are several multilevel codes based on efficient iterative schemes that provide a very high convergence rate, especially when combined with mathematical acceleration techniques. The Forth-and-Back Implicit Lambda Iteration (FBILI) developed by Atanacković-Vukmanović et al. [1] is a Gauss-Seidel-type iterative scheme that is characterized by a very high convergence rate without the need of complementing it with additional acceleration techniques. In this paper we make the implementation of the FBILI method to the multilevel atom line transfer in 1D more explicit. We also consider some of its variants and investigate their convergence properties by solving the benchmark problem of CaII line formation in the solar atmosphere. Finally, we compare our solutions with results obtained with the well known code MULTI.

  20. Automatic calibration method of voxel size for cone-beam 3D-CT scanning system

    International Nuclear Information System (INIS)

    Yang Min; Wang Xiaolong; Wei Dongbo; Liu Yipeng; Meng Fanyong; Li Xingdong; Liu Wenli

    2014-01-01

    For a cone-beam three-dimensional computed tomography (3D-CT) scanning system, voxel size is an important indicator to guarantee the accuracy of data analysis and feature measurement based on 3D-CT images. Meanwhile, the voxel size changes with the movement of the rotary stage along X-ray direction. In order to realize the automatic calibration of the voxel size, a new and easily-implemented method is proposed. According to this method, several projections of a spherical phantom are captured at different imaging positions and the corresponding voxel size values are calculated by non-linear least-square fitting. Through these interpolation values, a linear equation is obtained that reflects the relationship between the voxel size and the rotary stage translation distance from its nominal zero position. Finally, the linear equation is imported into the calibration module of the 3D-CT scanning system. When the rotary stage is moving along X-ray direction, the accurate value of the voxel size is dynamically exported. The experimental results prove that this method meets the requirements of the actual CT scanning system, and has virtues of easy implementation and high accuracy. (authors)

  1. Micro-PIXE line-scan measurements of the yellow eel's otolith

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Y. [Applied Ion Beam Physics Laboratory, Institute of Modern Physics, Fudan University, Shanghai 200433 (China); Guo, H.; Tang, W.; Wei, K. [Laboratory of Ichthyology, Shanghai Ocean University, Shanghai 201306 (China); Shen, H., E-mail: haoshen@fudan.edu.cn [Applied Ion Beam Physics Laboratory, Institute of Modern Physics, Fudan University, Shanghai 200433 (China); Yang, M.; Mi, Y. [Applied Ion Beam Physics Laboratory, Institute of Modern Physics, Fudan University, Shanghai 200433 (China)

    2011-10-15

    Anguilla japonica has a high economic value. The abundance had decreased significantly due to excessive fishing and change in the aquatic ecology. Life history patterns of A. japonica have been studied to prevent excessive fishing and make management plans. Strontium (Sr)-calcium (Ca) ratio along a line down the long axis from the core to the edge of the yellow eel's otolith was measured using micro proton induced X-ray emission (micro-PIXE). An efficient and precise method was proposed to locate the core where an otolith begins to grow, based on Sr concentration and distribution. Using this method, life history patterns of the yellow eels collected from Jingjiang River in China were investigated. In general, there are two types, river eels and estuarine eels.

  2. Translate rotate scanning method for X-ray imaging

    International Nuclear Information System (INIS)

    Eberhard, J.W.; Kwog Cheong Tam.

    1990-01-01

    Rapid x-ray inspection of objects larger than an x-ray detector array is based on a translate rotate scanning motion of the object related to the fan beam source and detector. The scan for computerized tomography imaging is accomplished by rotating the object through 360 degrees at two or more positions relative to the source and detector array, in moving to another position the object is rotated and the object or source and detector are translated. A partial set of x-ray data is acquired at every position which are combined to obtain a full data set for complete image reconstruction. X-ray data for digital radiography imaging is acquired by scanning the object vertically at a first position at one view angle, rotating and translating the object relative to the source and detector to a second position, scanning vertically, and so on to cover the object field of view, and combining the partial data sets. (author)

  3. Diagnosis of spatial resolution for microbeam scanning PIXE using STIM method and CR-39 track detector in PASTA

    International Nuclear Information System (INIS)

    Hamano, T.; Imaseki, H.; Yukawa, M.; Ishikawa, T.; Iso, H.; Matsumoto, K.

    2003-01-01

    In PIXE analysis system and Tandem Accelerator facility (PASTA) of NIRS, we are using Scanning Transmission Ion Microscopy (STIM) method and solid track detector to diagnose the spatial resolution of scanning microbeam PIXE analysis system. These methods are widely used by many microbeam facilities. (author)

  4. An Operator Perturbation Method of Polarized Line Transfer V ...

    Indian Academy of Sciences (India)

    tribpo

    imate Lambda Iteration) method to the resonance scattering in spectral lines formed in the presence of weak magnetic fields. The method is based on an operator perturbation approach, and can efficiently give solutions for oriented vector magnetic fields in the solar atmosphere. Key words. ... 1999 for observational.

  5. A Classification-oriented Method of Feature Image Generation for Vehicle-borne Laser Scanning Point Clouds

    Directory of Open Access Journals (Sweden)

    YANG Bisheng

    2016-02-01

    Full Text Available An efficient method of feature image generation of point clouds to automatically classify dense point clouds into different categories is proposed, such as terrain points, building points. The method first uses planar projection to sort points into different grids, then calculates the weights and feature values of grids according to the distribution of laser scanning points, and finally generates the feature image of point clouds. Thus, the proposed method adopts contour extraction and tracing means to extract the boundaries and point clouds of man-made objects (e.g. buildings and trees in 3D based on the image generated. Experiments show that the proposed method provides a promising solution for classifying and extracting man-made objects from vehicle-borne laser scanning point clouds.

  6. New method of interpretation of the solar spectrum lines

    International Nuclear Information System (INIS)

    Sitnik, G.F.

    1975-01-01

    Close triplet lines, which appear from a common low level or from low sublevels with small energy difference are used. It is supposed that for such lines both the function of the source in line and the frequency dependence of the selective coefficient of absorption are the same over any depth of the region of line production in the atmosphere and change with the depth equally. The residual intensities of some lines of the triplet, which are measured for every line at a number of profile points (at the same distance from its center and at different distances from the solar limb center), serve as initial material. The intensities are expressed in terms of the intensity of the continuous spectrum in the center of the solar limb for the average wave length of the triplet interval. Such observations avoid the usual assumption about the source function constancy in line inside the line. On the contrary, the frequency dependence of this function is clarified by the use of this method. Calculations make it possible to determine the source function in line and its long-wave dependence inside the line; to derive a solar atmosphere model and to determine the source function in the continuous spectrum in accordance with observations at profile points in its middle part and in the wing; to find the relation between the selective and continuous absorption coefficients in the dependence on the optical depth in the continuous spectrum; and to find the long-wave dependences of coefficients for both the selective absorption and the selective emission at different optical depths

  7. Preferred Methods of Learning for Nursing Students in an On-Line Degree Program.

    Science.gov (United States)

    Hampton, Debra; Pearce, Patricia F; Moser, Debra K

    Investigators have demonstrated that on-line courses result in effective learning outcomes, but limited information has been published related to preferred teaching strategies. Delivery of on-line courses requires various teaching methods to facilitate interaction between students, content, and technology. The purposes of this study were to understand student teaching/learning preferences in on-line courses to include (a) differences in preferred teaching/learning methods for on-line nursing students across generations and (b) which teaching strategies students found to be most engaging and effective. Participants were recruited from 2 accredited, private school nursing programs (N=944) that admit students from across the United States and deliver courses on-line. Participants provided implied consent, and 217 (23%) students completed the on-line survey. Thirty-two percent of the students were from the Baby Boomer generation (1946-1964), 48% from Generation X (1965-1980), and 20% from the Millennial Generation (born after 1980). The preferred teaching/learning methods for students were videos or narrated PowerPoint presentations, followed by synchronous Adobe Connect educations sessions, assigned journal article reading, and e-mail dialog with the instructor. The top 2 methods identified by participants as the most energizing/engaging and most effective for learning were videos or narrated PowerPoint presentations and case studies. The teaching/learning method least preferred by participants and that was the least energizing/engaging was group collaborative projects with other students; the method that was the least effective for learning was wikis. Baby Boomers and Generation X participants had a significantly greater preference for discussion board (PBaby Boomer and Generation X students and rated on-line games as significantly more energizing/engaging and more effective for learning (PBaby Boomer and Generation X students. In conclusion, the results of this

  8. An elastography method based on the scanning contact resonance of a piezoelectric cantilever.

    Science.gov (United States)

    Fu, Ji; Li, Faxin

    2013-12-01

    Most tissues may become significantly stiffer than their normal states when there are lesions inside. The tissue's modulus can then act as an identification parameter for clinic diagnosis of tumors or fibrosis, which leads to elastography. This study introduces a novel elastography method that can be used for modulus imaging of superficial organs. This method is based on the scanning contact-resonance of a unimorph piezoelectric cantilever. The cantilever vibrates in its bending mode with the tip pressed tightly on the sample. The contact resonance frequency of the cantilever-sample system is tracked at each scanning point, from which the sample's modulus can be derived based on a beam dynamic model and a contact mechanics model. Scanning is performed by a three-dimensional motorized stage and the whole system is controlled by a homemade software program based on LabVIEW. Testing on in vitro beef tissues indicates that the fat and the muscle can be easily distinguished using this system, and the accuracy of the modulus measurement can be comparable with that of nanoindentation. Imaging on homemade gelatin phantoms shows that the depth information of the abnormalities can be qualitatively obtained by varying the pressing force. The detection limit of this elastography method is specially examined both experimentally and numerically. Results show that it can detect the typical lesions in superficial organs with the depth of several centimeters. The lateral resolution of this elastography method∕system is better than 0.5 mm, and could be further enhanced by using more scanning points. The proposed elastography system can be regarded as a sensitive palpation robot, which may be very promising in early diagnosis of tumors in superficial organs such as breast and thyroid.

  9. A novel RNA sequencing data analysis method for cell line authentication.

    Directory of Open Access Journals (Sweden)

    Erik Fasterius

    Full Text Available We have developed a novel analysis method that can interrogate the authenticity of biological samples used for generation of transcriptome profiles in public data repositories. The method uses RNA sequencing information to reveal mutations in expressed transcripts and subsequently confirms the identity of analysed cells by comparison with publicly available cell-specific mutational profiles. Cell lines constitute key model systems widely used within cancer research, but their identity needs to be confirmed in order to minimise the influence of cell contaminations and genetic drift on the analysis. Using both public and novel data, we demonstrate the use of RNA-sequencing data analysis for cell line authentication by examining the validity of COLO205, DLD1, HCT15, HCT116, HKE3, HT29 and RKO colorectal cancer cell lines. We successfully authenticate the studied cell lines and validate previous reports indicating that DLD1 and HCT15 are synonymous. We also show that the analysed HKE3 cells harbour an unexpected KRAS-G13D mutation and confirm that this cell line is a genuine KRAS dosage mutant, rather than a true isogenic derivative of HCT116 expressing only the wild type KRAS. This authentication method could be used to revisit the numerous cell line based RNA sequencing experiments available in public data repositories, analyse new experiments where whole genome sequencing is not available, as well as facilitate comparisons of data from different experiments, platforms and laboratories.

  10. A proton microbeam deflection system to scan target surfaces

    International Nuclear Information System (INIS)

    Heck, D.

    1978-12-01

    A system to deflect the proton beam within the Karlsruhe microbeam setup is described. The deflection is achieved whithin a transverse electrical field generated between parallel electrodes. Their tension is controlled by a pattern generator, thus enabling areal and line scans with a variable number of scan points at variable scan speed. The application is demonstrated at two different examples. (orig.) [de

  11. Apparatus and method for nuclear magnetic resonance scanning and mapping

    International Nuclear Information System (INIS)

    Damadian, R.V.

    1983-01-01

    An improved apparatus and method is disclosed for analyzing the chemical and structural composition of a specimen including whole-body specimens which may include, for example, living mammals, utilizing nuclear magnetic resonance (NMR) techniques. A magnetic field space necessary to obtain an NMR signal characteristic of the chemical structure of the specimen is focused to provide a resonance domain of selectable size, which may then be moved in a pattern with respect to the specimen to scan the specimen

  12. Special raster scanning for reduction of charging effects in scanning electron microscopy.

    Science.gov (United States)

    Suzuki, Kazuhiko; Oho, Eisaku

    2014-01-01

    A special raster scanning (SRS) method for reduction of charging effects is developed for the field of SEM. Both a conventional fast scan (horizontal direction) and an unusual scan (vertical direction) are adopted for acquiring raw data consisting of many sub-images. These data are converted to a proper SEM image using digital image processing techniques. About sharpness of the image and reduction of charging effects, the SRS is compared with the conventional fast scan (with frame-averaging) and the conventional slow scan. Experimental results show the effectiveness of SRS images. By a successful combination of the proposed scanning method and low accelerating voltage (LV)-SEMs, it is expected that higher-quality SEM images can be more easily acquired by the considerable reduction of charging effects, while maintaining the resolution. © 2013 Wiley Periodicals, Inc.

  13. On-line reconstruction of in-core power distribution by harmonics expansion method

    International Nuclear Information System (INIS)

    Wang Changhui; Wu Hongchun; Cao Liangzhi; Yang Ping

    2011-01-01

    Highlights: → A harmonics expansion method for the on-line in-core power reconstruction is proposed. → A harmonics data library is pre-generated off-line and a code named COMS is developed. → Numerical results show that the maximum relative error of the reconstruction is less than 5.5%. → This method has a high computational speed compared to traditional methods. - Abstract: Fixed in-core detectors are most suitable in real-time response to in-core power distributions in pressurized water reactors (PWRs). In this paper, a harmonics expansion method is used to reconstruct the in-core power distribution of a PWR on-line. In this method, the in-core power distribution is expanded by the harmonics of one reference case. The expansion coefficients are calculated using signals provided by fixed in-core detectors. To conserve computing time and improve reconstruction precision, a harmonics data library containing the harmonics of different reference cases is constructed. Upon reconstruction of the in-core power distribution on-line, the two closest reference cases are searched from the harmonics data library to produce expanded harmonics by interpolation. The Unit 1 reactor of DayaBay Nuclear Power Plant (DayaBay NPP) in China is considered for verification. The maximum relative error between the measurement and reconstruction results is less than 5.5%, and the computing time is about 0.53 s for a single reconstruction, indicating that this method is suitable for the on-line monitoring of PWRs.

  14. Determination of line edge roughness in low dose top-down scanning electron microscopy images

    Science.gov (United States)

    Verduin, T.; Kruit, P.; Hagen, C. W.

    2014-04-01

    We investigated off-line metrology for LER determination in low-dose SEM images to reduce the acquisition time and the risk of shrinkage. Our first attempts are based on filtering noisy (experimental) SEM images and use peak detection to measure the edge displacements and calculating the discrete PSD. However, the result of the filtering is that the power spectrum of the filter leaks into the PSD. So it is better to avoid a filter at all. We subsequently developed a method to detect edge displacements without the use of a filter. This method considers the signal profile of a SEM by integrating an experimental image of lines in the direction of the edges. The signal profile of an isolated edge is modeled as two merged Gaussians. This signal profile is then fitted against the raw (unfiltered) data of the edge pattern using an interior trust-region-reflective minimization procedure. This gives the edge displacements without the use of a filter and a filter-free version of the discrete PSD is obtained. The determination of edge displacements without the use of a filter, enables us to study how much noise is acceptable and still determine LER. To answer this question we generate random lines using the model of Palasantzas and the algorithm of Thorsos. This gives random generated edge displacements for typical values of experimental lines for the parameters of the model: 2 μm long lines (256 pixels), a correlation length ξ of 25 nm and a roughness exponent of 0.75. A noise-free top-down SEM-like image of lines is created by shifting the profile signal according to the random generated edge displacements. The image is further processed by adding Poisson-distributed noise. We consider three noise cases where the average electron density is about 2, 20 and 200 electrons per pixel. This corresponds to a charge density of (in respective order) 10 μC/cm2, 100 μC/cm2 and 1000 μC/cm2. The edge displacements of the random generated images are determined using our new

  15. Development of Kinematic 3D Laser Scanning System for Indoor Mapping and As-Built BIM Using Constrained SLAM

    Directory of Open Access Journals (Sweden)

    Jaehoon Jung

    2015-10-01

    Full Text Available The growing interest and use of indoor mapping is driving a demand for improved data-acquisition facility, efficiency and productivity in the era of the Building Information Model (BIM. The conventional static laser scanning method suffers from some limitations on its operability in complex indoor environments, due to the presence of occlusions. Full scanning of indoor spaces without loss of information requires that surveyors change the scanner position many times, which incurs extra work for registration of each scanned point cloud. Alternatively, a kinematic 3D laser scanning system, proposed herein, uses line-feature-based Simultaneous Localization and Mapping (SLAM technique for continuous mapping. Moreover, to reduce the uncertainty of line-feature extraction, we incorporated constrained adjustment based on an assumption made with respect to typical indoor environments: that the main structures are formed of parallel or orthogonal line features. The superiority of the proposed constrained adjustment is its reduction for uncertainties of the adjusted lines, leading to successful data association process. In the present study, kinematic scanning with and without constrained adjustment were comparatively evaluated in two test sites, and the results confirmed the effectiveness of the proposed system. The accuracy of the 3D mapping result was additionally evaluated by comparison with the reference points acquired by a total station: the Euclidean average distance error was 0.034 m for the seminar room and 0.043 m for the corridor, which satisfied the error tolerance for point cloud acquisition (0.051 m according to the guidelines of the General Services Administration for BIM accuracy.

  16. Determination of plant components degradation using ultrasonic C-scan

    International Nuclear Information System (INIS)

    Mohamad Pauzi Ismail; Suhairy Sani; Abdul Nassir Ibrahim

    2002-01-01

    C-scan Ultrasonic Inspection technique is increasingly used for the assessment of plant integrity. Due to the advancement of the equipment, Probability of Detection (POD) of this technique increased significantly as compared with the conventional techniques. Thus in many cases, the technique is accepted by engineers to be used to replace the conventional inspection methods such as visual inspections, thickness gauging and ultrasonic B-Scan. Thickness gauging and ultrasonic B-scan is still widely used by industries. However, both techniques have their own disadvantages. The most notable disadvantages of these techniques are related to the reliability of readings given by the equipment. In addition to this, thickness gauge would only provide data at certain points and B-scan would only provide data for certain lines. This paper presents and discusses results of C-scan measurement performed in power generation, chemical and petro-chemical plants. Due to its high accuracy, results from these measurements were used to establish the true condition of plant and to calculate its remaining safe life. Results presented in this paper include those related to corrosion, erosion and lamination in acid and gas pipelines, finger sludge catcher, steam drums in vessels and piping and electron beam machine. (Author)

  17. Development and application of gamma scanning technology for on-line investigation of industrial process columns and vessels

    International Nuclear Information System (INIS)

    Jaafar Abdullah

    1999-01-01

    Plant Assessment Technology (PAT) group, in association with Intelligent System (IS) Group and Engineering Services Department of Malaysian Institute for Nuclear Technology Research (MINT) has developed gamma scanning facilities for on-line investigation of industrial process columns and vessels. The technology, based on the principle of gamma-ray absorption, has been successfully applied for troubleshooting of a number of distillation columns and process vessels in petroleum refineries, gas processing plants and chemical plants in the country and the region. This paper outlines basic characteristics of the system and describes the inspection procedures, and in addition, case studies are also presented. The case studies are purposely chosen to illustrate the versatility of the technology, and furthermore to demonstrate the economic benefits which can be realised from the application of this technology. (author)

  18. The grid-scan. A novel method for a less biased broadband SED modeling

    Energy Technology Data Exchange (ETDEWEB)

    Doert, Marlene [Ruhr-Universitaet Bochum (Germany); Paneque, David [Max-Planck-Institut fuer Physik, Muenchen (Germany)

    2016-07-01

    We present a novel strategy for the modeling of blazar SEDs in the scope of current emission models: the grid-scan modeling. With an unbiased and uniform scan of the multi-dimensional parameter space of current emission models, e.g. the SSC model, and an a posteriori evaluation of the model-to-data agreement, independent sets of equally good model representations can be found. This variety of models generally includes different valid physical scenarios, which offer a more complete picture than single ''best'' solutions found by minimizers or the often-practised ''eyeball-fit''. Additionally, the grid-scan also allows to quantify how well the individual model parameters get constrained by any given experimental data set. The method will be introduced using the example of multi-wavelength spectral measurements of the blazar Markarian 501.

  19. A Fast and Robust Feature-Based Scan-Matching Method in 3D SLAM and the Effect of Sampling Strategies

    Directory of Open Access Journals (Sweden)

    Cihan Ulas

    2013-11-01

    Full Text Available Simultaneous localization and mapping (SLAM plays an important role in fully autonomous systems when a GNSS (global navigation satellite system is not available. Studies in both 2D indoor and 3D outdoor SLAM are based on the appearance of environments and utilize scan-matching methods to find rigid body transformation parameters between two consecutive scans. In this study, a fast and robust scan-matching method based on feature extraction is introduced. Since the method is based on the matching of certain geometric structures, like plane segments, the outliers and noise in the point cloud are considerably eliminated. Therefore, the proposed scan-matching algorithm is more robust than conventional methods. Besides, the registration time and the number of iterations are significantly reduced, since the number of matching points is efficiently decreased. As a scan-matching framework, an improved version of the normal distribution transform (NDT is used. The probability density functions (PDFs of the reference scan are generated as in the traditional NDT, and the feature extraction - based on stochastic plane detection - is applied to the only input scan. By using experimental dataset belongs to an outdoor environment like a university campus, we obtained satisfactory performance results. Moreover, the feature extraction part of the algorithm is considered as a special sampling strategy for scan-matching and compared to other sampling strategies, such as random sampling and grid-based sampling, the latter of which is first used in the NDT. Thus, this study also shows the effect of the subsampling on the performance of the NDT.

  20. Registration of airborne LiDAR data and aerial images based on straight lines and POS data

    Science.gov (United States)

    Du, Quanye; Xu, Biao; Cao, Hui

    2009-10-01

    This paper presents a registration method which based on straight lines primitive. Firstly, 2D straight lines are extracted from aerial images using Canny operator and straight line fitting. In the similar way, 3D straight lines are extracted from LiDAR range images which derive from laser scanning point cloud. Secondly, 3D straight lines are projected to aerial images using collinearity equations and Position and Orientation System (POS) data. Then the corresponding lines are determined by straight line error. At last, each image's new exterior orientation elements are calculated by generalized point (straight line) photogrammetry.

  1. Analytical methods for study of transmission line lightning protection

    International Nuclear Information System (INIS)

    Pettersson, Per.

    1993-04-01

    Transmission line lightning performance is studied by analytical methods. The elements of shielding failure flashovers and back-flashovers are analysed as functions of incidence, response and insulation. Closed-form approximate expressions are sought to enhance understanding of the phenomena. Probabilistic and wave propagation aspects are particularly studied. The electrogeometric model of lightning attraction to structures is used in combination with the log-normal probability distribution of lightning to ground currents. The log-normality is found to be retained for the currents collected by mast-type as well as line-type structures, but with a change of scale. For both types, exceedingly simple formulas for the number of hits are derived. Simple closed-form expressions for the line outage rates from back- flashovers and shielding failure flashovers are derived in a uniform way as functions of the critical currents. The expressions involve the standardized normal distribution function. System response is analysed by use of Laplace transforms in combination with text-book transmission-line theory. Inversion into time domain is accomplished by an approximate asymptotic method producing closed-form results. The back-flashover problem is analysed in particular. Approximate, image type expressions are derived for shunt admittance of wires above, on and under ground for analyses of fast transients. The derivation parallels that for series impedance, now well-known. 3 refs, 5 figs

  2. Performance comparison of a new hybrid conjugate gradient method under exact and inexact line searches

    Science.gov (United States)

    Ghani, N. H. A.; Mohamed, N. S.; Zull, N.; Shoid, S.; Rivaie, M.; Mamat, M.

    2017-09-01

    Conjugate gradient (CG) method is one of iterative techniques prominently used in solving unconstrained optimization problems due to its simplicity, low memory storage, and good convergence analysis. This paper presents a new hybrid conjugate gradient method, named NRM1 method. The method is analyzed under the exact and inexact line searches in given conditions. Theoretically, proofs show that the NRM1 method satisfies the sufficient descent condition with both line searches. The computational result indicates that NRM1 method is capable in solving the standard unconstrained optimization problems used. On the other hand, the NRM1 method performs better under inexact line search compared with exact line search.

  3. Metrological characterization methods for confocal chromatic line sensors and optical topography sensors

    Science.gov (United States)

    Seppä, Jeremias; Niemelä, Karri; Lassila, Antti

    2018-05-01

    The increasing use of chromatic confocal technology for, e.g. fast, in-line optical topography, and measuring thickness, roughness and profiles implies a need for the characterization of various aspects of the sensors. Single-point, line and matrix versions of chromatic confocal technology, encoding depth information into wavelength, have been developed. Of these, line sensors are particularly suitable for in-line process measurement. Metrological characterization and development of practical methods for calibration and checking is needed for new optical methods and devices. Compared to, e.g. tactile methods, optical topography measurement techniques have limitations related to light wavelength and coherence, optical properties of the sample including reflectivity, specularity, roughness and colour, and definition of optical versus mechanical surfaces. In this work, metrological characterization methods for optical line sensors were developed for scale magnification and linearity, sensitivity to sample properties, and dynamic characteristics. An accurate depth scale calibration method using a single prototype groove depth sample was developed for a line sensor and validated with laser-interferometric sample tracking, attaining (sub)micrometre level or better than 0.1% scale accuracy. Furthermore, the effect of different surfaces and materials on the measurement and depth scale was studied, in particular slope angle, specularity and colour. In addition, dynamic performance, noise, lateral scale and resolution were measured using the developed methods. In the case of the LCI1200 sensor used in this study, which has a 11.3 mm  ×  2.8 mm measurement range, the instrument depth scale was found to depend only minimally on sample colour, whereas measuring steeply sloped specular surfaces in the peripheral measurement area, in the worst case, caused a somewhat larger relative sample-dependent change (1%) in scale.

  4. Radiopharmaceutical scanning agents

    International Nuclear Information System (INIS)

    1976-01-01

    This invention is directed to dispersions useful in preparing radiopharmaceutical scanning agents, to technetium labelled dispersions, to methods for preparing such dispersions and to their use as scanning agents

  5. Method of mechanical holding of cantilever chip for tip-scan high-speed atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Fukuda, Shingo [Department of Physics, College of Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa 920-1192 (Japan); Uchihashi, Takayuki; Ando, Toshio [Department of Physics, College of Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa 920-1192 (Japan); Bio-AFM Frontier Research Center, College of Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa 920-1192 (Japan); Core Research for Evolutional Science and Technology of the Japan Science and Technology Agency, 7 Goban-cho, Chiyoda-ku, Tokyo 102-0076 (Japan)

    2015-06-15

    In tip-scan atomic force microscopy (AFM) that scans a cantilever chip in the three dimensions, the chip body is held on the Z-scanner with a holder. However, this holding is not easy for high-speed (HS) AFM because the holder that should have a small mass has to be able to clamp the cantilever chip firmly without deteriorating the Z-scanner’s fast performance, and because repeated exchange of cantilever chips should not damage the Z-scanner. This is one of the reasons that tip-scan HS-AFM has not been established, despite its advantages over sample stage-scan HS-AFM. Here, we present a novel method of cantilever chip holding which meets all conditions required for tip-scan HS-AFM. The superior performance of this novel chip holding mechanism is demonstrated by imaging of the α{sub 3}β{sub 3} subcomplex of F{sub 1}-ATPase in dynamic action at ∼7 frames/s.

  6. Apparatus for producing a visual representation of a radiographic scan

    International Nuclear Information System (INIS)

    Hounsfield, G.N.

    1976-01-01

    An apparatus is disclosed for providing a visual representation of the absorption or transmission coefficients of the elements of a two dimensional matrix of elements notionally defined in a cross-sectional plane through a body. The representation is in the form of an analogue display comprising superimposed lines of information scanned on the surface of a suitable screen, the brightness of each line being indicative of the absorption suffered by penetrating radiation on traversing a respective path through said plane of the body. The orientation of each scanned line depends on the orientation of the respective path with respect to the body. 7 Claims, 4 Drawing Figures

  7. Band excitation method applicable to scanning probe microscopy

    Science.gov (United States)

    Jesse, Stephen [Knoxville, TN; Kalinin, Sergei V [Knoxville, TN

    2010-08-17

    Methods and apparatus are described for scanning probe microscopy. A method includes generating a band excitation (BE) signal having finite and predefined amplitude and phase spectrum in at least a first predefined frequency band; exciting a probe using the band excitation signal; obtaining data by measuring a response of the probe in at least a second predefined frequency band; and extracting at least one relevant dynamic parameter of the response of the probe in a predefined range including analyzing the obtained data. The BE signal can be synthesized prior to imaging (static band excitation), or adjusted at each pixel or spectroscopy step to accommodate changes in sample properties (adaptive band excitation). An apparatus includes a band excitation signal generator; a probe coupled to the band excitation signal generator; a detector coupled to the probe; and a relevant dynamic parameter extractor component coupled to the detector, the relevant dynamic parameter extractor including a processor that performs a mathematical transform selected from the group consisting of an integral transform and a discrete transform.

  8. Aphasia caused by intracerebral hemorrhage; CT-scan findings and prognosis

    Energy Technology Data Exchange (ETDEWEB)

    Furuya, Kazuhide; Segawa, Hiromu; Shiokawa, Yoshiaki; Hasegawa, Isao; Sano, Keiji (Fuji Brain Institute and Hospital, Shizuoka (Japan))

    1992-10-01

    It is generally accepted that cases of aphasia can be divided into several groups according to verbal fluency, auditory comprehension, and repetition abilities. Although many authors have studied aphasia and its location by means of a CT scan, the primary lesion on a CT scan with regard to the subtypes of aphasia still remains controversial. In this report we present our new CT classification for the syndromes of aphasia and the prognosis. Twenty-one patients with intracerebral hematoma (ICH) were followed up for more than 3 months after onset. ICH was classified according to the mode of the horizontal extension of the hematoma on a CT scan. Four lines were decided as follows: Line (a) is between the anterior horn of the lateral ventricle and the midpoint of the third ventricle; Line (b) is the vertical line to the saggital line which originates from the midpoint of the third ventricle; Line (c) is between the trigone of the lateral ventricle and the midpoint of the third ventricle. The CT classification consisted of 4 types: in Type A, ICH was located anterior to line (a); in Type B, ICH was located between line (a) and line (b); in Type C, ICH was located between line (b) and line (c); Type B+C, was a combination of Type B and Type C. Transcortical motor aphasia belonged to the Type A group. Transcortical sensory aphasia belonged to the Type B and Type B+C groups. Wernicke's and anomic aphasia belonged to the Type C group. Conduction and global aphasia belonged to the Type B+C group. Pure Broca's aphasia could not be observed in this series. Several relationships between the syndromes of aphasia and its CT findings were evident. On the other hand, the syndromes of aphasia and the degree of recovery were not correlated, except for global aphasia. (author).

  9. Aphasia caused by intracerebral hemorrhage; CT-scan findings and prognosis

    Energy Technology Data Exchange (ETDEWEB)

    Furuya, Kazuhide; Segawa, Hiromu; Shiokawa, Yoshiaki; Hasegawa, Isao; Sano, Keiji [Fuji Brain Institute and Hospital, Shizuoka (Japan)

    1992-10-01

    It is generally accepted that cases of aphasia can be divided into several groups according to verbal fluency, auditory comprehension, and repetition abilities. Although many authors have studied aphasia and its location by means of a CT scan, the primary lesion on a CT scan with regard to the subtypes of aphasia still remains controversial. In this report we present our new CT classification for the syndromes of aphasia and the prognosis. Twenty-one patients with intracerebral hematoma (ICH) were followed up for more than 3 months after onset. ICH was classified according to the mode of the horizontal extension of the hematoma on a CT scan. Four lines were decided as follows: Line (a) is between the anterior horn of the lateral ventricle and the midpoint of the third ventricle; Line (b) is the vertical line to the saggital line which originates from the midpoint of the third ventricle; Line (c) is between the trigone of the lateral ventricle and the midpoint of the third ventricle. The CT classification consisted of 4 types: in Type A, ICH was located anterior to line (a); in Type B, ICH was located between line (a) and line (b); in Type C, ICH was located between line (b) and line (c); Type B+C, was a combination of Type B and Type C. Transcortical motor aphasia belonged to the Type A group. Transcortical sensory aphasia belonged to the Type B and Type B+C groups. Wernicke's and anomic aphasia belonged to the Type C group. Conduction and global aphasia belonged to the Type B+C group. Pure Broca's aphasia could not be observed in this series. Several relationships between the syndromes of aphasia and its CT findings were evident. On the other hand, the syndromes of aphasia and the degree of recovery were not correlated, except for global aphasia. (author).

  10. Real-time line-width measurements: a new feature for reticle inspection systems

    Science.gov (United States)

    Eran, Yair; Greenberg, Gad; Joseph, Amnon; Lustig, Cornel; Mizrahi, Eyal

    1997-07-01

    The significance of line width control in mask production has become greater with the lessening of defect size. There are two conventional methods used for controlling line widths dimensions which employed in the manufacturing of masks for sub micron devices. These two methods are the critical dimensions (CD) measurement and the detection of edge defects. Achieving reliable and accurate control of line width errors is one of the most challenging tasks in mask production. Neither of the two methods cited above (namely CD measurement and the detection of edge defects) guarantees the detection of line width errors with good sensitivity over the whole mask area. This stems from the fact that CD measurement provides only statistical data on the mask features whereas applying edge defect detection method checks defects on each edge by itself, and does not supply information on the combined result of error detection on two adjacent edges. For example, a combination of a small edge defect together with a CD non- uniformity which are both within the allowed tolerance, may yield a significant line width error, which will not be detected using the conventional methods (see figure 1). A new approach for the detection of line width errors which overcomes this difficulty is presented. Based on this approach, a new sensitive line width error detector was developed and added to Orbot's RT-8000 die-to-database reticle inspection system. This innovative detector operates continuously during the mask inspection process and scans (inspects) the entire area of the reticle for line width errors. The detection is based on a comparison of measured line width that are taken on both the design database and the scanned image of the reticle. In section 2, the motivation for developing this new detector is presented. The section covers an analysis of various defect types, which are difficult to detect using conventional edge detection methods or, alternatively, CD measurements. In section 3

  11. Digital radiography of scoliosis with a scanning method: radiation dose optimization

    Energy Technology Data Exchange (ETDEWEB)

    Geijer, Haakan; Andersson, Torbjoern [Department of Radiology, Oerebro University Hospital, 701 85 Oerebro (Sweden); Verdonck, Bert [Philips Medical Systems, P.O. Box 10,000, 5680 Best (Netherlands); Beckman, Karl-Wilhelm; Persliden, Jan [Department of Medical Physics, Oerebro University Hospital, 701 85 Oerebro (Sweden)

    2003-03-01

    The aim of this study was optimization of the radiation dose-image quality relationship for a digital scanning method of scoliosis radiography. The examination is performed as a digital multi-image translation scan that is reconstructed to a single image in a workstation. Entrance dose was recorded with thermoluminescent dosimeters placed dorsally on an Alderson phantom. At the same time, kerma area product (KAP) values were recorded. A Monte Carlo calculation of effective dose was also made. Image quality was evaluated with a contrast-detail phantom and Visual Grading. The radiation dose was reduced by lowering the image intensifier entrance dose request, adjusting pulse frequency and scan speed, and by raising tube voltage. The calculated effective dose was reduced from 0.15 to 0.05 mSv with reduction of KAP from 1.07 to 0.25 Gy cm{sup 2} and entrance dose from 0.90 to 0.21 mGy. The image quality was reduced with the Image Quality Figure going from 52 to 62 and a corresponding reduction in image quality as assessed with Visual Grading. The optimization resulted in a dose reduction to 31% of the original effective dose with an acceptable reduction in image quality considering the intended use of the images for angle measurements. (orig.)

  12. Interferometric measurement of lines shift in flames in connection with interpretation of lined absorption method in atomic absorption spectroscopy

    International Nuclear Information System (INIS)

    L'vov, B.V.; Polzik, L.K.; Katskov, D.A.; Kruglikova, L.P.

    1975-01-01

    This paper is concerned with interferometric measuring of the line shift in flames in the view of interpretation of absorption lines in the atomic absorption spectroscopy. The newly measured line shifts were compared to the known data on Lorentz broadening of the same lines obtained by methods free of the systematic errors. The resonant lines of the alkaline earth elements (Sr, Ca, Ba) were investigated. To reduce self-absorption in the flame the solutions with minimum concentrations of the elements were used. The computation scheme includes the spectrometer apparatus width and line broadening due to the self-absorption. Formulae are given for computing the values studied. Good agreement was observed between the computed and experimental results. Error analysis was performed. It was concluded that any line shifts in the hydrocarbons were correctly taken into an account in the absolute computations of absorption

  13. Automatic Indoor Building Reconstruction from Mobile Laser Scanning Data

    Science.gov (United States)

    Xie, L.; Wang, R.

    2017-09-01

    Indoor reconstruction from point clouds is a hot topic in photogrammetry, computer vision and computer graphics. Reconstructing indoor scene from point clouds is challenging due to complex room floorplan and line-of-sight occlusions. Most of existing methods deal with stationary terrestrial laser scanning point clouds or RGB-D point clouds. In this paper, we propose an automatic method for reconstructing indoor 3D building models from mobile laser scanning point clouds. The method includes 2D floorplan generation, 3D building modeling, door detection and room segmentation. The main idea behind our approach is to separate wall structure into two different types as the inner wall and the outer wall based on the observation of point distribution. Then we utilize a graph cut based optimization method to solve the labeling problem and generate the 2D floorplan based on the optimization result. Subsequently, we leverage an ?-shape based method to detect the doors on the 2D projected point clouds and utilize the floorplan to segment the individual room. The experiments show that this door detection method can achieve a recognition rate at 97% and the room segmentation method can attain the correct segmentation results. We also evaluate the reconstruction accuracy on the synthetic data, which indicates the accuracy of our method is comparable to the state-of-the art.

  14. Driftscan surveys in the 21 cm line with the Arecibo and Nancay telescopes

    NARCIS (Netherlands)

    Briggs, FH; Sorar, E; KraanKorteweg, RC; vanDriel, W

    Driftscan methods are highly efficient, stable techniques for conducting extragalactic surveys in the 21 cm line of neutral hydrogen. Holding the telescope still while the beam scans the sky at the sidereal rate produces exceptionally stable spectral baselines, increased stability for RFI signals,

  15. Efficiency estimation method of three-wired AC to DC line transfer

    Science.gov (United States)

    Solovev, S. V.; Bardanov, A. I.

    2018-05-01

    The development of power semiconductor converters technology expands the scope of their application to medium voltage distribution networks (6-35 kV). Particularly rectifiers and inverters of appropriate power capacity complement the topology of such voltage level networks with the DC links and lines. The article presents a coefficient that allows taking into account the increase of transmission line capacity depending on the parameters of it. The application of the coefficient is presented by the example of transfer three-wired AC line to DC in various methods. Dependences of the change in the capacity from the load power factor of the line and the reactive component of the resistance of the transmission line are obtained. Conclusions are drawn about the most efficient ways of converting a three-wired AC line to direct current.

  16. Transient measurements with an ultrafast scanning tunneling microscope

    DEFF Research Database (Denmark)

    Keil, Ulrich Dieter Felix; Jensen, Jacob Riis; Hvam, Jørn Märcher

    1998-01-01

    We use a photoconductively gated ultrafast scanning tunneling microscope to resolve laser-induced transients on transmission lines and photoconductors. The photoconductive switch on the tunneling probe is illuminated through a rigidly attached fiber. The use of the fiber enables us to scan across...... the transmission line while the change in delay time between pump beam (on the sample) and probe beam (on the probe) provides the temporal information. The investigated photoconductor sample is a low-temperature-grown GaAs layer placed on a sapphire substrate with a thin, semitransparent gold layer. In tunneling...... mode the probe is sensitive to laser-induced field changes in the semiconductor layer. Laser-induced transient signals of 2.2 ps widths are detected. As for the transmission lines, the signals can be explained by a capacitive coupling across the tunneling gap....

  17. Flux line patterns in Bi2Sr2Ca1Cu2Ox

    International Nuclear Information System (INIS)

    Weiss, F.; Hardy, V.; Provost, J.; Ruyter, A.; Simon, C.

    1994-01-01

    Results of the defect influence on the flux line lattice in Bi 2 Sr 2 Ca 1 Cu 2 O x single crystals are presented. These crystals, non irradiated or irradiated at GANIL with heavy ions (Pb 56+ , 6 GeV) have been decorated with Ni particles in the superconducting state using the Bitter technique. The defects involved are columnar defects. Resulting decorated flux line patterns have been characterized using scanning electron microscopy and computer image analysis. Disorder of the decorated flux line networks has been found to be strongly dependent on the defect density, which results from the irradiation. In order to characterize this disorder, a method for determining elastic energy terms in the deformation of flux line patterns has been investigated. This method can be applied if Fourier transforms of the decorated flux line patterns exhibit distinct reflections. (orig.)

  18. Comparison of Transmission Line Methods for Surface Acoustic Wave Modeling

    Science.gov (United States)

    Wilson, William; Atkinson, Gary

    2009-01-01

    Surface Acoustic Wave (SAW) technology is low cost, rugged, lightweight, extremely low power and can be used to develop passive wireless sensors. For these reasons, NASA is investigating the use of SAW technology for Integrated Vehicle Health Monitoring (IVHM) of aerospace structures. To facilitate rapid prototyping of passive SAW sensors for aerospace applications, SAW models have been developed. This paper reports on the comparison of three methods of modeling SAWs. The three models are the Impulse Response Method (a first order model), and two second order matrix methods; the conventional matrix approach, and a modified matrix approach that is extended to include internal finger reflections. The second order models are based upon matrices that were originally developed for analyzing microwave circuits using transmission line theory. Results from the models are presented with measured data from devices. Keywords: Surface Acoustic Wave, SAW, transmission line models, Impulse Response Method.

  19. SOLVING OPTIMAL ASSEMBLY LINE CONFIGURATION TASK BY MULTIOBJECTIVE DECISION MAKING METHODS

    Directory of Open Access Journals (Sweden)

    Ján ČABALA

    2017-06-01

    Full Text Available This paper deals with looking for the optimal configuration of automated assembly line model placed within Department of Cybernetics and Artificial Intelligence (DCAI. In order to solve this problem, Stateflow model of each configuration was created to simulate the behaviour of particular assembly line configuration. Outputs from these models were used as inputs into the multiobjective decision making process. Multi-objective decision-making methods were subsequently used to find the optimal configuration of assembly line. Paper describes the whole process of solving this task, from building the models to choosing the best configuration. Specifically, the problem was resolved using the experts’ evaluation method for evaluating the weights of every decision-making criterion, while the ELECTRE III, TOPSIS and AGREPREF methods were used for ordering the possible solutions from the most to the least suitable alternative. Obtained results were compared and final solution of this multi-objective decisionmaking problem is chosen.

  20. An improved three-dimensional non-scanning laser imaging system based on digital micromirror device

    Science.gov (United States)

    Xia, Wenze; Han, Shaokun; Lei, Jieyu; Zhai, Yu; Timofeev, Alexander N.

    2018-01-01

    Nowadays, there are two main methods to realize three-dimensional non-scanning laser imaging detection, which are detection method based on APD and detection method based on Streak Tube. However, the detection method based on APD possesses some disadvantages, such as small number of pixels, big pixel interval and complex supporting circuit. The detection method based on Streak Tube possesses some disadvantages, such as big volume, bad reliability and high cost. In order to resolve the above questions, this paper proposes an improved three-dimensional non-scanning laser imaging system based on Digital Micromirror Device. In this imaging system, accurate control of laser beams and compact design of imaging structure are realized by several quarter-wave plates and a polarizing beam splitter. The remapping fiber optics is used to sample the image plane of receiving optical lens, and transform the image into line light resource, which can realize the non-scanning imaging principle. The Digital Micromirror Device is used to convert laser pulses from temporal domain to spatial domain. The CCD with strong sensitivity is used to detect the final reflected laser pulses. In this paper, we also use an algorithm which is used to simulate this improved laser imaging system. In the last, the simulated imaging experiment demonstrates that this improved laser imaging system can realize three-dimensional non-scanning laser imaging detection.

  1. Possibilities of LA-ICP-MS technique for the spatial elemental analysis of the recent fish scales: Line scan vs. depth profiling

    International Nuclear Information System (INIS)

    Hola, Marketa; Kalvoda, Jiri; Novakova, Hana; Skoda, Radek; Kanicky, Viktor

    2011-01-01

    LA-ICP-MS and solution based ICP-MS in combination with electron microprobe are presented as a method for the determination of the elemental spatial distribution in fish scales which represent an example of a heterogeneous layered bone structure. Two different LA-ICP-MS techniques were tested on recent common carp (Cyprinus carpio) scales: (a)A line scan through the whole fish scale perpendicular to the growth rings. The ablation crater of 55 μm width and 50 μm depth allowed analysis of the elemental distribution in the external layer. Suitable ablation conditions providing a deeper ablation crater gave average values from the external HAP layer and the collagen basal plate. (b)Depth profiling using spot analysis was tested in fish scales for the first time. Spot analysis allows information to be obtained about the depth profile of the elements at the selected position on the sample. The combination of all mentioned laser ablation techniques provides complete information about the elemental distribution in the fish scale samples. The results were compared with the solution based ICP-MS and EMP analyses. The fact that the results of depth profiling are in a good agreement both with EMP and PIXE results and, with the assumed ways of incorporation of the studied elements in the HAP structure, suggests a very good potential for this method.

  2. Possibilities of LA-ICP-MS technique for the spatial elemental analysis of the recent fish scales: Line scan vs. depth profiling

    Energy Technology Data Exchange (ETDEWEB)

    Hola, Marketa [Department of Chemistry, Masaryk University of Brno, Kamenice 5, 625 00 Brno (Czech Republic); Kalvoda, Jiri, E-mail: jkalvoda@centrum.cz [Department of Geological Sciences, Masaryk University of Brno, Kotlarska 2, 611 37 Brno (Czech Republic); Novakova, Hana [Department of Chemistry, Masaryk University of Brno, Kamenice 5, 625 00 Brno (Czech Republic); Skoda, Radek [Department of Geological Sciences, Masaryk University of Brno, Kotlarska 2, 611 37 Brno (Czech Republic); Kanicky, Viktor [Department of Chemistry, Masaryk University of Brno, Kamenice 5, 625 00 Brno (Czech Republic)

    2011-01-01

    LA-ICP-MS and solution based ICP-MS in combination with electron microprobe are presented as a method for the determination of the elemental spatial distribution in fish scales which represent an example of a heterogeneous layered bone structure. Two different LA-ICP-MS techniques were tested on recent common carp (Cyprinus carpio) scales: (a)A line scan through the whole fish scale perpendicular to the growth rings. The ablation crater of 55 {mu}m width and 50 {mu}m depth allowed analysis of the elemental distribution in the external layer. Suitable ablation conditions providing a deeper ablation crater gave average values from the external HAP layer and the collagen basal plate. (b)Depth profiling using spot analysis was tested in fish scales for the first time. Spot analysis allows information to be obtained about the depth profile of the elements at the selected position on the sample. The combination of all mentioned laser ablation techniques provides complete information about the elemental distribution in the fish scale samples. The results were compared with the solution based ICP-MS and EMP analyses. The fact that the results of depth profiling are in a good agreement both with EMP and PIXE results and, with the assumed ways of incorporation of the studied elements in the HAP structure, suggests a very good potential for this method.

  3. Sample preparation methods for scanning electron microscopy of homogenized Al-Mg-Si billets: A comparative study

    International Nuclear Information System (INIS)

    Österreicher, Johannes Albert; Kumar, Manoj; Schiffl, Andreas; Schwarz, Sabine; Hillebrand, Daniel; Bourret, Gilles Remi

    2016-01-01

    Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopy images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.

  4. Sample preparation methods for scanning electron microscopy of homogenized Al-Mg-Si billets: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Österreicher, Johannes Albert; Kumar, Manoj [LKR Light Metals Technologies Ranshofen, Austrian Institute of Technology, Postfach 26, 5282 Ranshofen (Austria); Schiffl, Andreas [Hammerer Aluminium Industries Extrusion GmbH, Lamprechtshausener Straße 69, 5282 Ranshofen (Austria); Schwarz, Sabine [University Service Centre for Transmission Electron Microscopy, Vienna University of Technology, Wiedner Hauptstr. 8-10, 1040 Wien (Austria); Hillebrand, Daniel [Hammerer Aluminium Industries Extrusion GmbH, Lamprechtshausener Straße 69, 5282 Ranshofen (Austria); Bourret, Gilles Remi, E-mail: gilles.bourret@sbg.ac.at [Department of Materials Science and Physics, University of Salzburg, Hellbrunner Straße 34, 5020 Salzburg (Austria)

    2016-12-15

    Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopy images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.

  5. Optimal surface segmentation using flow lines to quantify airway abnormalities in chronic obstructive pulmonary disease

    DEFF Research Database (Denmark)

    Petersen, Jens; Nielsen, Mads; Lo, Pechin Chien Pau

    2014-01-01

    are not well suited for surfaces with high curvature, we therefore propose to derive columns from properly generated, non-intersecting flow lines. This guarantees solutions that do not self-intersect. The method is applied to segment human airway walls in computed tomography images in three-dimensions. Phantom.......5%, the alternative approach in 11.2%, and in 20.3% no method was favoured. Airway abnormality measurements obtained with the method on 490 scan pairs from a lung cancer screening trial correlate significantly with lung function and are reproducible; repeat scan R(2) of measures of the airway lumen diameter and wall...

  6. Uniform irradiation system using beam scanning method for cyclotron

    International Nuclear Information System (INIS)

    Agematsu, Takashi; Okumura, Susumu; Arakawa, Kazuo

    1994-03-01

    JAERI AVF-cyclotron is equipped with an ion beam scanner for large area irradiation. The two-dimensional fluence distribution of ion beam obtained using cellulose triacetate film dosimeter was not uniform. This is resulted from the distortion of excitation current for electromagnet of the scanner. So, the beam scanning condition, i.e., the relation between the ion species, the beam profile and the scanning width, was extremely limited to make a good uniformity. We have developed a beam scanning simulator to get fluence distributions by calculation and then compared the simulated distributions with the measured ones. It was revealed that the both of them are in good agreement and the beam scanning condition to get good uniformity was led by using this simulator. On the basis of these results, the power supply of scanner was improved. A good uniformity of beam distribution was available. (author)

  7. An image overall complexity evaluation method based on LSD line detection

    Science.gov (United States)

    Li, Jianan; Duan, Jin; Yang, Xu; Xiao, Bo

    2017-04-01

    In the artificial world, whether it is the city's traffic roads or engineering buildings contain a lot of linear features. Therefore, the research on the image complexity of linear information has become an important research direction in digital image processing field. This paper, by detecting the straight line information in the image and using the straight line as the parameter index, establishing the quantitative and accurate mathematics relationship. In this paper, we use LSD line detection algorithm which has good straight-line detection effect to detect the straight line, and divide the detected line by the expert consultation strategy. Then we use the neural network to carry on the weight training and get the weight coefficient of the index. The image complexity is calculated by the complexity calculation model. The experimental results show that the proposed method is effective. The number of straight lines in the image, the degree of dispersion, uniformity and so on will affect the complexity of the image.

  8. High-speed scanning: an improved algorithm

    Science.gov (United States)

    Nachimuthu, A.; Hoang, Khoi

    1995-10-01

    In using machine vision for assessing an object's surface quality, many images are required to be processed in order to separate the good areas from the defective ones. Examples can be found in the leather hide grading process; in the inspection of garments/canvas on the production line; in the nesting of irregular shapes into a given surface... . The most common method of subtracting the total area from the sum of defective areas does not give an acceptable indication of how much of the `good' area can be used, particularly if the findings are to be used for the nesting of irregular shapes. This paper presents an image scanning technique which enables the estimation of useable areas within an inspected surface in terms of the user's definition, not the supplier's claims. That is, how much useable area the user can use, not the total good area as the supplier estimated. An important application of the developed technique is in the leather industry where the tanner (the supplier) and the footwear manufacturer (the user) are constantly locked in argument due to disputed quality standards of finished leather hide, which disrupts production schedules and wasted costs in re-grading, re- sorting... . The developed basic algorithm for area scanning of a digital image will be presented. The implementation of an improved scanning algorithm will be discussed in detail. The improved features include Boolean OR operations and many other innovative functions which aim at optimizing the scanning process in terms of computing time and the accurate estimation of useable areas.

  9. A scanning tunneling microscope break junction method with continuous bias modulation.

    Science.gov (United States)

    Beall, Edward; Yin, Xing; Waldeck, David H; Wierzbinski, Emil

    2015-09-28

    Single molecule conductance measurements on 1,8-octanedithiol were performed using the scanning tunneling microscope break junction method with an externally controlled modulation of the bias voltage. Application of an AC voltage is shown to improve the signal to noise ratio of low current (low conductance) measurements as compared to the DC bias method. The experimental results show that the current response of the molecule(s) trapped in the junction and the solvent media to the bias modulation can be qualitatively different. A model RC circuit which accommodates both the molecule and the solvent is proposed to analyze the data and extract a conductance for the molecule.

  10. TU-CD-207-05: A Novel Digital Tomosynthesis System Using Orthogonal Scanning Technique: A Feasibility Study

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J [Samsung Medical Center, Seoul (Korea, Republic of); Park, C [University of Florida Health Science Center, Gainesville, FL (United States); Kauweloa, K [UTHSCSA, San Antonio, TX (United States); Chung, Y; Han, Y [Sungkyunkwan University School of Medicine, Seoul (Korea, Republic of); Ju, S [Samsung Medical Center, Seoul, Seoul (Korea, Republic of)

    2015-06-15

    Purpose: As an alternative to full tomographic imaging technique such as cone-beam computed tomography (CBCT), there is growing interest to adopt digital tomosynthesis (DTS) for the use of diagnostic as well as therapeutic applications. The aim of this study is to propose a new DTS system using novel orthogonal scanning technique, which can provide superior image quality DTS images compared to the conventional DTS scanning system. Methods: Unlike conventional DTS scanning system, the proposed DTS is reconstructed with two sets of orthogonal patient scans. 1) X-ray projections that are acquired along transverse trajectory and 2) an additional sets of X-ray projections acquired along the vertical direction at the mid angle of the previous transverse scan. To reconstruct DTS, we have used modified filtered backprojection technique to account for the different scanning directions of each projection set. We have evaluated the performance of our method using numerical planning CT data of liver cancer patient and a physical pelvis phantom experiment. The results were compared with conventional DTS techniques with single transverse and vertical scanning. Results: The experiments on both numerical simulation as well as physical experiment showed that the resolution as well as contrast of anatomical structures was much clearer using our method. Specifically, the image quality comparing with transversely scanned DTS showed that the edge and contrast of anatomical structures along Left-Right (LR) directions was comparable however, considerable discrepancy and enhancement could be observed along Superior-Inferior (SI) direction using our method. The opposite was observed when vertically scanned DTS was compared. Conclusion: In this study, we propose a novel DTS system using orthogonal scanning technique. The results indicated that the image quality of our novel DTS system was superior compared to conventional DTS system. This makes our DTS system potentially useful in various

  11. Scanning electron microscope measurement of width and shape of 10nm patterned lines using a JMONSEL-modeled library.

    Science.gov (United States)

    Villarrubia, J S; Vladár, A E; Ming, B; Kline, R J; Sunday, D F; Chawla, J S; List, S

    2015-07-01

    The width and shape of 10nm to 12 nm wide lithographically patterned SiO2 lines were measured in the scanning electron microscope by fitting the measured intensity vs. position to a physics-based model in which the lines' widths and shapes are parameters. The approximately 32 nm pitch sample was patterned at Intel using a state-of-the-art pitch quartering process. Their narrow widths and asymmetrical shapes are representative of near-future generation transistor gates. These pose a challenge: the narrowness because electrons landing near one edge may scatter out of the other, so that the intensity profile at each edge becomes width-dependent, and the asymmetry because the shape requires more parameters to describe and measure. Modeling was performed by JMONSEL (Java Monte Carlo Simulation of Secondary Electrons), which produces a predicted yield vs. position for a given sample shape and composition. The simulator produces a library of predicted profiles for varying sample geometry. Shape parameter values are adjusted until interpolation of the library with those values best matches the measured image. Profiles thereby determined agreed with those determined by transmission electron microscopy and critical dimension small-angle x-ray scattering to better than 1 nm. Published by Elsevier B.V.

  12. Scanning electron microscope measurement of width and shape of 10 nm patterned lines using a JMONSEL-modeled library

    Energy Technology Data Exchange (ETDEWEB)

    Villarrubia, J.S., E-mail: john.villarrubia@nist.gov [Semiconductor and Dimensional Metrology Division, National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Vladár, A.E.; Ming, B. [Semiconductor and Dimensional Metrology Division, National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Kline, R.J.; Sunday, D.F. [Materials Science and Engineering Division, National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Chawla, J.S.; List, S. [Intel Corporation, RA3-252, 5200 NE Elam Young Pkwy, Hillsboro, OR 97124 (United States)

    2015-07-15

    The width and shape of 10 nm to 12 nm wide lithographically patterned SiO{sub 2} lines were measured in the scanning electron microscope by fitting the measured intensity vs. position to a physics-based model in which the lines' widths and shapes are parameters. The approximately 32 nm pitch sample was patterned at Intel using a state-of-the-art pitch quartering process. Their narrow widths and asymmetrical shapes are representative of near-future generation transistor gates. These pose a challenge: the narrowness because electrons landing near one edge may scatter out of the other, so that the intensity profile at each edge becomes width-dependent, and the asymmetry because the shape requires more parameters to describe and measure. Modeling was performed by JMONSEL (Java Monte Carlo Simulation of Secondary Electrons), which produces a predicted yield vs. position for a given sample shape and composition. The simulator produces a library of predicted profiles for varying sample geometry. Shape parameter values are adjusted until interpolation of the library with those values best matches the measured image. Profiles thereby determined agreed with those determined by transmission electron microscopy and critical dimension small-angle x-ray scattering to better than 1 nm.

  13. Scanning probe methods applied to molecular electronics

    Energy Technology Data Exchange (ETDEWEB)

    Pavlicek, Niko

    2013-08-01

    Scanning probe methods on insulating films offer a rich toolbox to study electronic, structural and spin properties of individual molecules. This work discusses three issues in the field of molecular and organic electronics. An STM head to be operated in high magnetic fields has been designed and built up. The STM head is very compact and rigid relying on a robust coarse approach mechanism. This will facilitate investigations of the spin properties of individual molecules in the future. Combined STM/AFM studies revealed a reversible molecular switch based on two stable configurations of DBTH molecules on ultrathin NaCl films. AFM experiments visualize the molecular structure in both states. Our experiments allowed to unambiguously determine the pathway of the switch. Finally, tunneling into and out of the frontier molecular orbitals of pentacene molecules has been investigated on different insulating films. These experiments show that the local symmetry of initial and final electron wave function are decisive for the ratio between elastic and vibration-assisted tunneling. The results can be generalized to electron transport in organic materials.

  14. Enhanced oil recovery methods studied by gamma tracer scanning at simulated reservoir conditions

    International Nuclear Information System (INIS)

    Eriksen, D.O.; Haugen, O.B.; Bjornstad, T.

    2009-01-01

    During recovery (production) of hydrocarbons pressure is maintained by injecting prepared sea water and recycled gas (lean gas) into dedicated injection wells. In one well at the Snorre field in the North Sea the injected gas was recycled too fast to enable support of pressure and squeezing of oil. To plug this high-permeable area the operator wanted to inject foam as a test of its possibilities to decrease gas permeability. As part of the project laboratory tests were included. In these tests we could for the first time map the foam inside the sandstone sample at simulated reservoir conditions. The tracers used were 22 Na + for the γ-scanning of the aqueous brine, tritiated water for permeability measurements, and 35 S-labeled organic sulfonic acid of the same compound as the surfactant. This method resulted in a 'negative' mapping of the foam, i.e. measurements of the absence or exclusion of the aqueous phase by the foam. This method was new and showed that radiotracer-based γ-scanning could give much more accurate measurements of the position of the foam than the standard method using measurements of pressure drops over parts of the core. (author)

  15. Specific surface area evaluation method by using scanning electron microscopy

    International Nuclear Information System (INIS)

    Petrescu, Camelia; Petrescu, Cristian; Axinte, Adrian

    2000-01-01

    Ceramics are among the most interesting materials for a large category of applications, including both industry and health. Among the characteristic of the ceramic materials, the specific surface area is often difficult to evaluate.The paper presents a method of evaluation for the specific surface area of two ceramic powders by means of scanning electron microscopy measurements and an original method of computing the specific surface area.Cumulative curves are used to calculate the specific surface area under assumption that the values of particles diameters follow a normal logarithmic distribution. For two powder types, X7R and NPO the results are the following: - for the density ρ (g/cm 2 ), 5.5 and 6.0, respectively; - for the average diameter D bar (μm), 0.51 and 0.53, respectively; - for σ, 1.465 and 1.385, respectively; - for specific surface area (m 2 /g), 1.248 and 1.330, respectively. The obtained results are in good agreement with the values measured by conventional methods. (authors)

  16. Detection of the power lines in UAV remote sensed images using spectral-spatial methods.

    Science.gov (United States)

    Bhola, Rishav; Krishna, Nandigam Hari; Ramesh, K N; Senthilnath, J; Anand, Gautham

    2018-01-15

    In this paper, detection of the power lines on images acquired by Unmanned Aerial Vehicle (UAV) based remote sensing is carried out using spectral-spatial methods. Spectral clustering was performed using Kmeans and Expectation Maximization (EM) algorithm to classify the pixels into the power lines and non-power lines. The spectral clustering methods used in this study are parametric in nature, to automate the number of clusters Davies-Bouldin index (DBI) is used. The UAV remote sensed image is clustered into the number of clusters determined by DBI. The k clustered image is merged into 2 clusters (power lines and non-power lines). Further, spatial segmentation was performed using morphological and geometric operations, to eliminate the non-power line regions. In this study, UAV images acquired at different altitudes and angles were analyzed to validate the robustness of the proposed method. It was observed that the EM with spatial segmentation (EM-Seg) performed better than the Kmeans with spatial segmentation (Kmeans-Seg) on most of the UAV images. Copyright © 2017 Elsevier Ltd. All rights reserved.

  17. A Testable Design Method for Memories by Boundary Scan Technique

    Directory of Open Access Journals (Sweden)

    Qiao Guo-Hui

    2016-01-01

    Full Text Available This paper presents a design for test the embedded flash in an object System-on-a-chip (SoC. The feature of the Flash TAP (Test Access Port complies with the IEEE std.1149.1, and it can select different scan chains and other control registers for other test. By the trade-off between the test time and the circuit area, an IST (In System Test circuit is designed in the SoC. Experiment results on the embedded memory have shown that the proposed method costs small testing timing by the use of IST.

  18. Suitability of the line intersect method for sampling hardwood logging residues

    Science.gov (United States)

    A. Jeff Martin

    1976-01-01

    The line intersect method of sampling logging residues was tested in Appalachian hardwoods and was found to provide unbiased estimates of the volume of residue in cubic feet per acre. Thirty-two chains of sample line were established on each of sixteen 1-acre plots on cutover areas in a variety of conditions. Estimates from these samples were then compared to actual...

  19. An inverse method for determining the interaction force between the probe and sample using scanning near-field optical microscopy

    International Nuclear Information System (INIS)

    Chang, Win-Jin; Fang, Te-Hua

    2006-01-01

    This study proposes a means for calculating the interaction force during the scanning process using a scanning near-field optical microscope (SNOM) probe. The determination of the interaction force in the scanning system is regarded as an inverse vibration problem. The conjugate gradient method is applied to treat the inverse problem using available displacement measurements. The results show that the conjugate gradient method is less sensitive to measurement errors and prior information on the functional form of quality was not required. Furthermore, the initial guesses for the interaction force can be arbitrarily chosen for the iteration process

  20. Weld quality inspection using laser-EMAT ultrasonic system and C-scan method

    Science.gov (United States)

    Yang, Lei; Ume, I. Charles

    2014-02-01

    Laser/EMAT ultrasonic technique has attracted more and more interests in weld quality inspection because of its non-destructive and non-contact characteristics. When ultrasonic techniques are used to detect welds joining relative thin plates, the dominant ultrasonic waves present in the plates are Lamb waves, which propagate all through the thickness. Traditional Time of Flight(ToF) method loses its power. The broadband nature of laser excited ultrasound plus dispersive and multi-modal characteristic of Lamb waves make the EMAT acquired signals very complicated in this situation. Challenge rises in interpreting the received signals and establishing relationship between signal feature and weld quality. In this paper, the laser/EMAT ultrasonic technique was applied in a C-scan manner to record full wave propagation field over an area close to the weld. Then the effect of weld defect on the propagation field of Lamb waves was studied visually by watching an movie resulted from the recorded signals. This method was proved to be effective to detect the presence of hidden defect in the weld. Discrete wavelet transform(DWT) was applied to characterize the acquired ultrasonic signals and ideal band-pass filter was used to isolate wave components most sensitive to the weld defect. Different interactions with the weld defect were observed for different wave components. Thus this C-Scan method, combined with DWT and ideal band-pass filter, proved to be an effective methodology to experimentally study interactions of various laser excited Lamb Wave components with weld defect. In this work, the method was demonstrated by inspecting a hidden local incomplete penetration in weld. In fact, this method can be applied to study Lamb Wave interactions with any type of structural inconsistency. This work also proposed a ideal filtered based method to effectively reduce the total experimental time.

  1. Calculation of large ion densities under HVdc transmission lines by the finite difference method

    International Nuclear Information System (INIS)

    Suda, Tomotaka; Sunaga, Yoshitaka

    1995-01-01

    A calculation method for large ion densities (charged aerosols) under HVdc transmission lines was developed considering both the charging mechanism of aerosols by small ions and the drifting process by wind. Large ion densities calculated by this method agreed well with the ones measured under the Shiobara HVdc test line on the lateral profiles at ground level up to about 70m downwind from the line. Measured values decreased more quickly than calculated ones farther downwind from the line. Considering the effect of point discharge from ground cover (earth corona) improved the agreement in the farther downwind region

  2. A New Method of On-line Grid Impedance Estimation for PV Inverter

    DEFF Research Database (Denmark)

    Teodorescu, Remus; Asiminoaei, Lucian; Blaabjerg, Frede

    2004-01-01

    for on-line measuring the grid impedance is presented. The presented method requires no extra hardware being accommodated by typical PV inverters, sensors and CPU, to provide a fast and low cost approach of on-line impedance measurement. By injecting a non-characteristic harmonic current and measuring...

  3. Location of Faults in Power Transmission Lines Using the ARIMA Method

    Directory of Open Access Journals (Sweden)

    Danilo Pinto Moreira de Souza

    2017-10-01

    Full Text Available One of the major problems in transmission lines is the occurrence of failures that affect the quality of the electric power supplied, as the exact localization of the fault must be known for correction. In order to streamline the work of maintenance teams and standardize services, this paper proposes a method of locating faults in power transmission lines by analyzing the voltage oscillographic signals extracted at the line monitoring terminals. The developed method relates time series models obtained specifically for each failure pattern. The parameters of the autoregressive integrated moving average (ARIMA model are estimated in order to adjust the voltage curves and calculate the distance from the initial fault localization to the terminals. Simulations of the failures are performed through the ATPDraw ® (5.5 software and the analyses were completed using the RStudio ® (1.0.143 software. The results obtained with respect to the failures, which did not involve earth return, were satisfactory when compared with widely used techniques in the literature, particularly when the fault distance became larger in relation to the beginning of the transmission line.

  4. First results from the INTEGRAL galactic plane scans

    DEFF Research Database (Denmark)

    Winkler, C.; Gehrels, N.; Schonfelder, V.

    2003-01-01

    Scans of the Galactic plane performed at regular intervals constitute a key element of the guaranteed time observations of the INTEGRAL observing programme. These scans are done for two reasons: frequent monitoring of the Galactic plane in order to detect transient sources, and time resolved mapp...... mapping of the Galactic plane in continuum and diffuse line emission. This paper describes first results obtained from the Galactic plane scans executed so far during the early phase (Dec. 2002-May 2003) of the nominal mission.......Scans of the Galactic plane performed at regular intervals constitute a key element of the guaranteed time observations of the INTEGRAL observing programme. These scans are done for two reasons: frequent monitoring of the Galactic plane in order to detect transient sources, and time resolved...

  5. Lead line in rodents: an old sign of lead intoxication turned into a new method for environmental surveillance.

    Science.gov (United States)

    de Figueiredo, Fellipe Augusto Tocchini; Ramos, Junia; Kawakita, Erika R Hashimoto; Bilal, Alina S; de Sousa, Frederico B; Swaim, William D; Issa, Joao P Mardegan; Gerlach, Raquel F

    2016-11-01

    The "lead line" was described by Henry Burton in 1840. Rodents are used as sentinels to monitor environmental pollution, but their teeth have not been used to determine lead. To determine whether lead deposits can be observed in the teeth of lead-exposed animals, since the gingival deposits known as "lead line" would likely have a correlate in the calcified tissue to which the gums are opposed during life. Male Wistar rats were exposed to lead in the drinking water (30 mg/L) since birth until 60 days-old. Molars and the incisors of each hemimandible were analyzed by scanning electron microscopy (SEM) on regular and backscattered electrons (BSE) mode. Elements were determined using electron dispersive spectroscopy (EDS). Clean cervical margins were observed on control teeth, as opposed to the findings of extensive deposits on lead-exposed animals, even in hemimandibles that had been exhumed after being buried for 90 days. BSE/EDS indicated that those deposits were an exogenous material compatible with lead sulfite. Presence of calcium, phosphorus, magnesium, carbon, lead, and oxygen is presented. Lead-exposed animals presented marked root resorption. The lead deposits characterized here for the first time show that the "lead line" seen in gums has a calcified tissue counterpart, that is detectable post-mortem even in animals exposed to a low dose of lead. This is likely a good method to detect undue lead exposure and will likely have wide application for pollution surveillance using sentinels.

  6. A real-time 3D scanning system for pavement distortion inspection

    International Nuclear Information System (INIS)

    Li, Qingguang; Yao, Ming; Yao, Xun; Xu, Bugao

    2010-01-01

    Pavement distortions, such as rutting and shoving, are the common pavement distress problems that need to be inspected and repaired in a timely manner to ensure ride quality and traffic safety. This paper introduces a real-time, low-cost inspection system devoted to detecting these distress features using high-speed 3D transverse scanning techniques. The detection principle is the dynamic generation and characterization of the 3D pavement profile based on structured light triangulation. To improve the accuracy of the system, a multi-view coplanar scheme is employed in the calibration procedure so that more feature points can be used and distributed across the field of view of the camera. A sub-pixel line extraction method is applied for the laser stripe location, which includes filtering, edge detection and spline interpolation. The pavement transverse profile is then generated from the laser stripe curve and approximated by line segments. The second-order derivatives of the segment endpoints are used to identify the feature points of possible distortions. The system can output the real-time measurements and 3D visualization of rutting and shoving distress in a scanned pavement

  7. A Sea-Sky Line Detection Method for Unmanned Surface Vehicles Based on Gradient Saliency.

    Science.gov (United States)

    Wang, Bo; Su, Yumin; Wan, Lei

    2016-04-15

    Special features in real marine environments such as cloud clutter, sea glint and weather conditions always result in various kinds of interference in optical images, which make it very difficult for unmanned surface vehicles (USVs) to detect the sea-sky line (SSL) accurately. To solve this problem a saliency-based SSL detection method is proposed. Through the computation of gradient saliency the line features of SSL are enhanced effectively, while other interference factors are relatively suppressed, and line support regions are obtained by a region growing method on gradient orientation. The SSL identification is achieved according to region contrast, line segment length and orientation features, and optimal state estimation of SSL detection is implemented by introducing a cubature Kalman filter (CKF). In the end, the proposed method is tested on a benchmark dataset from the "XL" USV in a real marine environment, and the experimental results demonstrate that the proposed method is significantly superior to other state-of-the-art methods in terms of accuracy rate and real-time performance, and its accuracy and stability are effectively improved by the CKF.

  8. Methods for studying biofilm formation: flow cells and confocal laser scanning microscopy

    DEFF Research Database (Denmark)

    Tolker-Nielsen, Tim; Sternberg, Claus

    2014-01-01

    In this chapter methods for growing and analyzing biofilms under hydrodynamic conditions in flow cells are described. Use of flow cells allows for direct microscopic investigation of biofilm formation. The flow in these chambers is essentially laminar, which means that the biofilms can be grown u......, inoculation of the flow cells, running of the system, confocal laser scanning microscopy and image analysis, and disassembly and cleaning of the system....

  9. Method for calibration-free scanned-wavelength modulation spectroscopy for gas sensing

    Science.gov (United States)

    Hanson, Ronald K.; Jeffries, Jay B.; Sun, Kai; Sur, Ritobrata; Chao, Xing

    2018-04-10

    A method of calibration-free scanned-wavelength modulation spectroscopy (WMS) absorption sensing is provided by obtaining absorption lineshape measurements of a gas sample on a sensor using 1f-normalized WMS-2f where an injection current to an injection current-tunable diode laser (TDL) is modulated at a frequency f, where a wavelength modulation and an intensity modulation of the TDL are simultaneously generated, extracting using a numerical lock-in program and a low-pass filter appropriate band-width WMS-nf (n=1, 2, . . . ) signals, where the WMS-nf signals are harmonics of the f, determining a physical property of the gas sample according to ratios of the WMS-nf signals, determining the zero-absorption background using scanned-wavelength WMS, and determining non-absorption losses using at least two of the harmonics, where a need for a non-absorption baseline measurement is removed from measurements in environments where collision broadening has blended transition linewidths, where calibration free WMS measurements without knowledge of the transition linewidth is enabled.

  10. Method for quantifying percentage wood failure in block-shear specimens by a laser scanning profilometer

    Science.gov (United States)

    C. T. Scott; R. Hernandez; C. Frihart; R. Gleisner; T. Tice

    2005-01-01

    A new method for quantifying percentage wood failure of an adhesively bonded block-shear specimen has been developed. This method incorporates a laser displacement gage with an automated two-axis positioning system that functions as a highly sensitive profilometer. The failed specimen is continuously scanned across its width to obtain a surface failure profile. The...

  11. Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer

    Science.gov (United States)

    Constantoudis, Vassilios; Papavieros, George; Lorusso, Gian; Rutigliani, Vito; Van Roey, Frieda; Gogolides, Evangelos

    2018-03-01

    The aim of this paper is to investigate the role of etch transfer in two challenges of LER metrology raised by recent evolutions in lithography: the effects of SEM noise and the cross-line and edge correlations. The first comes from the ongoing scaling down of linewidths, which dictates SEM imaging with less scanning frames to reduce specimen damage and hence with more noise. During the last decade, it has been shown that image noise can be an important budget of the measured LER while systematically affects and alter the PSD curve of LER at high frequencies. A recent method for unbiased LER measurement is based on the systematic Fourier or correlation analysis to decompose the effects of noise from true LER (Fourier-Correlation filtering method). The success of the method depends on the PSD and HHCF curve. Previous experimental and model works have revealed that etch transfer affects the PSD of LER reducing its high frequency values. In this work, we estimate the noise contribution to the biased LER through PSD flat floor at high frequencies and relate it with the differences between the PSDs of lithography and etched LER. Based on this comparison, we propose an improvement of the PSD/HHCF-based method for noise-free LER measurement to include the missed high frequency real LER. The second issue is related with the increased density of lithographic patterns and the special characteristics of DSA and MP lithography patterns exhibits. In a previous work, we presented an enlarged LER characterization methodology for such patterns, which includes updated versions of the old metrics along with new metrics defined and developed to capture cross-edge and cross-line correlations. The fundamental concept has been the Line Center Roughness (LCR), the edge c-factor and the line c-factor correlation function and length quantifying the line fluctuations and the extent of cross-edge and cross-line correlations. In this work, we focus on the role of etch steps on cross-edge and

  12. A high speed electrohydrodynamic (EHD) jet printing method for line printing

    International Nuclear Information System (INIS)

    Phung, Thanh Huy; Kim, Seora; Kwon, Kye-Si

    2017-01-01

    Electrohydrodynamic (EHD) jet printing has drawn attention due to its capability to produce smaller dots and patterns with finer lines when compared to those obtained from using conventional inkjet printing. Previous studies have suggested that drop-on-demand EHD-patterning applications should be limited to very slow printing cases with speeds far less than 10 mm s −1 due to the small dot size and limited jetting frequency. In this study, a new EHD printing method is proposed to significantly increase the line-patterning printing speed by modifying the ink and thereby changing the relic shape. The proposed method has the additional advantage of reducing the line-pattern width. The results of the experiment show that the pattern width could be reduced from 20 µ m to 4 µ m by increasing the printing speed from 10 mm s −1 to 50 mm s −1 , respectively. (paper)

  13. Methods for Motion Correction Evaluation Using 18F-FDG Human Brain Scans on a High-Resolution PET Scanner

    DEFF Research Database (Denmark)

    Keller, Sune H.; Sibomana, Merence; Olesen, Oline Vinter

    2012-01-01

    Many authors have reported the importance of motion correction (MC) for PET. Patient motion during scanning disturbs kinetic analysis and degrades resolution. In addition, using misaligned transmission for attenuation and scatter correction may produce regional quantification bias in the reconstr......Many authors have reported the importance of motion correction (MC) for PET. Patient motion during scanning disturbs kinetic analysis and degrades resolution. In addition, using misaligned transmission for attenuation and scatter correction may produce regional quantification bias...... in the reconstructed emission images. The purpose of this work was the development of quality control (QC) methods for MC procedures based on external motion tracking (EMT) for human scanning using an optical motion tracking system. Methods: Two scans with minor motion and 5 with major motion (as reported...... (automated image registration) software. The following 3 QC methods were used to evaluate the EMT and AIR MC: a method using the ratio between 2 regions of interest with gray matter voxels (GM) and white matter voxels (WM), called GM/WM; mutual information; and cross correlation. Results: The results...

  14. STRUCTURE LINE DETECTION FROM LIDAR POINT CLOUDS USING TOPOLOGICAL ELEVATION ANALYSIS

    Directory of Open Access Journals (Sweden)

    C. Y. Lo

    2012-07-01

    Full Text Available Airborne LIDAR point clouds, which have considerable points on object surfaces, are essential to building modeling. In the last two decades, studies have developed different approaches to identify structure lines using two main approaches, data-driven and modeldriven. These studies have shown that automatic modeling processes depend on certain considerations, such as used thresholds, initial value, designed formulas, and predefined cues. Following the development of laser scanning systems, scanning rates have increased and can provide point clouds with higher point density. Therefore, this study proposes using topological elevation analysis (TEA to detect structure lines instead of threshold-dependent concepts and predefined constraints. This analysis contains two parts: data pre-processing and structure line detection. To preserve the original elevation information, a pseudo-grid for generating digital surface models is produced during the first part. The highest point in each grid is set as the elevation value, and its original threedimensional position is preserved. In the second part, using TEA, the structure lines are identified based on the topology of local elevation changes in two directions. Because structure lines can contain certain geometric properties, their locations have small relieves in the radial direction and steep elevation changes in the circular direction. Following the proposed approach, TEA can be used to determine 3D line information without selecting thresholds. For validation, the TEA results are compared with those of the region growing approach. The results indicate that the proposed method can produce structure lines using dense point clouds.

  15. On-line Monitoring Device for High-voltage Switch Cabinet Partial Discharge Based on Pulse Current Method

    Science.gov (United States)

    Y Tao, S.; Zhang, X. Z.; Cai, H. W.; Li, P.; Feng, Y.; Zhang, T. C.; Li, J.; Wang, W. S.; Zhang, X. K.

    2017-12-01

    The pulse current method for partial discharge detection is generally applied in type testing and other off-line tests of electrical equipment at delivery. After intensive analysis of the present situation and existing problems of partial discharge detection in switch cabinets, this paper designed the circuit principle and signal extraction method for partial discharge on-line detection based on a high-voltage presence indicating systems (VPIS), established a high voltage switch cabinet partial discharge on-line detection circuit based on the pulse current method, developed background software integrated with real-time monitoring, judging and analyzing functions, carried out a real discharge simulation test on a real-type partial discharge defect simulation platform of a 10KV switch cabinet, and verified the sensitivity and validity of the high-voltage switch cabinet partial discharge on-line monitoring device based on the pulse current method. The study presented in this paper is of great significance for switch cabinet maintenance and theoretical study on pulse current method on-line detection, and has provided a good implementation method for partial discharge on-line monitoring devices for 10KV distribution network equipment.

  16. A fully automatic end-to-end method for content-based image retrieval of CT scans with similar liver lesion annotations.

    Science.gov (United States)

    Spanier, A B; Caplan, N; Sosna, J; Acar, B; Joskowicz, L

    2018-01-01

    The goal of medical content-based image retrieval (M-CBIR) is to assist radiologists in the decision-making process by retrieving medical cases similar to a given image. One of the key interests of radiologists is lesions and their annotations, since the patient treatment depends on the lesion diagnosis. Therefore, a key feature of M-CBIR systems is the retrieval of scans with the most similar lesion annotations. To be of value, M-CBIR systems should be fully automatic to handle large case databases. We present a fully automatic end-to-end method for the retrieval of CT scans with similar liver lesion annotations. The input is a database of abdominal CT scans labeled with liver lesions, a query CT scan, and optionally one radiologist-specified lesion annotation of interest. The output is an ordered list of the database CT scans with the most similar liver lesion annotations. The method starts by automatically segmenting the liver in the scan. It then extracts a histogram-based features vector from the segmented region, learns the features' relative importance, and ranks the database scans according to the relative importance measure. The main advantages of our method are that it fully automates the end-to-end querying process, that it uses simple and efficient techniques that are scalable to large datasets, and that it produces quality retrieval results using an unannotated CT scan. Our experimental results on 9 CT queries on a dataset of 41 volumetric CT scans from the 2014 Image CLEF Liver Annotation Task yield an average retrieval accuracy (Normalized Discounted Cumulative Gain index) of 0.77 and 0.84 without/with annotation, respectively. Fully automatic end-to-end retrieval of similar cases based on image information alone, rather that on disease diagnosis, may help radiologists to better diagnose liver lesions.

  17. Analysing Infinite-State Systems by Combining Equivalence Reduction and the Sweep-Line Method

    DEFF Research Database (Denmark)

    Mailund, Thomas

    2002-01-01

    The sweep-line method is a state space exploration method for on-the-fly verification aimed at systems exhibiting progress. Presence of progress in the system makes it possible to delete certain states during state space generation, which reduces the memory used for storing the states. Unfortunat......The sweep-line method is a state space exploration method for on-the-fly verification aimed at systems exhibiting progress. Presence of progress in the system makes it possible to delete certain states during state space generation, which reduces the memory used for storing the states....... Unfortunately, the same progress that is used to improve memory performance in state space exploration often leads to an infinite state space: The progress in the system is carried over to the states resulting in infinitely many states only distinguished through the progress. A finite state space can...... property essential for the sweep-line method. We evaluate the new method on two case studies, showing significant improvements in performance, and we briefly discuss the new method in the context of Timed Coloured Petri Nets, where the “increasing global time” semantics can be exploited for more efficient...

  18. A novel method to alleviate flash-line defects in coining process

    KAUST Repository

    Xu, Jiangping

    2013-04-01

    We employ a finite element framework based on a dynamic explicit algorithm to predict the flash-line defects in the coining process. The distribution of the flash-line is obtained by building a radial friction work model at the element level. The elasto-plastic behavior of porous materials undergoing large deformations is considered where the constitutive level updates are the result of a local variational minimization problem. We study the material flow at different strokes of the die across the entire coining process and observe that the change in the flow direction of the material in the rim region may contribute to the flash lines. Our proposed framework shows that a part of the rim region in which the flash-line defects appear is consistent with the reported experimental results. We also propose a novel method of redesigning the rim geometry of the workpiece to alleviate the flash-line defects which also shows good agreement with experiments. © 2012 Elsevier Inc. All rights reserved.

  19. A Novel Fault Line Selection Method Based on Improved Oscillator System of Power Distribution Network

    Directory of Open Access Journals (Sweden)

    Xiaowei Wang

    2014-01-01

    Full Text Available A novel method of fault line selection based on IOS is presented. Firstly, the IOS is established by using math model, which adopted TZSC signal to replace built-in signal of duffing chaotic oscillator by selecting appropriate parameters. Then, each line’s TZSC decomposed by db10 wavelet packet to get CFB with the maximum energy principle, and CFB was solved by IOS. Finally, maximum chaotic distance and average chaotic distance on the phase trajectory are used to judge fault line. Simulation results show that the proposed method can accurately judge fault line and healthy line in strong noisy background. Besides, the nondetection zones of proposed method are elaborated.

  20. Analytical examination of a spiral beam scanning method for uniform irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Fukuda, Mitsuhiro; Okumura, Susumu; Arakawa, Kazuo [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    A new circular beam scanning method for uniform irradiation of high-energy, intense ion beams over a large area has been developed. A sweeping speed and a trajectory density in a radial direction are kept constant to obtain uniform fluence distribution. A radial position of a beam spot on a target and an angular frequency of the circular motion are expressed by an irrational function of time. The beam is swept continuously, and a beam trajectory becomes spiral. More than 90 % uniformity of the fluence distribution can been achieved over a large area. (author)

  1. INS/GPS/LiDAR Integrated Navigation System for Urban and Indoor Environments Using Hybrid Scan Matching Algorithm.

    Science.gov (United States)

    Gao, Yanbin; Liu, Shifei; Atia, Mohamed M; Noureldin, Aboelmagd

    2015-09-15

    This paper takes advantage of the complementary characteristics of Global Positioning System (GPS) and Light Detection and Ranging (LiDAR) to provide periodic corrections to Inertial Navigation System (INS) alternatively in different environmental conditions. In open sky, where GPS signals are available and LiDAR measurements are sparse, GPS is integrated with INS. Meanwhile, in confined outdoor environments and indoors, where GPS is unreliable or unavailable and LiDAR measurements are rich, LiDAR replaces GPS to integrate with INS. This paper also proposes an innovative hybrid scan matching algorithm that combines the feature-based scan matching method and Iterative Closest Point (ICP) based scan matching method. The algorithm can work and transit between two modes depending on the number of matched line features over two scans, thus achieving efficiency and robustness concurrently. Two integration schemes of INS and LiDAR with hybrid scan matching algorithm are implemented and compared. Real experiments are performed on an Unmanned Ground Vehicle (UGV) for both outdoor and indoor environments. Experimental results show that the multi-sensor integrated system can remain sub-meter navigation accuracy during the whole trajectory.

  2. Comparison of MRI fast SPGR single slice scan and continuous dynamic scan in patients with obstructive sleep apnea-hypopnea syndrome

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Xinyu [Department of Radiology, Medical School Hospital of Qingdao University, 16 Jiangsu Road, Qingdao 266003 (China)], E-mail: myginny2@sina.com; Yang Xue [Department of Radiology, Medical School Hospital of Qingdao University, 16 Jiangsu Road, Qingdao 266003 (China)], E-mail: yangxueqyfy@126.com; Hua Hui [Department of Otorhinolaryngology-Head and Neck Surgery, Medical School Hospital of Qingdao University, Qingdao (China)], E-mail: huahuisky@163.com; Chen Jingjing [Department of Radiology, Medical School Hospital of Qingdao University, 16 Jiangsu Road, Qingdao 266003 (China)], E-mail: chenjingjingsky@126.com

    2009-07-15

    Objective: To evaluate the application value of MRI fast SPGR single slice scan in patients with obstructive sleep apnea-hypopnea syndrome when comparing the images between fast SPGR single slice scan and continuous dynamic scan. Methods: Eighteen patients with obstructive sleep apnea-hypopnea syndrome were examined by fast SPGR single slice scan and continuous dynamic scan in turn. Fast SPGR single slice scans were conducted when the phases of apnea, inspiration and expiration appeared on the respiratory wave of the subjects. Fast SPGR continuous dynamic scans were conducted when the patients were awake and apneic. The scan planes were median sagittal plane and axial planes (the slice of middle part of palate, the slice of inferior part of palate, the slice of middle part of lingual root and the slice of 0.5 cm beneath the free margin of epiglottis). The obstructed sites and the cross-sectional areas of upper airway were compared between the two scan methods. Results: Seven cases showed complete obstruction at the narrowest sites of upper airway when apnea appeared; eleven cases showed marked decrease in cross-sectional areas at the narrowest sites compared with the areas when the patients were awake; two cases manifested multiple narrowness. The obstructed sites showed by the two scan methods were same. The difference of the cross-sectional areas of upper airway between the two scan methods was insignificant (P > 0.05). Conclusion: Fast SPGR single slice scan can accurately reflect the obstructed sites of upper airway when the breath breaks off and is the complementary method of continuous dynamic scan. Sometimes, single slice scan can replace continuous dynamic scan.

  3. A Sea-Sky Line Detection Method for Unmanned Surface Vehicles Based on Gradient Saliency

    Directory of Open Access Journals (Sweden)

    Bo Wang

    2016-04-01

    Full Text Available Special features in real marine environments such as cloud clutter, sea glint and weather conditions always result in various kinds of interference in optical images, which make it very difficult for unmanned surface vehicles (USVs to detect the sea-sky line (SSL accurately. To solve this problem a saliency-based SSL detection method is proposed. Through the computation of gradient saliency the line features of SSL are enhanced effectively, while other interference factors are relatively suppressed, and line support regions are obtained by a region growing method on gradient orientation. The SSL identification is achieved according to region contrast, line segment length and orientation features, and optimal state estimation of SSL detection is implemented by introducing a cubature Kalman filter (CKF. In the end, the proposed method is tested on a benchmark dataset from the “XL” USV in a real marine environment, and the experimental results demonstrate that the proposed method is significantly superior to other state-of-the-art methods in terms of accuracy rate and real-time performance, and its accuracy and stability are effectively improved by the CKF.

  4. Markerless tracking in nuclear power plants. A line segment-based approach

    International Nuclear Information System (INIS)

    Ishii, Hirotake; Kimura, Taro; Tokumaru, Hiroki; Shimoda, Hiroshi; Koda, Yuya

    2017-01-01

    To develop augmented reality-based support systems, a tracking method that measures the camera's position and orientation in real time is indispensable. A relocalization is one step that is used to (re)start the tracking. A line-segment-based relocalization method that uses a RGB-D camera and coarse-to-fine approach was developed and evaluated for this study. In the preparation stage, the target environment is scanned with a RGB-D camera. Line segments are recognized. Then three-dimensional positions of the line segments are calculated, and statistics of the line segments are calculated and stored in a database. In the relocalization stage, a few images that closely resemble the current RGB-D camera image are chosen from the database by comparing the statistics of the line segments. Then the most similar image is chosen using Normalized Cross-Correlation. This coarse-to-fine approach reduces the computational load to find the most similar image. The method was evaluated in the water purification room of the Fugen nuclear power plant. Results showed that the success rate of the relocalization is 93.6% and processing time is 45.7 ms per frame on average, which is promising for practical use. (author)

  5. Method for HEPA filter leak scanning with differentiating aerosol detector

    Energy Technology Data Exchange (ETDEWEB)

    Kovach, B.J.; Banks, E.M.; Wikoff, W.O. [NUCON International, Inc., Columbus, OH (United States)

    1997-08-01

    While scanning HEPA filters for leaks with {open_quotes}Off the Shelf{close_quote} aerosol detection equipment, the operator`s scanning speed is limited by the time constant and threshold sensitivity of the detector. This is based on detection of the aerosol density, where the maximum signal is achieved when the scanning probe resides over the pinhole longer than several detector time-constants. Since the differential value of the changing signal can be determined by observing only the first small fraction of the rising signal, using a differentiating amplifier will speed up the locating process. The other advantage of differentiation is that slow signal drift or zero offset will not interfere with the process of locating the leak, since they are not detected. A scanning hand-probe attachable to any NUCON{reg_sign} Aerosol Detector displaying the combination of both aerosol density and differentiated signal was designed. 3 refs., 1 fig.

  6. Factors influencing bone scan quality

    International Nuclear Information System (INIS)

    Adams, F.G.; Shirley, A.W.

    1983-01-01

    A reliable subjective method of assessing bone scan quality is described. A large number of variables which theoretically could influence scan quality were submitted to regression and factor analysis. Obesity, age, sex and abnormality of scan were found to be significant but weak variables. (orig.)

  7. Fast-scan NMR imaging

    International Nuclear Information System (INIS)

    Iwaoka, Hideto; Matsuura, Hiroyuki; Sugiyama, Tadashi; Hirata, Takaaki

    1987-01-01

    This paper describes the Fast Recovery (FR) method for fast-scan Nuclear Magnetic Resonance imaging. The FR method uses a sequence of four radio frequency pulses - alternating selective 90 deg nutation pulses and nonselective 180 deg pulses. One free induction decay (FID) signal and one echo signal are detected and averaged to compute a 2-D image. In the modified FR method, extra 180 deg pulses are applied between 90 deg pulses to cause refocusing and the resultant spin echo signals are averaged to improve the signal to noise ratio. For the FR and modified FR sequences, the macroscopic magnetization is restored to equilibrium quickly and exactly; scan time can consequently be less than that for conventional pulse sequences, such as used in the saturation recovery method, without any penalty in signal to noise ratio. This paper derives expressions for the signal to noise ratio, scan time ratio and contrast noise ratio, compares the FR and modified FR methods with the saturation recovery method and presents experimental results for human body images. In theory and practice, the signal to noise ratio for the FR method is larger than that for the modified FR method. For a given signal to noise ratio the scan time is between one half and one fourth that for the saturation recovery method. The optimum repetition period, T r , is 0.07 ∼ 0.25 s for the FR method, and 0.1 ∼ 0.5 s for the modified FR method. Contrast noise ratio is low for high speed imaging, T r = 0.07 ∼ 0.25 s, but, high contrast noise ratio image is obtained for T r > 0.5 s. (author)

  8. Measured attenuation correction methods

    International Nuclear Information System (INIS)

    Ostertag, H.; Kuebler, W.K.; Doll, J.; Lorenz, W.J.

    1989-01-01

    Accurate attenuation correction is a prerequisite for the determination of exact local radioactivity concentrations in positron emission tomography. Attenuation correction factors range from 4-5 in brain studies to 50-100 in whole body measurements. This report gives an overview of the different methods of determining the attenuation correction factors by transmission measurements using an external positron emitting source. The long-lived generator nuclide 68 Ge/ 68 Ga is commonly used for this purpose. The additional patient dose from the transmission source is usually a small fraction of the dose due to the subsequent emission measurement. Ring-shaped transmission sources as well as rotating point or line sources are employed in modern positron tomographs. By masking a rotating line or point source, random and scattered events in the transmission scans can be effectively suppressed. The problems of measured attenuation correction are discussed: Transmission/emission mismatch, random and scattered event contamination, counting statistics, transmission/emission scatter compensation, transmission scan after administration of activity to the patient. By using a double masking technique simultaneous emission and transmission scans become feasible. (orig.)

  9. Research and application on imaging technology of line structure light based on confocal microscopy

    Science.gov (United States)

    Han, Wenfeng; Xiao, Zexin; Wang, Xiaofen

    2009-11-01

    In 2005, the theory of line structure light confocal microscopy was put forward firstly in China by Xingyu Gao and Zexin Xiao in the Institute of Opt-mechatronics of Guilin University of Electronic Technology. Though the lateral resolution of line confocal microscopy can only reach or approach the level of the traditional dot confocal microscopy. But compared with traditional dot confocal microscopy, it has two advantages: first, by substituting line scanning for dot scanning, plane imaging only performs one-dimensional scanning, with imaging velocity greatly improved and scanning mechanism simplified, second, transfer quantity of light is greatly improved by substituting detection hairline for detection pinhole, and low illumination CCD is used directly to collect images instead of photoelectric intensifier. In order to apply the line confocal microscopy to practical system, based on the further research on the theory of the line confocal microscopy, imaging technology of line structure light is put forward on condition of implementation of confocal microscopy. Its validity and reliability are also verified by experiments.

  10. Realistic Modeling and Animation of Human Body Based on Scanned Data

    Institute of Scientific and Technical Information of China (English)

    Yong-You Ma; Hui Zhang; Shou-Wei Jiang

    2004-01-01

    In this paper we propose a novel method for building animation model of real human body from surface scanned data.The human model is represented by a triangular mesh and described as a layered geometric model.The model consists of two layers: the control skeleton generating body animation from motion capture data,and the simplified surface model providing an efficient representation of the skin surface shape.The skeleton is generated automatically from surface scanned data using the feature extraction,and thena point-to-line mapping is used to map the surface model onto the underlying skeleton.The resulting model enables real-time and smooth animation by manipulation of the skeleton while maintaining the surface detail.Compared with earlier approach,the principal advantages of our approach are the automated generation of body control skeletons from the scanned data for real-time animation,and the automatic mapping and animation of the captured human surface shape.The human model constructed in this work can be used for applications of ergonomic design,garment CAD,real-time simulating humans in virtual reality environment and so on.

  11. Synthesis method based on solution regions for planar four bar straight line linkages

    International Nuclear Information System (INIS)

    Lai Rong, Yin; Cong, Mao; Jian you, Han; Tong, Yang; Juan, Huang

    2012-01-01

    An analytical method for synthesizing and selecting desired four-bar straight line mechanisms based on solution regions is presented. Given two fixed pivots, the point position and direction of the target straight line, an infinite number of mechanism solutions can be produced by employing this method, both in the general case and all three special cases. Unifying the straight line direction and the displacement from the given point to the instant center into the same form with different angles as parameters, infinite mechanism solutions can be expressed with different solution region charts. The mechanism property graphs have been computed to enable the designers to find out the involved mechanism information more intuitively and avoid aimlessness in selecting optimal mechanisms

  12. Automated search method for AFM and profilers

    Science.gov (United States)

    Ray, Michael; Martin, Yves C.

    2001-08-01

    A new automation software creates a search model as an initial setup and searches for a user-defined target in atomic force microscopes or stylus profilometers used in semiconductor manufacturing. The need for such automation has become critical in manufacturing lines. The new method starts with a survey map of a small area of a chip obtained from a chip-design database or an image of the area. The user interface requires a user to point to and define a precise location to be measured, and to select a macro function for an application such as line width or contact hole. The search algorithm automatically constructs a range of possible scan sequences within the survey, and provides increased speed and functionality compared to the methods used in instruments to date. Each sequence consists in a starting point relative to the target, a scan direction, and a scan length. The search algorithm stops when the location of a target is found and criteria for certainty in positioning is met. With today's capability in high speed processing and signal control, the tool can simultaneously scan and search for a target in a robotic and continuous manner. Examples are given that illustrate the key concepts.

  13. Determination of anions with an on-line capillary electrophoresis method; Anionien on-line maeaeritys kapillaarielektroforeesilla - MPKT 10

    Energy Technology Data Exchange (ETDEWEB)

    Siren, H.; Saerme, T.; Kotiaho, T.; Hiissa, T.; Savolahti, P.; Komppa, V. [VTT Chemical Technology, Espoo (Finland)

    1998-12-31

    The aim of the study was to set-up an on-line capillary electrophoresis method for determination of anions in process waters of pulp and paper industry with exporting the results to the process control system of the mill. The quantification is important, since it will give information about the possible causes of precipitation. In recent years, the capillary electrophoresis (CE) due to its high separation efficiency has been shown as a method to take into consideration when analyzing chemical species ranging from small inorganic anions to different macromolecules. Many compounds are not easily detected in their native state, why analysis methods must be developed to improve their detection. Especially, small inorganic and organic anions which do not have chromophores are not sensitive enough for direct-UV detection. In such analyses the anions are mostly detected with indirect-UV technique. Capillary electrophoresis instruments are used to analyze samples in off-line, which seldom represent the situation in process. Therefore, on-line instrument technology with autoanalyzing settings will be needed in quality control. The development of a fully automatic capillary electrophoresis system is underway in co-operation with KCL (The Finnish Pulp and Paper Research Institute). In our research, we have first concentrated on the determination of sulphate in waters of paper industry. The method used for detection of sulphate is based on indirect-UV detection with CE, where the background electrolyte (BGE) is an absorbing mixture of secondary amines. The whole procedure for quantification of sulphate is performed within 15 minutes, after which a new sample is analyzed automatically. The only sample pretreatment is filtration, which is necessary before analysis. The concentrations of sulphate in process waters tested were between 300 and 800 ppm. Our tests show that a simultaneous determination of chloride, sulphate, nitrate, nitrite, sulphite, carbonate and oxalate is also

  14. Determination of anions with an on-line capillary electrophoresis method; Anionien on-line maeaeritys kapillaarielektroforeesilla - MPKT 10

    Energy Technology Data Exchange (ETDEWEB)

    Siren, H; Saerme, T; Kotiaho, T; Hiissa, T; Savolahti, P; Komppa, V [VTT Chemical Technology, Espoo (Finland)

    1999-12-31

    The aim of the study was to set-up an on-line capillary electrophoresis method for determination of anions in process waters of pulp and paper industry with exporting the results to the process control system of the mill. The quantification is important, since it will give information about the possible causes of precipitation. In recent years, the capillary electrophoresis (CE) due to its high separation efficiency has been shown as a method to take into consideration when analyzing chemical species ranging from small inorganic anions to different macromolecules. Many compounds are not easily detected in their native state, why analysis methods must be developed to improve their detection. Especially, small inorganic and organic anions which do not have chromophores are not sensitive enough for direct-UV detection. In such analyses the anions are mostly detected with indirect-UV technique. Capillary electrophoresis instruments are used to analyze samples in off-line, which seldom represent the situation in process. Therefore, on-line instrument technology with autoanalyzing settings will be needed in quality control. The development of a fully automatic capillary electrophoresis system is underway in co-operation with KCL (The Finnish Pulp and Paper Research Institute). In our research, we have first concentrated on the determination of sulphate in waters of paper industry. The method used for detection of sulphate is based on indirect-UV detection with CE, where the background electrolyte (BGE) is an absorbing mixture of secondary amines. The whole procedure for quantification of sulphate is performed within 15 minutes, after which a new sample is analyzed automatically. The only sample pretreatment is filtration, which is necessary before analysis. The concentrations of sulphate in process waters tested were between 300 and 800 ppm. Our tests show that a simultaneous determination of chloride, sulphate, nitrate, nitrite, sulphite, carbonate and oxalate is also

  15. Method of lines solution of Richards` equation

    Energy Technology Data Exchange (ETDEWEB)

    Kelley, C.T.; Miller, C.T.; Tocci, M.D.

    1996-12-31

    We consider the method of lines solution of Richard`s equation, which models flow through porous media, as an example of a situation in which the method can give incorrect results because of premature termination of the nonlinear corrector iteration. This premature termination arises when the solution has a sharp moving front and the Jacobian is ill-conditioned. While this problem can be solved by tightening the tolerances provided to the ODE or DAE solver used for the temporal integration, it is more efficient to modify the termination criteria of the nonlinear solver and/or recompute the Jacobian more frequently. In this paper we continue previous work on this topic by analyzing the modifications in more detail and giving a strategy on how the modifications can be turned on and off in response to changes in the character of the solution.

  16. Multimodal scanning laser ophthalmoscopy for image guided treatment of age-related macular degeneration

    Science.gov (United States)

    Hammer, Daniel X.; Ferguson, R. D.; Patel, Ankit H.; Iftimia, Nicusor V.; Mujat, Mircea; Husain, Deeba

    2009-02-01

    Subretinal neovascular membranes (SRNM) are a deleterious complication of laser eye injury and retinal diseases such as age-related macular degeneration (AMD), choroiditis, and myopic retinopathy. Photodynamic therapy (PDT) and anti-vascular endothelial growth factor (VEGF) drugs are approved treatment methods. PDT acts by selective dye accumulation, activation by laser light, and disruption and clotting of the new leaky vessels. However, PDT surgery is currently not image-guided, nor does it proceed in an efficient or automated manner. This may contribute to the high rate of re-treatment. We have developed a multimodal scanning laser ophthalmoscope (SLO) for automated diagnosis and image-guided treatment of SRNMs associated with AMD. The system combines line scanning laser ophthalmoscopy (LSLO), fluorescein angiography (FA), indocyanine green angiography (ICGA), PDT laser delivery, and retinal tracking in a compact, efficient platform. This paper describes the system hardware and software design, performance characterization, and automated patient imaging and treatment session procedures and algorithms. Also, we present initial imaging and tracking measurements on normal subjects and automated lesion demarcation and sizing analysis of previously acquired angiograms. Future pre-clinical testing includes line scanning angiography and PDT treatment of AMD subjects. The automated acquisition procedure, enhanced and expedited data post-processing, and innovative image visualization and interpretation tools provided by the multimodal retinal imager may eventually aid in the diagnosis, treatment, and prognosis of AMD and other retinal diseases.

  17. A robust method for processing scanning probe microscopy images and determining nanoobject position and dimensions

    NARCIS (Netherlands)

    Silly, F.

    2009-01-01

    P>Processing of scanning probe microscopy (SPM) images is essential to explore nanoscale phenomena. Image processing and pattern recognition techniques are developed to improve the accuracy and consistency of nanoobject and surface characterization. We present a robust and versatile method to

  18. Security warning method and system for worker safety during live-line working

    Science.gov (United States)

    Jiang, Chilong; Zou, Dehua; Long, Chenhai; Yang, Miao; Zhang, Zhanlong; Mei, Daojun

    2017-09-01

    Live-line working is an essential part in the operations in an electric power system. Live-line workers are required to wear shielding clothing. Shielding clothing, however, acts as a closed environment for the human body. Working in a closed environment for a long time can change the physiological responses of the body and even endanger personal safety. According to the typical conditions of live-line working, this study synthesizes environmental factors related to shielding clothing and the physiological factors of the body to establish the heart rate variability index RMSSD and the comprehensive security warning index SWI. On the basis of both indices, this paper proposes a security warning method and system for the safety live-line workers. The system can monitor the real-time status of workers during live-line working to provide security warning and facilitate the effective safety supervision by the live operation center during actual live-line working.

  19. Lining seam elimination algorithm and surface crack detection in concrete tunnel lining

    Science.gov (United States)

    Qu, Zhong; Bai, Ling; An, Shi-Quan; Ju, Fang-Rong; Liu, Ling

    2016-11-01

    Due to the particularity of the surface of concrete tunnel lining and the diversity of detection environments such as uneven illumination, smudges, localized rock falls, water leakage, and the inherent seams of the lining structure, existing crack detection algorithms cannot detect real cracks accurately. This paper proposed an algorithm that combines lining seam elimination with the improved percolation detection algorithm based on grid cell analysis for surface crack detection in concrete tunnel lining. First, check the characteristics of pixels within the overlapping grid to remove the background noise and generate the percolation seed map (PSM). Second, cracks are detected based on the PSM by the accelerated percolation algorithm so that the fracture unit areas can be scanned and connected. Finally, the real surface cracks in concrete tunnel lining can be obtained by removing the lining seam and performing percolation denoising. Experimental results show that the proposed algorithm can accurately, quickly, and effectively detect the real surface cracks. Furthermore, it can fill the gap in the existing concrete tunnel lining surface crack detection by removing the lining seam.

  20. Experimental Quasi-Microwave Whole-Body Averaged SAR Estimation Method Using Cylindrical-External Field Scanning

    OpenAIRE

    Kawamura, Yoshifumi; Hikage, Takashi; Nojima, Toshio

    2010-01-01

    The aim of this study is to develop a new whole-body averaged specific absorption rate (SAR) estimation method based on the external-cylindrical field scanning technique. This technique is adopted with the goal of simplifying the dosimetry estimation of human phantoms that have different postures or sizes. An experimental scaled model system is constructed. In order to examine the validity of the proposed method for realistic human models, we discuss the pros and cons of measurements and nume...

  1. Bone scan and joint scan of hands and feet in rheumatoid arthritis

    International Nuclear Information System (INIS)

    Carpentier, N.; Verbeke, S.; Perdrisot, R.; Grilo, R.M.; Quenesson, E.; Bonnet, C.; Vergne, P.; Treves, R.; Bertin, P.; Boutros-Toni, F.

    2000-01-01

    The aim of this study was to determine the ability of joint scan and bone scan of hands and feet, in patients with rheumatoid arthritis, to localize the altered joints. The sensitivity, the specificity, the positive predictive value (PPV) and the negative predictive value (NPV) of joint scan were determined in comparison with clinical joint assessment. Fifteen patients (780 joints) were clinically examined (pain and synovitis); during the same day, a bone scan and a joint scan were realized by oxidronate 99m Tc intravenous injection. Patients were scanned 5 minutes (tissual time, T t ) and 3 hours 1/4 (bone time, T 0 ) after the administration. The uptake of the bi-phosphonate was evaluated with a qualitative method using a grey scale. The uptake of 99m Tc oxidronate was quantitated using an extra-articular region of interest. The sensitivity, specificity, PPV and NPV of the scan at Tt were 46%, 96%, 85% et 78%. The same parameters were 75%, 66%, 53% and 84% for the scan realized at T 0 . The joint scan has showed 22% of false positive. These false positives could be a consequence of an earlier detection of joint alterations by scan. The joint scan should forecast the evolution of joints in patients with rheumatoid arthritis. (author)

  2. Scanning tunneling microscopy II further applications and related scanning techniques

    CERN Document Server

    Güntherodt, Hans-Joachim

    1995-01-01

    Scanning Tunneling Microscopy II, like its predecessor, presents detailed and comprehensive accounts of the basic principles and broad range of applications of STM and related scanning probe techniques. The applications discussed in this volume come predominantly from the fields of electrochemistry and biology. In contrast to those described in STM I, these studies may be performed in air and in liquids. The extensions of the basic technique to map other interactions are described in chapters on scanning force microscopy, magnetic force microscopy, and scanning near-field optical microscopy, together with a survey of other related techniques. Also described here is the use of a scanning proximal probe for surface modification. Together, the two volumes give a comprehensive account of experimental aspects of STM. They provide essential reading and reference material for all students and researchers involved in this field. In this second edition the text has been updated and new methods are discussed.

  3. Two Methods for Antialiased Wireframe Drawing with Hidden Line Removal

    DEFF Research Database (Denmark)

    Bærentzen, Jakob Andreas; Munk-Lund, Steen; Gjøl, Mikkel

    2008-01-01

    Two novel and robust techniques for wireframe drawing are proposed. Neither suffer from the well-known artifacts associated with the standard two pass, offset based techniques for wireframe drawing. Both methods draw prefiltered lines and produce high-quality antialiased results without super...

  4. Ultrafast method of calculating the dynamic spectral line shapes for integrated modelling of plasmas

    International Nuclear Information System (INIS)

    Lisitsa, V.S.

    2009-01-01

    An ultrafast code for spectral line shape calculations is presented to be used in the integrated modelling of plasmas. The code is based on the close analogy between two mechanisms: (i) Dicke narrowing of the Doppler-broadened spectral lines and (ii) transition from static to impact regime in the Stark broadening. The analogy makes it possible to describe the dynamic Stark broadening in terms of an analytical functional of the static line shape. A comparison of new method with the widely used Frequency Fluctuating Method (FFM) developed by the Marseille University group (B. Talin, R. Stamm, et al.) shows good agreement, with the new method being faster than the standard FFM by nearly two orders of magnitude. The method proposed may significantly simplify the radiation transport modeling and opens new possibilities for integrated modeling of the edge and divertor plasma in tokamaks. (author)

  5. Scan path entropy and Arrow plots: Capturing scanning behavior of multiple observers

    Directory of Open Access Journals (Sweden)

    Ignace T C Hooge

    2013-12-01

    Full Text Available Designers of visual communication material want their material to attract and retain attention. In marketing research, heat maps, dwell time, and time to AOI first hit are often used as evaluation parameters. Here we present two additional measures 1 scan path entropy to quantify gaze guidance and 2 the arrow plot to visualize the average scan path. Both are based on string representations of scan paths. The latter also incorporates transition matrices and time required for 50% of the observers to first hit AOIs (T50. The new measures were tested in an eye tracking study (48 observers, 39 advertisements. Scan path entropy is a sensible measure for gaze guidance and the new visualization method reveals aspects of the average scan path and gives a better indication in what order global scanning takes place.

  6. Study of the hydration of globular proteins by broad NMR lines method

    Energy Technology Data Exchange (ETDEWEB)

    Blicharska, B [Uniwersytet Jagiellonski, Krakow (Poland). Instytut Fizyki

    1973-01-01

    Spectra of proteins and polypeptides obtained by means of a NMR broad line spectrometer consist of broad and thin lines. These broad and thin lines are attributed to proteins and to water absorbed on the surfaces of proteins respectively. The behaviour of the thin line in the spectra of lyophilizated albumin of the egg white has been studied in the temperature range from -42 to 20/sup 0/C. The amount of water has been found by the simple method of weighing and has been equal about 7% of the total weight. It has been found that the water absorbed on the surface of the lyophilizated proteins gives a thinner line in comparison to the water absorbed on molecules of proteins in water solutions and that the correlation time is about 10/sup 3/ times greater.

  7. Single-step electrochemical method for producing very sharp Au scanning tunneling microscopy tips

    International Nuclear Information System (INIS)

    Gingery, David; Buehlmann, Philippe

    2007-01-01

    A single-step electrochemical method for making sharp gold scanning tunneling microscopy tips is described. 3.0M NaCl in 1% perchloric acid is compared to several previously reported etchants. The addition of perchloric acid to sodium chloride solutions drastically shortens etching times and is shown by transmission electron microscopy to produce very sharp tips with a mean radius of curvature of 15 nm

  8. The Method of Lines for Ternary Diffusion Problems

    Directory of Open Access Journals (Sweden)

    Henryk Leszczyński

    2014-01-01

    Full Text Available The method of lines (MOL for diffusion equations with Neumann boundary conditions is considered. These equations are transformed by a discretization in space variables into systems of ordinary differential equations. The proposed ODEs satisfy the mass conservation law. The stability of solutions of these ODEs with respect to discrete L2 norms and discrete W1,∞ norms is investigated. Numerical examples confirm the parabolic behaviour of this model and very regular dynamics.

  9. Comparison of capacity for diagnosis and visuality of auditory ossicles at different scanning angles in the computed tomography of temporal bone

    International Nuclear Information System (INIS)

    Ogura, Akio; Nakayama, Yoshiki

    1992-01-01

    Computed tomographic (CT) scanning has made significant contributions to the diagnosis and evaluation of temporal bone lesions by the thin-section, high-resolution techniques. However, these techniques involve greater radiation exposure to the lens of patients. A mean was thus sought for reducing the radiation exposure at different scanning angles such as +15 degrees and -10 degrees to the Reid's base line. Purposes of this study were to measure radiation exposure to the lens using the two tomographic planes and to compare the ability to visualize auditory ossicles and labyrinthine structures. Visual evaluation of tomographic images on auditory ossicles was made by blinded methods using four rankings by six radiologists. The statistical significance of the intergroup difference in the visualization of tomographic planes was assessed for a significance level of 0.01. Thermoluminescent dosimeter chips were placed on the cornea of tissue equivalent to the skull phantom to evaluate radiation exposure for two separate tomographic planes. As the result, tomographic plane at an angle of -10 degrees to Reid's base line allowed better visualization than the other plane for the malleus, incus, facial nerve canal, and tuba auditiva (p<0.01). Scannings at an angle of -10 degrees to Reid's base line reduced radiation exposure to approximately one-fiftieth (1/50) that with the scans at the other angle. (author)

  10. Virtual teeth: a 3D method for editing and visualizing small structures in CT scans

    DEFF Research Database (Denmark)

    Bro-Nielsen, Morten; Larsen, Per; Kreiborg, Sven

    1996-01-01

    The paper presents an interactive method for segmentation and visualization of small structures in CT scans. A combination of isosurface generation, spatial region growing and interactive graphics tools are used to extract small structures interactively. A practical example of segmentation of the...

  11. Dexter: Data Extractor for scanned graphs

    Science.gov (United States)

    Demleitner, Markus

    2011-12-01

    The NASA Astrophysics Data System (ADS) now holds 1.3 million scanned pages, containing numerous plots and figures for which the original data sets are lost or inaccessible. The availability of scans of the figures can significantly ease the regeneration of the data sets. For this purpose, the ADS has developed Dexter, a Java applet that supports the user in this process. Dexter's basic functionality is to let the user manually digitize a plot by marking points and defining the coordinate transformation from the logical to the physical coordinate system. Advanced features include automatic identification of axes, tracing lines and finding points matching a template.

  12. Printing line/space patterns on nonplanar substrates using a digital micromirror device-based point-array scanning technique

    Science.gov (United States)

    Kuo, Hung-Fei; Kao, Guan-Hsuan; Zhu, Liang-Xiu; Hung, Kuo-Shu; Lin, Yu-Hsin

    2018-02-01

    This study used a digital micromirror device (DMD) to produce point-array patterns and employed a self-developed optical system to define line-and-space patterns on nonplanar substrates. First, field tracing was employed to analyze the aerial images of the lithographic system, which comprised an optical system and the DMD. Multiobjective particle swarm optimization was then applied to determine the spot overlapping rate used. The objective functions were set to minimize linewidth and maximize image log slope, through which the dose of the exposure agent could be effectively controlled and the quality of the nonplanar lithography could be enhanced. Laser beams with 405-nm wavelength were employed as the light source. Silicon substrates coated with photoresist were placed on a nonplanar translation stage. The DMD was used to produce lithographic patterns, during which the parameters were analyzed and optimized. The optimal delay time-sequence combinations were used to scan images of the patterns. Finally, an exposure linewidth of less than 10 μm was successfully achieved using the nonplanar lithographic process.

  13. Graph Structure-Based Simultaneous Localization and Mapping Using a Hybrid Method of 2D Laser Scan and Monocular Camera Image in Environments with Laser Scan Ambiguity

    Directory of Open Access Journals (Sweden)

    Taekjun Oh

    2015-07-01

    Full Text Available Localization is an essential issue for robot navigation, allowing the robot to perform tasks autonomously. However, in environments with laser scan ambiguity, such as long corridors, the conventional SLAM (simultaneous localization and mapping algorithms exploiting a laser scanner may not estimate the robot pose robustly. To resolve this problem, we propose a novel localization approach based on a hybrid method incorporating a 2D laser scanner and a monocular camera in the framework of a graph structure-based SLAM. 3D coordinates of image feature points are acquired through the hybrid method, with the assumption that the wall is normal to the ground and vertically flat. However, this assumption can be relieved, because the subsequent feature matching process rejects the outliers on an inclined or non-flat wall. Through graph optimization with constraints generated by the hybrid method, the final robot pose is estimated. To verify the effectiveness of the proposed method, real experiments were conducted in an indoor environment with a long corridor. The experimental results were compared with those of the conventional GMappingapproach. The results demonstrate that it is possible to localize the robot in environments with laser scan ambiguity in real time, and the performance of the proposed method is superior to that of the conventional approach.

  14. Real-time dose compensation methods for scanned ion beam therapy of moving tumors

    International Nuclear Information System (INIS)

    Luechtenborg, Robert

    2012-01-01

    Scanned ion beam therapy provides highly tumor-conformal treatments. So far, only tumors showing no considerable motion during therapy have been treated as tumor motion and dynamic beam delivery interfere, causing dose deteriorations. One proposed technique to mitigate these deteriorations is beam tracking (BT), which adapts the beam position to the moving tumor. Despite application of BT, dose deviations can occur in the case of non-translational motion. In this work, real-time dose compensation combined with beam tracking (RDBT) has been implemented into the control system to compensate these dose changes by adaptation of nominal particle numbers during irradiation. Compared to BT, significantly reduced dose deviations were measured using RDBT. Treatment planning studies for lung cancer patients including the increased biological effectiveness of ions revealed a significantly reduced over-dose level (3/5 patients) as well as significantly improved dose homogeneity (4/5 patients) for RDBT. Based on these findings, real-time dose compensated re-scanning (RDRS) has been proposed that potentially supersedes the technically complex fast energy adaptation necessary for BT and RDBT. Significantly improved conformity compared to re-scanning, i.e., averaging of dose deviations by repeated irradiation, was measured in film irradiations. Simulations comparing RDRS to BT revealed reduced under- and overdoses of the former method.

  15. Comparison of 2 root surface area measurement methods: 3-dimensional laser scanning and cone-beam computed tomography

    International Nuclear Information System (INIS)

    Tasanapanont, Jintana; Apisariyakul, Janya; Wattanachai, Tanapan; Jotikasthira, Dhirawat; Sriwilas, Patiyut; Midtboe, Marit

    2017-01-01

    The aim of this study was to compare the use of 3-dimensional (3D) laser scanning and cone-beam computed tomography (CBCT) as methods of root surface measurement. Thirty teeth (15 maxillary first premolars and 15 mandibular first premolars) from 8 patients who required extractions for orthodontic treatment were selected. Before extraction, pre-treatment CBCT images of all the patients were recorded. First, a CBCT image was imported into simulation software (Mimics version 15.01; Materialise, Leuven, Belgium) and the root surface area of each tooth was calculated using 3-Matic (version 7.01, Materialise, Leuven, Belgium). After extraction, all the teeth were scanned and the root surface area of each extracted tooth was calculated. The root surface areas calculated using these 2 measurement methods were analyzed using the paired t-test (P<.05). Correlations between the 2 methods were determined by calculating the Pearson correlation coefficient. The intraclass correlation coefficient (ICC) was used to assess intraobserver reliability. The root surface area measurements (230.11±41.97 mm"2) obtained using CBCT were slightly greater than those (229.31±42.46 mm2) obtained using 3D laser scanning, but not significantly (P=.425). A high Pearson correlation coefficient was found between the CBCT and the 3D laser scanner measurements. The intraobserver ICC was 1.000 for 3D laser scanning and 0.990 for CBCT. This study presents a novel CBCT approach for measuring the root surface area; this technique can be used for estimating the root surface area of non-extracted teeth

  16. Comparison of 2 root surface area measurement methods: 3-dimensional laser scanning and cone-beam computed tomography

    Energy Technology Data Exchange (ETDEWEB)

    Tasanapanont, Jintana; Apisariyakul, Janya; Wattanachai, Tanapan; Jotikasthira, Dhirawat [Dept. of Orthodontics and Pediatric Dentistry, Faculty of Dentistry, Chiang Mai University, Chiang Mai (Thailand); Sriwilas, Patiyut [Dept. of Radiology, Faculty of Medicine Siriraj Hospital, Mahidol University, Bangkok (Thailand); Midtboe, Marit [Dept. of Clinical Dentistry - Orthodontics, Faculty of Medicine and Dentistry, University of Bergen, Bergen (Norway)

    2017-06-15

    The aim of this study was to compare the use of 3-dimensional (3D) laser scanning and cone-beam computed tomography (CBCT) as methods of root surface measurement. Thirty teeth (15 maxillary first premolars and 15 mandibular first premolars) from 8 patients who required extractions for orthodontic treatment were selected. Before extraction, pre-treatment CBCT images of all the patients were recorded. First, a CBCT image was imported into simulation software (Mimics version 15.01; Materialise, Leuven, Belgium) and the root surface area of each tooth was calculated using 3-Matic (version 7.01, Materialise, Leuven, Belgium). After extraction, all the teeth were scanned and the root surface area of each extracted tooth was calculated. The root surface areas calculated using these 2 measurement methods were analyzed using the paired t-test (P<.05). Correlations between the 2 methods were determined by calculating the Pearson correlation coefficient. The intraclass correlation coefficient (ICC) was used to assess intraobserver reliability. The root surface area measurements (230.11±41.97 mm{sup 2}) obtained using CBCT were slightly greater than those (229.31±42.46 mm2) obtained using 3D laser scanning, but not significantly (P=.425). A high Pearson correlation coefficient was found between the CBCT and the 3D laser scanner measurements. The intraobserver ICC was 1.000 for 3D laser scanning and 0.990 for CBCT. This study presents a novel CBCT approach for measuring the root surface area; this technique can be used for estimating the root surface area of non-extracted teeth.

  17. Comparison of conventional full spine radiographs and fluoroscopic scanning method in young patients with idiopathic scoliosis; Vergleich von konventioneller Wirbelsaeulenganzaufnahme und fluoroskopischer Scan-Methode bei jungen Patienten mit idiopathischer Skoliose

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, J.; Kottke, R.; Claussen, C. [Abt. fuer Radiologische Diagnostik, Universitaetsklinikum Tuebingen (Germany); Kluba, T.; Niemeyer, T.; Hahnfeldt, T. [Klinik und Poliklinik fuer Orthopaedie, Universitaetsklinikum Tuebingen (Germany); Vonthein, R. [Inst. fuer Medizinische Biometrie, Universitaetsklinikum Tuebingen (Germany); Kamm, K.F. [Philips Medizin Systeme GmbH, Hamburg (Germany)

    2005-08-01

    Purpose: evaluation of low-dose full spine radiographs using fluoroscopic images for the assessment of the Cobb angle measurement in patients with scoliosis. Material and methods: twenty-one consecutive patients (aged 10-27 years, mean age 14 years) with a conventional full spine examination (film speed class 800) underwent a follow-up exam using digital pulsed fluoroscopy (Multi Diagnost 4, Philips Medical Systems, Eindhoven, The Netherlands). The mean follow-up was 9 months. During a synchronized scan with a C-arm speed of 4 cm/sec fluoroscopic images were stored with a pulsed frequency of 3 images per second. The single images were merged and reconstructed to one image with the software easy spine (Philips medical Systems, Eindhoven, The Netherlands). The corresponding dose-area product values (DAP) of both methods were compared. Three independent observers assessed Cobb angles and image quality for each technique. Results: the mean DAP values for conventional imaging was 94.9 cGy x cm{sup 2} and for fluoroscopy 7.8 cGy x cm{sup 2}, respectively. A significant dose reduction of 91.8% (CI 91% to 95%) was calculated. The average absolute angle difference between the observers was found to be 2.7 for conventional imaging and 2.4 for the fluoroscopic method. Interobserver standard deviation of 2.9 was lower than the 5.3 for conventional images. Image quality was better in the conventional images. Conclusion: using the scanning method, we could achieve a mean reduction of the radiation dose of 92%, while the accuracy of the Cobb angle measurements was comparable for both techniques despite of reduced image quality of digital fluoroscopy. (orig.)

  18. Numeric scintigraphy in the exploration of bone metastases. About 619 patients, 819 scans and 97 biopsy

    International Nuclear Information System (INIS)

    Robillard, J.; Couette, J.E.; Ly Van Hoa; Chedeville, R.; Mandard, A.M.; Chasles, J.

    1977-01-01

    Histograms which show uptake rate distribution on bone metastases and on normal bones, arthrosic bone, uncalcified bone, have been drawn up. 819 scans performed on 627 patients have been classified according to ratio of bone segment/knee, bone segment/whole body and bone segment/minimum (knee, whole body). Henry's straight lines have been drawn in man and in woman for these different ratios in order to select the best discriminant. At last a biopsy on 97 patients has controlled the validity of the method. Quantitative scanning allows, on the other hand, to observe the evaluation of metastases under treatment as shown by the fixation curves during this time [fr

  19. High resolution melting for mutation scanning of TP53 exons 5–8

    International Nuclear Information System (INIS)

    Krypuy, Michael; Dobrovic, Alexander; Ahmed, Ahmed Ashour; Etemadmoghadam, Dariush; Hyland, Sarah J; Australian Ovarian Cancer Study Group; Fazio, Anna de; Fox, Stephen B; Brenton, James D; Bowtell, David D

    2007-01-01

    p53 is commonly inactivated by mutations in the DNA-binding domain in a wide range of cancers. As mutant p53 often influences response to therapy, effective and rapid methods to scan for mutations in TP53 are likely to be of clinical value. We therefore evaluated the use of high resolution melting (HRM) as a rapid mutation scanning tool for TP53 in tumour samples. We designed PCR amplicons for HRM mutation scanning of TP53 exons 5 to 8 and tested them with DNA from cell lines hemizygous or homozygous for known mutations. We assessed the sensitivity of each PCR amplicon using dilutions of cell line DNA in normal wild-type DNA. We then performed a blinded assessment on ovarian tumour DNA samples that had been previously sequenced for mutations in TP53 to assess the sensitivity and positive predictive value of the HRM technique. We also performed HRM analysis on breast tumour DNA samples with unknown TP53 mutation status. One cell line mutation was not readily observed when exon 5 was amplified. As exon 5 contained multiple melting domains, we divided the exon into two amplicons for further screening. Sequence changes were also introduced into some of the primers to improve the melting characteristics of the amplicon. Aberrant HRM curves indicative of TP53 mutations were observed for each of the samples in the ovarian tumour DNA panel. Comparison of the HRM results with the sequencing results revealed that each mutation was detected by HRM in the correct exon. For the breast tumour panel, we detected seven aberrant melt profiles by HRM and subsequent sequencing confirmed the presence of these and no other mutations in the predicted exons. HRM is an effective technique for simple and rapid scanning of TP53 mutations that can markedly reduce the amount of sequencing required in mutational studies of TP53

  20. Sub-pixel analysis to support graphic security after scanning at low resolution

    Science.gov (United States)

    Haas, Bertrand; Cordery, Robert; Gou, Hongmei; Decker, Steve

    2006-02-01

    Whether in the domain of audio, video or finance, our world tends to become increasingly digital. However, for diverse reasons, the transition from analog to digital is often much extended in time, and proceeds by long steps (and sometimes never completes). One such step is the conversion of information on analog media to digital information. We focus in this paper on the conversion (scanning) of printed documents to digital images. Analog media have the advantage over digital channels that they can harbor much imperceptible information that can be used for fraud detection and forensic purposes. But this secondary information usually fails to be retrieved during the conversion step. This is particularly relevant since the Check-21 act (Check Clearing for the 21st Century act) became effective in 2004 and allows images of checks to be handled by banks as usual paper checks. We use here this situation of check scanning as our primary benchmark for graphic security features after scanning. We will first present a quick review of the most common graphic security features currently found on checks, with their specific purpose, qualities and disadvantages, and we demonstrate their poor survivability after scanning in the average scanning conditions expected from the Check-21 Act. We will then present a novel method of measurement of distances between and rotations of line elements in a scanned image: Based on an appropriate print model, we refine direct measurements to an accuracy beyond the size of a scanning pixel, so we can then determine expected distances, periodicity, sharpness and print quality of known characters, symbols and other graphic elements in a document image. Finally we will apply our method to fraud detection of documents after gray-scale scanning at 300dpi resolution. We show in particular that alterations on legitimate checks or copies of checks can be successfully detected by measuring with sub-pixel accuracy the irregularities inherently introduced

  1. Numerical Methods for Plate Forming by Line Heating

    DEFF Research Database (Denmark)

    Clausen, Henrik Bisgaard

    2000-01-01

    Line heating is the process of forming originally flat plates into a desired shape by means of heat treatment. Parameter studies are carried out on a finite element model to provide knowledge of how the process behaves with varying heating conditions. For verification purposes, experiments are ca...... are carried out; one set of experiments investigates the actual heat flux distribution from a gas torch and another verifies the validty of the FE calculations. Finally, a method to predict the heating pattern is described....

  2. Liver scanning in the diagnosis of metastases from malignant tumors of the gastrointestinal tract

    International Nuclear Information System (INIS)

    Fleites, G.; Gomez, E.; Bell, L.; Peralta, R.; Suarez, J.C.; Cassola, J.R.; Fraga, A.

    1989-01-01

    The National Institute of Oncology and Radiobiology (INOR) established a line of clinical investigation in January, 1974, which was later added to clinical care. This line consisted of trying to arrive at a preoperative diagnosis - by means of liver scanning - of the potential liver metastases of primitive tumors in the gastrointestinal tract. Metastable 113 Indium was used. A review of the results obtained in the 50 patients included in the investigation program is made. The results of preoperative scanning were compared with operative findings. Twelve liver metastases (24%) were found. In 40 patients there was agreement (80%) between preoperative scanning and operatory findings. At present, ultrasound is a necessary supplement to scans, which supplements it but does not exclude it. The tracer element used now is technetium-99 M coloidal sulphide

  3. Development of a routine method for the determination of mean crystallite dimensions in nuclear materials by X-ray diffraction line broadening. An application example using U/sub 3/O/sub 8/ microspheres

    Energy Technology Data Exchange (ETDEWEB)

    Imakuma, K; Sato, I M; Cretella Neto, J; Costa, M I

    1975-03-01

    A method for mean crystallite size determination by X-ray diffraction line broadening was established. Both step-scanning and methods were extensivly tested and the precision of the data obtained as a function of the crystallite size is discussed. Emphasis is given to our contribution in order to eliminate instrumental effects as well as K sub(..cap alpha../sub 1/)/Ksub(..cap alpha../sub 2/) doublet effect upon line broadening. Instrumental correction was performed with a monocrystalline Si sample having mean crystallite size well above 13,000 A improving the accuracy of experimental data. Ksub(..cap alpha../sub 2/) and Ksub(..cap alpha../sub 2/) peaks determined instead of taking it for granted as 5. The mean crystallite dimension of U/sub 3/O/sub 8/ was calculated and the results show the dependence of this parameter on the quality of the microspheres.

  4. Evaluation of the monitor cursor-line method for measuring pulmonary artery and central venous pressures.

    Science.gov (United States)

    Pasion, Editha; Good, Levell; Tizon, Jisebelle; Krieger, Staci; O'Kier, Catherine; Taylor, Nicole; Johnson, Jennifer; Horton, Carrie M; Peterson, Mary

    2010-11-01

    To determine if the monitor cursor-line feature on bedside monitors is accurate for measuring central venous and pulmonary artery pressures in cardiac surgery patients. Central venous and pulmonary artery pressures were measured via 3 methods (end-expiratory graphic recording, monitor cursor-line display, and monitor digital display) in a convenience sample of postoperative cardiac surgery patients. Pressures were measured twice during both mechanical ventilation and spontaneous breathing. Analysis of variance was used to determine differences between measurement methods and the percentage of monitor pressures that differed by 4 mm Hg or more from the measurement obtained from the graphic recording. Significance level was set at P less than .05. Twenty-five patients were studied during mechanical ventilation (50 measurements) and 21 patients during spontaneous breathing (42 measurements). Measurements obtained via the 3 methods did not differ significantly for either type of pressure (P > .05). Graphically recorded pressures and measurements obtained via the monitor cursor-line or digital display methods differed by 4 mm Hg or more in 4% and 6% of measurements, respectively, during mechanical ventilation and 4% and 11%, respectively, during spontaneous breathing. The monitor cursor-line method for measuring central venous and pulmonary artery pressures may be a reasonable alternative to the end-expiratory graphic recording method in hemodynamically stable, postoperative cardiac surgery patients. Use of the digital display on the bedside monitor may result in larger discrepancies from the graphically recorded pressures than when the cursor-line method is used, particularly in spontaneously breathing patients.

  5. The development of an automatic scanning method for CR-39 neutron dosimeter

    International Nuclear Information System (INIS)

    Tawara, Hiroko; Miyajima, Mitsuhiro; Sasaki, Shin-ichi; Hozumi, Ken-ichi

    1989-01-01

    A method of measuring low level neutron dose has been developed with CR-39 track detectors using an automatic scanning system. It is composed of the optical microscope with a video camera, an image processor and a personal computer. The focus point of the microscope and the X-Y stage are controlled from the computer. The minimum detectable neutron dose is estimated at 4.6 mrem in the uniform field of neutron with equivalent energy spectrum to Am-Be source from the results of automatic measurements. (author)

  6. Engineering Mathematical Analysis Method for Productivity Rate in Linear Arrangement Serial Structure Automated Flow Assembly Line

    Directory of Open Access Journals (Sweden)

    Tan Chan Sin

    2015-01-01

    Full Text Available Productivity rate (Q or production rate is one of the important indicator criteria for industrial engineer to improve the system and finish good output in production or assembly line. Mathematical and statistical analysis method is required to be applied for productivity rate in industry visual overviews of the failure factors and further improvement within the production line especially for automated flow line since it is complicated. Mathematical model of productivity rate in linear arrangement serial structure automated flow line with different failure rate and bottleneck machining time parameters becomes the basic model for this productivity analysis. This paper presents the engineering mathematical analysis method which is applied in an automotive company which possesses automated flow assembly line in final assembly line to produce motorcycle in Malaysia. DCAS engineering and mathematical analysis method that consists of four stages known as data collection, calculation and comparison, analysis, and sustainable improvement is used to analyze productivity in automated flow assembly line based on particular mathematical model. Variety of failure rate that causes loss of productivity and bottleneck machining time is shown specifically in mathematic figure and presents the sustainable solution for productivity improvement for this final assembly automated flow line.

  7. Integrated Circuit Interconnect Lines on Lossy Silicon Substrate with Finite Element Method

    OpenAIRE

    Sarhan M. Musa,; Matthew N. O. Sadiku

    2014-01-01

    The silicon substrate has a significant effect on the inductance parameter of a lossy interconnect line on integrated circuit. It is essential to take this into account in determining the transmission line electrical parameters. In this paper, a new quasi-TEM capacitance and inductance analysis of multiconductor multilayer interconnects is successfully demonstrated using finite element method (FEM). We specifically illustrate the electrostatic modeling of single and coupled in...

  8. The Enhancement of 3D Scans Depth Resolution Obtained by Confocal Scanning of Porous Materials

    Science.gov (United States)

    Martisek, Dalibor; Prochazkova, Jana

    2017-12-01

    The 3D reconstruction of simple structured materials using a confocal microscope is widely used in many different areas including civil engineering. Nonetheless, scans of porous materials such as concrete or cement paste are highly problematic. The well-known problem of these scans is low depth resolution in comparison to the horizontal and vertical resolution. The degradation of the image depth resolution is caused by systematic errors and especially by different random events. Our method is focused on the elimination of such random events, mainly the additive noise. We use an averaging method based on the Lindeberg-Lévy theorem that improves the final depth resolution to a level comparable with horizontal and vertical resolution. Moreover, using the least square method, we also precisely determine the limit value of a depth resolution. Therefore, we can continuously evaluate the difference between current resolution and the optimal one. This substantially simplifies the scanning process because the operator can easily determine the required number of scans.

  9. The Enhancement of 3D Scans Depth Resolution Obtained by Confocal Scanning of Porous Materials

    Directory of Open Access Journals (Sweden)

    Martisek Dalibor

    2017-12-01

    Full Text Available The 3D reconstruction of simple structured materials using a confocal microscope is widely used in many different areas including civil engineering. Nonetheless, scans of porous materials such as concrete or cement paste are highly problematic. The well-known problem of these scans is low depth resolution in comparison to the horizontal and vertical resolution. The degradation of the image depth resolution is caused by systematic errors and especially by different random events. Our method is focused on the elimination of such random events, mainly the additive noise. We use an averaging method based on the Lindeberg-Lévy theorem that improves the final depth resolution to a level comparable with horizontal and vertical resolution. Moreover, using the least square method, we also precisely determine the limit value of a depth resolution. Therefore, we can continuously evaluate the difference between current resolution and the optimal one. This substantially simplifies the scanning process because the operator can easily determine the required number of scans.

  10. A Review on Migration Methods in B-Scan Ground Penetrating Radar Imaging

    Directory of Open Access Journals (Sweden)

    Caner Özdemir

    2014-01-01

    Full Text Available Even though ground penetrating radar has been well studied and applied by many researchers for the last couple of decades, the focusing problem in the measured GPR images is still a challenging task. Although there are many methods offered by different scientists, there is not any complete migration/focusing method that works perfectly for all scenarios. This paper reviews the popular migration methods of the B-scan GPR imaging that have been widely accepted and applied by various researchers. The brief formulation and the algorithm steps for the hyperbolic summation, the Kirchhoff migration, the back-projection focusing, the phase-shift migration, and the ω-k migration are presented. The main aim of the paper is to evaluate and compare the migration algorithms over different focusing methods such that the reader can decide which algorithm to use for a particular application of GPR. Both the simulated and the measured examples that are used for the performance comparison of the presented algorithms are provided. Other emerging migration methods are also pointed out.

  11. Ultra-precision turning of complex spiral optical delay line

    Science.gov (United States)

    Zhang, Xiaodong; Li, Po; Fang, Fengzhou; Wang, Qichang

    2011-11-01

    Optical delay line (ODL) implements the vertical or depth scanning of optical coherence tomography, which is the most important factor affecting the scanning resolution and speed. The spinning spiral mirror is found as an excellent optical delay device because of the high-speed and high-repetition-rate. However, it is one difficult task to machine the mirror due to the special shape and precision requirement. In this paper, the spiral mirror with titled parabolic generatrix is proposed, and the ultra-precision turning method is studied for its machining using the spiral mathematic model. Another type of ODL with the segmental shape is also introduced and machined to make rotation balance for the mass equalization when scanning. The efficiency improvement is considered in details, including the rough cutting with the 5- axis milling machine, the machining coordinates unification, and the selection of layer direction in turning. The onmachine measuring method based on stylus gauge is designed to analyze the shape deviation. The air bearing is used as the measuring staff and the laser interferometer sensor as the position sensor, whose repeatability accuracy is proved up to 10nm and the stable feature keeps well. With this method developed, the complex mirror with nanometric finish of 10.7nm in Ra and the form error within 1um are achieved.

  12. Impact of the method of registering Terrestrial Laser Scanning data on the quality of documenting cultural heritage structures

    Directory of Open Access Journals (Sweden)

    M. Kedzierski

    2015-08-01

    Full Text Available When documenting historical structures and objects, especially delicate artefacts such as pieces of sacred art, only techniques that allow remote, non-contact methods that enable the most precise measurements should be used to obtain data. TLS can be considered as such a technique however in order to obtain complete information for the entire structure, there is usually a need to acquire data from more than one measuring station. In this case, the most important and essential step of processing TLS data is the registration of scans. The paper contains a description of research and analyses concerning the registration of point clouds using three methods: manual, automatic and a combination of the two. The research was carried on measurement data from a historical synagogue. The structure was divided into three parts – three scans. The accuracy with which these scans were registered was assessed and a 3D model of the interior was created.

  13. New Snail Mail Scanning Service

    CERN Multimedia

    2012-01-01

    Modernisation does not stop at the CERN postal service (GS/PS). “With more and more digitisation and the prevalence of e-mail throughout the site, we were hoping to provide more timely delivery of letters and make further saving in resources”, said Tueri Datta, head of GS/PS.   Instead of the standard delivery to your P.O. box, the CERN postal service will digitally scan all letters and books up to 100 pages on reception. These scans will subsequently be sent via e-mail to the corresponding recipient as PDF (Portable Data Format - you will need to install “Acrobat Reader” on your PC). Express mail will be handled with priority. Users without a valid CERN mailbox can register at mail.scan.service@cern.ch in order to have their letters read to them via the phone line (we are currently investigating whether we can use the voices of the last five DGs).   This service will start on 1st April 2012 on the Meyrin site and will gradually replace th...

  14. Non-Viral Transfection Methods Optimized for Gene Delivery to a Lung Cancer Cell Line

    OpenAIRE

    Salimzadeh, Loghman; Jaberipour, Mansooreh; Hosseini, Ahmad; Ghaderi, Abbas

    2013-01-01

    Background Mehr-80 is a newly established adherent human large cell lung cancer cell line that has not been transfected until now. This study aims to define the optimal transfection conditions and effects of some critical elements for enhancing gene delivery to this cell line by utilizing different non-viral transfection Procedures. Methods In the current study, calcium phosphate (CaP), DEAE-dextran, superfect, electroporation and lipofection transfection methods were used to optimize deliver...

  15. Noninvasive computerized scanning method for the correlation between the facial soft and hard tissues for an integrated three-dimensional anthropometry and cephalometry.

    Science.gov (United States)

    Galantucci, Luigi Maria; Percoco, Gianluca; Lavecchia, Fulvio; Di Gioia, Eliana

    2013-05-01

    The article describes a new methodology to scan and integrate facial soft tissue surface with dental hard tissue models in a three-dimensional (3D) virtual environment, for a novel diagnostic approach.The facial and the dental scans can be acquired using any optical scanning systems: the models are then aligned and integrated to obtain a full virtual navigable representation of the head of the patient. In this article, we report in detail and further implemented a method for integrating 3D digital cast models into a 3D facial image, to visualize the anatomic position of the dentition. This system uses several 3D technologies to scan and digitize, integrating them with traditional dentistry records. The acquisitions were mainly performed using photogrammetric scanners, suitable for clinics or hospitals, able to obtain high mesh resolution and optimal surface texture for the photorealistic rendering of the face. To increase the quality and the resolution of the photogrammetric scanning of the dental elements, the authors propose a new technique to enhance the texture of the dental surface. Three examples of the application of the proposed procedure are reported in this article, using first laser scanning and photogrammetry and then only photogrammetry. Using cheek retractors, it is possible to scan directly a great number of dental elements. The final results are good navigable 3D models that integrate facial soft tissue and dental hard tissues. The method is characterized by the complete absence of ionizing radiation, portability and simplicity, fast acquisition, easy alignment of the 3D models, and wide angle of view of the scanner. This method is completely noninvasive and can be repeated any time the physician needs new clinical records. The 3D virtual model is a precise representation both of the soft and the hard tissue scanned, and it is possible to make any dimensional measure directly in the virtual space, for a full integrated 3D anthropometry and

  16. Fluorescent multiplex cell flow systems and methods

    KAUST Repository

    Merzaban, Jasmeen

    2017-06-01

    Systems and methods are provided for simultaneously assaying cell adhesion or cell rolling for multiple cell specimens. One embodiment provides a system for assaying adhesion or cell rolling of multiple cell specimens that includes a confocal imaging system containing a parallel plate flow chamber, a pump in fluid communication with the parallel plate flow chamber via a flow chamber inlet line and a cell suspension in fluid communication with the parallel plate flow chamber via a flow chamber outlet line. The system also includes a laser scanning system in electronic communication with the confocal imaging system, and a computer in communication with the confocal imaging system and laser scanning system. In certain embodiments, the laser scanning system emits multiple electromagnetic wavelengths simultaneously it cause multiple fluorescent labels having different excitation wavelength maximums to fluoresce. The system can simultaneously capture real-time fluorescence images from at least seven cell specimens in the parallel plate flow chamber.

  17. An Efficient Hybrid Conjugate Gradient Method with the Strong Wolfe-Powell Line Search

    Directory of Open Access Journals (Sweden)

    Ahmad Alhawarat

    2015-01-01

    Full Text Available Conjugate gradient (CG method is an interesting tool to solve optimization problems in many fields, such as design, economics, physics, and engineering. In this paper, we depict a new hybrid of CG method which relates to the famous Polak-Ribière-Polyak (PRP formula. It reveals a solution for the PRP case which is not globally convergent with the strong Wolfe-Powell (SWP line search. The new formula possesses the sufficient descent condition and the global convergent properties. In addition, we further explained about the cases where PRP method failed with SWP line search. Furthermore, we provide numerical computations for the new hybrid CG method which is almost better than other related PRP formulas in both the number of iterations and the CPU time under some standard test functions.

  18. Genomic prediction based on data from three layer lines: a comparison between linear methods

    NARCIS (Netherlands)

    Calus, M.P.L.; Huang, H.; Vereijken, J.; Visscher, J.; Napel, ten J.; Windig, J.J.

    2014-01-01

    Background The prediction accuracy of several linear genomic prediction models, which have previously been used for within-line genomic prediction, was evaluated for multi-line genomic prediction. Methods Compared to a conventional BLUP (best linear unbiased prediction) model using pedigree data, we

  19. Scanning laser topography and scanning laser polarimetry: comparing both imaging methods at same distances from the optic nerve head.

    Science.gov (United States)

    Kremmer, Stephan; Keienburg, Marcus; Anastassiou, Gerasimos; Schallenberg, Maurice; Steuhl, Klaus-Peter; Selbach, J Michael

    2012-01-01

    To compare the performance of scanning laser topography (SLT) and scanning laser polarimetry (SLP) on the rim of the optic nerve head and its surrounding area and thereby to evaluate whether these imaging technologies are influenced by other factors beyond the thickness of the retinal nerve fiber layer (RNFL). A total of 154 eyes from 5 different groups were examined: young healthy subjects (YNorm), old healthy subjects (ONorm), patients with normal tension glaucoma (NTG), patients with open-angle glaucoma and early glaucomatous damage (OAGE) and patients with open-angle glaucoma and advanced glaucomatous damage (OAGA). SLT and SLP measurements were taken. Four concentric circles were superimposed on each of the images: the first one measuring at the rim of the optic nerve head (1.0 ONHD), the next measuring at 1.25 optic nerve head diameters (ONHD), at 1.5 ONHD and at 1.75 ONHD. The aligned images were analyzed using GDx/NFA software. Both methods showed peaks of RNFL thickness in the superior and inferior segments of the ONH. The maximum thickness, registered by the SLT device was at the ONH rim where the SLP device tended to measure the lowest values. SLT measurements at the ONH were influenced by other tissues besides the RNFL like blood vessels and glial tissues. SLT and SLP were most strongly correlated at distances of 1.25 and 1.5 ONHD. While both imaging technologies are valuable tools in detecting glaucoma, measurements at the ONH rim should be interpreted critically since both methods might provide misleading results. For the assessment of the retinal nerve fiber layer we would like to recommend for both imaging technologies, SLT and SLP, measurements in 1.25 and 1.5 ONHD distance of the rim of the optic nerve head.

  20. Comparison of two different segmentation methods on planar lung perfusion scan with reference to quantitative value on SPECT/CT

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Min Seok; Kang, Yeon Koo; Ha, Seung Gyun [Dept. of Nuclear Medicine, Seoul National University Hospital, Seoul (Korea, Republic of); and others

    2017-06-15

    Until now, there was no single standardized regional segmentation method of planar lung perfusion scan. We compared planar scan based two segmentation methods, which are frequently used in the Society of Nuclear Medicine, with reference to the lung perfusion single photon emission computed tomography (SPECT)/computed tomography (CT) derived values in lung cancer patients. Fifty-five lung cancer patients (male:female, 37:18; age, 67.8 ± 10.7 years) were evaluated. The patients underwent planar scan and SPECT/CT after injection of technetium-99 m macroaggregated albumin (Tc-99 m-MAA). The % uptake and predicted postoperative percentage forced expiratory volume in 1 s (ppoFEV1%) derived from both posterior oblique (PO) and anterior posterior (AP) methods were compared with SPECT/CT derived parameters. Concordance analysis, paired comparison, reproducibility analysis and spearman correlation analysis were conducted. The % uptake derived from PO method showed higher concordance with SPECT/CT derived % uptake in every lobe compared to AP method. Both methods showed significantly different lobar distribution of % uptake compared to SPECT/CT. For the target region, ppoFEV1% measured from PO method showed higher concordance with SPECT/CT, but lower reproducibility compared to AP method. Preliminary data revealed that every method significantly correlated with actual postoperative FEV1%, with SPECT/CT showing the best correlation. The PO method derived values showed better concordance with SPECT/CT compared to the AP method. Both PO and AP methods showed significantly different lobar distribution compared to SPECT/CT. In clinical practice such difference according to different methods and lobes should be considered for more accurate postoperative lung function prediction.

  1. Medical Radioisotope Scanning, Vol. II. Proceedings of the Symposium on Medical Radioisotope Scanning

    International Nuclear Information System (INIS)

    1964-01-01

    Medical applications of radioisotopes continue to grow in number and importance and medical centres in almost all countries of the world are now using radioactive materials both in the diagnosis and treatment of disease. An increasing proportion of these applications involves studies of the spatial distribution of radioactive material within the human body, for which purpose highly specialized scanning methods have been elaborated. By these methods it is possible to study the position, size and functional state of different organs, to detect tumours, cysts and other abnormalities and to obtain much useful information about regions of the body that are otherwise inaccessible, except by surgery. Progress in scanning methods in recent years has been very rapid and there have been many important advances in instrumentation and technique. The development of new forms of the gamma camera and of colour-scanning techniques are but two examples of recent improvements. The production of new radioisotopes and new labelled compounds has further extended the scope of these methods. To survey these new advances the International Atomic Energy Agency held a Symposium on Medical Radioisotope Scanning in Athens from 20-24 April 1964. The scientific programme of the meeting covered all aspects of scanning methods including theoretical principles, instrumentation, techniques and clinical applications. The World Health Organization assisted in the selection of papers by providing a consultant to the selection committee. The meeting followed the earlier IAEA/WHO Seminar on Medical Radioisotope Scanning in Vienna in 1959, which was attended by 36 participants and at which 14 papers were presented. Some idea of the growth of interest in the subject may be gained from the fact that the Symposium was attended by 160 participants from 26 countries and 4 international organizations, and that 58 papers were presented. The published proceedings, comprising two volumes, contain all the

  2. A new extraction method of loess shoulder-line based on Marr-Hildreth operator and terrain mask.

    Directory of Open Access Journals (Sweden)

    Sheng Jiang

    Full Text Available Loess shoulder-lines are significant structural lines which divide the complicated loess landform into loess interfluves and gully-slope lands. Existing extraction algorithms for shoulder-lines mainly are based on local maximum of terrain features. These algorithms are sensitive to noise for complicated loess surface and the extraction parameters are difficult to be determined, making the extraction results usually inaccurate. This paper presents a new extraction approach for loess shoulder-lines, in which Marr-Hildreth edge operator is employed to construct initial shoulder-lines. Then the terrain mask for confining the boundary of shoulder-lines is proposed based on slope degree classification and morphology methods, avoiding interference from non-valley area and modify the initial loess shoulder-lines. A case study is conducted in Yijun located in the northern Shanxi Loess Plateau of China. The Digital Elevation Models with a grid size of 5 m is applied as original data. To obtain optimal scale parameters, the Euclidean Distance Offset Percentages between shoulder-lines is calculated by the Marr-Hildreth operator and the manual delineations. The experimental results show that the new method could achieve the highest extraction accuracy when σ = 5 in Gaussian smoothing. According to the accuracy assessment, the average extraction accuracy is about 88.5%, which indicates that the proposed method is applicable for the extraction of loess shoulder-lines in the loess hilly and gully areas.

  3. A novel method to alleviate flash-line defects in coining process

    KAUST Repository

    Xu, Jiangping; Khan, Kamran; El Sayed, Tamer S.

    2013-01-01

    with the reported experimental results. We also propose a novel method of redesigning the rim geometry of the workpiece to alleviate the flash-line defects which also shows good agreement with experiments. © 2012 Elsevier Inc. All rights reserved.

  4. A Potential Use of 3-D Scanning to Evaluate the Chemical Composition of Pork Meat.

    Science.gov (United States)

    Adamczak, Lech; Chmiel, Marta; Florowski, Tomasz; Pietrzak, Dorota; Witkowski, Marcin; Barczak, Tomasz

    2015-07-01

    The aim of this study was to determine the possibility of 3-D scanning method in chemical composition evaluation of pork meat. The sampling material comprised neck muscles (1000 g each) obtained from 20 pork carcasses. The volumetric estimation process of the elements was conducted on the basis of point cloud collected using 3-D scanner. Knowing the weight of neck muscles, their density was calculated which was subsequently correlated with the content of basic chemical components of the pork meat (water, protein and fat content, determined by standard methods). The significant correlations (P ≤ 0.05) between meat density and water (r = 0.5213), protein (r = 0.5887), and fat (r = -0.6601) content were obtained. Based on the obtained results it seems likely to employ the 3-D scanning method to compute the meat chemical composition. The use of the 3-D scanning method in industrial practice will allow to evaluate the chemical composition of meat in online mode on a dressing and fabrication line and in a rapid, noninvasive manner. The control of the raw material using the 3-D scanning will allow to make visual assessment more objective and will enable optimal standardization of meat batches prior to processing stage. It will ensure not only the repeatability of product quality characteristics, but also optimal use of raw material-lean and fat meat. The knowledge of chemical composition of meat is essential due to legal requirements associated with mandatory nutrition facts labels on food products. © 2015 Institute of Food Technologists®

  5. Method of selecting optimum cross arm lengths for a 750 kV transmission line

    Energy Technology Data Exchange (ETDEWEB)

    Aleksandrov, G N; Olorokov, V P

    1965-01-01

    A method is presented, based on both technical and economic considerations, for selecting cross arm lengths for intermediate poles of power transmission lines according to the effects of internal overvoltage, methods from probability theory and mathematical statistics employed. The problem of optimum pole size is considered in terms of the effect of internal overvoltages for a prescribed maximum level of 2.1 PU currently used in the USSR for the design of 750 kV lines.

  6. A method for the reconstruction of four-dimensional synchronized CT scans acquired during free breathing

    International Nuclear Information System (INIS)

    Low, Daniel A.; Nystrom, Michelle; Kalinin, Eugene; Parikh, Parag; Dempsey, James F.; Bradley, Jeffrey D.; Mutic, Sasa; Wahab, Sasha H.; Islam, Tareque; Christensen, Gary; Politte, David G.; Whiting, Bruce R.

    2003-01-01

    Breathing motion is a significant source of error in radiotherapy treatment planning for the thorax and upper abdomen. Accounting for breathing motion has a profound effect on the size of conformal radiation portals employed in these sites. Breathing motion also causes artifacts and distortions in treatment planning computed tomography (CT) scans acquired during free breathing and also causes a breakdown of the assumption of the superposition of radiation portals in intensity-modulated radiation therapy, possibly leading to significant dose delivery errors. Proposed voluntary and involuntary breath-hold techniques have the potential for reducing or eliminating the effects of breathing motion, however, they are limited in practice, by the fact that many lung cancer patients cannot tolerate holding their breath. We present an alternative solution to accounting for breathing motion in radiotherapy treatment planning, where multislice CT scans are collected simultaneously with digital spirometry over many free breathing cycles to create a four-dimensional (4-D) image set, where tidal lung volume is the additional dimension. An analysis of this 4-D data leads to methods for digital-spirometry, based elimination or accounting of breathing motion artifacts in radiotherapy treatment planning for free breathing patients. The 4-D image set is generated by sorting free-breathing multislice CT scans according to user-defined tidal-volume bins. A multislice CT scanner is operated in the cine mode, acquiring 15 scans per couch position, while the patient undergoes simultaneous digital-spirometry measurements. The spirometry is used to retrospectively sort the CT scans by their correlated tidal lung volume within the patient's normal breathing cycle. This method has been prototyped using data from three lung cancer patients. The actual tidal lung volumes agreed with the specified bin volumes within standard deviations ranging between 22 and 33 cm 3 . An analysis of sagittal and

  7. Applications of the Warren-Averbach method of X-ray diffraction line profile analysis

    International Nuclear Information System (INIS)

    Ichikawa, Rodrigo Uchida

    2013-01-01

    The objective of this work was to develop and implement a methodology of X-ray Line Profile Analysis (XLPA) for the study and determination of the mean crystallite sizes and microstrains in materials. A computer program was developed to speed up the treatment of diffraction peaks and perform the deconvolution utilizing the Stokes method to correct the instrumental contribution in the X-ray diffraction measurements. The XLPA methods used were the Scherrer, Williamson-Hall and Single-Line methods, which can be called real space methods, and the Fourier space method of Warren-Averbach. Furthermore, considering a mathematical modelling it was possible to calculate the crystallite size distribution, considering the log-normal distribution and spherical crystallites. It was possible to demonstrate the proposed theory can provide reliable results evaluating a dispersion parameter. The methodologies described above were applied in two distinct materials: in the alloy Zircaloy-4 and in ZnO. (author)

  8. Application of 2 mm thin-slice scanning with bone algorithm on conventional CT in diagnosis of the pulmonary diseases

    International Nuclear Information System (INIS)

    Zhang Xianheng; Li Xiuhua; Wang Fenghua

    2004-01-01

    Objective: To evaluate the value of 2 mm thin-slice conventional CT scan with bone algorithm in diagnosis and differential diagnosis in the pulmonary diseases. Methods: In total 135 cases of the pulmonary diseases were routinely scanned by conventional scan, 10 mm per slice, with standard algorithm, then the 2 mm thin-slice scan with bone algorithm was performed at the interested region of the lungs. Result: According to the comparative study of the CT signs between 10 mm slice scan with standard algorithm and 2 mm thin-slice scan with bone algorithm, the latter was better on displaying the pulmonary axial interstium, intralobular septum, subpleura lines, honeycombing, 2-5 mm nodulars and anomalies of bronchial wall. Conclusion: According to the study of 135 cases, 2 mm thin-slice scan with bone algorithm is superior to 10 mm slice scan with standard algorithm in demonstrating the pulmonary lesions. It has a similar value with high-resolution spiral CT in the diagnosis of the pulmonary solitary or diffuse nodules, pulmonary diffuse interstitial lesions and the lesions of the airway. It is practical and advisable in the community hospital

  9. STATCONT: A statistical continuum level determination method for line-rich sources

    Science.gov (United States)

    Sánchez-Monge, Á.; Schilke, P.; Ginsburg, A.; Cesaroni, R.; Schmiedeke, A.

    2018-01-01

    STATCONT is a python-based tool designed to determine the continuum emission level in spectral data, in particular for sources with a line-rich spectrum. The tool inspects the intensity distribution of a given spectrum and automatically determines the continuum level by using different statistical approaches. The different methods included in STATCONT are tested against synthetic data. We conclude that the sigma-clipping algorithm provides the most accurate continuum level determination, together with information on the uncertainty in its determination. This uncertainty can be used to correct the final continuum emission level, resulting in the here called `corrected sigma-clipping method' or c-SCM. The c-SCM has been tested against more than 750 different synthetic spectra reproducing typical conditions found towards astronomical sources. The continuum level is determined with a discrepancy of less than 1% in 50% of the cases, and less than 5% in 90% of the cases, provided at least 10% of the channels are line free. The main products of STATCONT are the continuum emission level, together with a conservative value of its uncertainty, and datacubes containing only spectral line emission, i.e., continuum-subtracted datacubes. STATCONT also includes the option to estimate the spectral index, when different files covering different frequency ranges are provided.

  10. Systems, methods and apparatus for developing and maintaining evolving systems with software product lines

    Science.gov (United States)

    Hinchey, Michael G. (Inventor); Rash, James L. (Inventor); Pena, Joaquin (Inventor)

    2011-01-01

    Systems, methods and apparatus are provided through which an evolutionary system is managed and viewed as a software product line. In some embodiments, the core architecture is a relatively unchanging part of the system, and each version of the system is viewed as a product from the product line. Each software product is generated from the core architecture with some agent-based additions. The result may be a multi-agent system software product line.

  11. Accuracy and reliability of a novel method for fusion of digital dental casts and cone beam computed tomography scans

    NARCIS (Netherlands)

    Rangel, F.A.; Maal, T.J.J.; Bronkhorst, E.M.; Breuning, K.H.; Schols, J.G.J.H.; Berge, S.J.; Kuijpers-Jagtman, A.M.

    2013-01-01

    Several methods have been proposed to integrate digital models into Cone Beam Computed Tomography scans. Since all these methods have some drawbacks such as radiation exposure, soft tissue deformation and time-consuming digital handling processes, we propose a new method to integrate digital dental

  12. A three-term conjugate gradient method under the strong-Wolfe line search

    Science.gov (United States)

    Khadijah, Wan; Rivaie, Mohd; Mamat, Mustafa

    2017-08-01

    Recently, numerous studies have been concerned in conjugate gradient methods for solving large-scale unconstrained optimization method. In this paper, a three-term conjugate gradient method is proposed for unconstrained optimization which always satisfies sufficient descent direction and namely as Three-Term Rivaie-Mustafa-Ismail-Leong (TTRMIL). Under standard conditions, TTRMIL method is proved to be globally convergent under strong-Wolfe line search. Finally, numerical results are provided for the purpose of comparison.

  13. Nuclear emulsion scanning in opera: methods and results

    CERN Document Server

    Bozza, C.

    2008-01-01

    The design of the OPERA experiment was also motivated and justified by the revival of nuclear emulsion handling and scanning in a modem, automatic fashion, as it took previously place, although at a smaller scale, for the CHORUS experiment. Nuclear emulsions are still the only detector to allow a very detailed topological study of an interaction/decay vertex at the sub-micrometer level. They are most suitable in experiments where topology is a non-ambiguous signature of a certain class of events. This is for instance the case of neutrino oscillation detection and measurement by the study of a tau-appearance signal. The design and performance of the two different scanning systems used in OPERA (ESS and S-UTS) are discussed. Their unique features in terms of speed, precision, background suppression, particle identification, and kinematical reconstruction are shown in close connection with the technical details that make them possible. Unequalled precision, almost vanishing background, and a wealth of informati...

  14. Quantitative measurement of ventricular dilatation on CT scan

    International Nuclear Information System (INIS)

    Okita, Naoshi; Mochizuki, Hiroshi; Takase, Sadao

    1985-01-01

    Cerebral atrophy might be judged from the ventricular dilatation with some indices, calculated from various ventricular width. But, there is no general agreement on what index is the most reliable. In this paper, we attempted to establish the index, easy to measure and most reliable. Our method is as follow. 1) We carried out the CT scan (EMI 1010) on 89 neurologically intact patients. Scans were parallel to orbito-meatal line (OML), and were 10 mm in thickness. On CT scan films, various width, area of anterior horns and area of bodies of lateral ventricles were measured. Measurement about the anterior horns of lateral ventricles were carried out on image the most clearly showed the foramen of Monro. And measurements about the bodies of lateral ventricles were on image, 20 mm above the image of anterior horn. Correlations of various width and areas were calculated. Then we proposed new indices with high correlations (over 0.9) with ventricular area; Anterior horn CVI (Cerebro-Ventricular Index) and Body CVI. 2) Patients with myotonic dystrophy show cerebral atrophy. We carried out the CT scan (GECT/T 8800) on 17 myotonic dystrophy patients and 30 controls. Between the two groups, age and sex were almost matched. In the two groups, we calculated our new indices as well as various indices which have been reported; Huckman number, Bifrontal CVI, Bicaudal CVI, Anterior horn index, Hirajima's index, and Cella-media index. The data were analyzed statistically. The ventricular dilatation of myotonic dystrophy patients is more definite with Anterior horn CVI, Bicaudal CVI and Body CVI (p<0.01). These indices have higher correlations with the ventricular area (about 0.9). (J.P.N.)

  15. Technical Note: Reliability of Suchey-Brooks and Buckberry-Chamberlain methods on 3D visualizations from CT and laser scans

    DEFF Research Database (Denmark)

    Villa, Chiara; Buckberry, Jo; Cattaneo, Cristina

    2013-01-01

    Previous studies have reported that the ageing method of Suchey-Brooks (pubic bone) and some of the features applied by Lovejoy et al. and Buckberry-Chamberlain (auricular surface) can be confidently performed on 3D visualizations from CT-scans. In this study, seven observers applied the Suchey......-Brooks and the Buckberry-Chamberlain methods on 3D visualizations based on CT-scans and, for the first time, on 3D visualizations from laser scans. We examined how the bone features can be evaluated on 3D visualizations and whether the different modalities (direct observations of bones, 3D visualization from CT......-observer agreement was obtained in the evaluation of the pubic bone in all modalities. In 3D visualizations of the auricular surfaces, transverse organization and apical changes could be evaluated, although with high inter-observer variability; micro-, macroporosity and surface texture were very difficult to score...

  16. A 4D dose computation method to investigate motion interplay effects in scanned ion beam prostate therapy

    International Nuclear Information System (INIS)

    Ammazzalorso, F; Jelen, U

    2014-01-01

    In particle therapy, the interplay between beam scanning and target motion during treatment delivery may result in dose deterioration. Interplay effects have been studied for targets exhibiting periodic respiratory motion, however, they are not well understood for irregular motion patterns, such as those exhibited by the prostate. In this note, we propose and validate a 4D dose computation method, which enables estimation of effective dose delivered to the prostate by scanning ion beams in presence of intrafraction motion, as well as facilitates investigation of various motion interplay countermeasures. (note)

  17. Detection of Ground Clutter from Weather Radar Using a Dual-Polarization and Dual-Scan Method

    Directory of Open Access Journals (Sweden)

    Mohammad-Hossein Golbon-Haghighi

    2016-06-01

    Full Text Available A novel dual-polarization and dual-scan (DPDS classification algorithm is developed for clutter detection in weather radar observations. Two consecutive scans of dual-polarization radar echoes are jointly processed to estimate auto- and cross-correlation functions. Discriminants are then defined and estimated in order to separate clutter from weather based on their physical and statistical properties. An optimal Bayesian classifier is used to make a decision on clutter presence from the estimated discriminant functions. The DPDS algorithm is applied to the data collected with the KOUN polarimetric radar and compared with the existing detection methods. It is shown that the DPDS algorithm yields a higher probability of detection and lower false alarm rate in clutter detection.

  18. Efficacy of brain scanning in epilepsy of late onset

    International Nuclear Information System (INIS)

    Jain, A.N.; Ramanathan, P.; Ganatra, R.D.

    1978-01-01

    Brain scans of 513 patients with epilepsy of late onset were analysed with reference to the patient's age and sex and to the nature of convulsion. Only 17 of them showed an abnormal concentration of radionuclide indicating a space-occupying lesion in the brain. The findings of those patients who had positive brain scans were correlated with EEG findings. It was found that the incidence of epilepsy of late onset is almost 3 times higher in males than in females and that the age cannot be considered as a criterion for screening the patients for brain scan investigation as far as epilepsy of late onset is concerned. In the authors' opinion, the incidence of 3.3% is not too low. A positive brain scan finding calls for further investigation and helps in deciding the management and further line of treatment of the patients. Moreover, a normal scan rules out the presence of a space-occupying lesion and helps as a screening procedure. (orig.) 891 MG [de

  19. A power comparison of generalized additive models and the spatial scan statistic in a case-control setting

    Directory of Open Access Journals (Sweden)

    Ozonoff Al

    2010-07-01

    Full Text Available Abstract Background A common, important problem in spatial epidemiology is measuring and identifying variation in disease risk across a study region. In application of statistical methods, the problem has two parts. First, spatial variation in risk must be detected across the study region and, second, areas of increased or decreased risk must be correctly identified. The location of such areas may give clues to environmental sources of exposure and disease etiology. One statistical method applicable in spatial epidemiologic settings is a generalized additive model (GAM which can be applied with a bivariate LOESS smoother to account for geographic location as a possible predictor of disease status. A natural hypothesis when applying this method is whether residential location of subjects is associated with the outcome, i.e. is the smoothing term necessary? Permutation tests are a reasonable hypothesis testing method and provide adequate power under a simple alternative hypothesis. These tests have yet to be compared to other spatial statistics. Results This research uses simulated point data generated under three alternative hypotheses to evaluate the properties of the permutation methods and compare them to the popular spatial scan statistic in a case-control setting. Case 1 was a single circular cluster centered in a circular study region. The spatial scan statistic had the highest power though the GAM method estimates did not fall far behind. Case 2 was a single point source located at the center of a circular cluster and Case 3 was a line source at the center of the horizontal axis of a square study region. Each had linearly decreasing logodds with distance from the point. The GAM methods outperformed the scan statistic in Cases 2 and 3. Comparing sensitivity, measured as the proportion of the exposure source correctly identified as high or low risk, the GAM methods outperformed the scan statistic in all three Cases. Conclusions The GAM

  20. A power comparison of generalized additive models and the spatial scan statistic in a case-control setting.

    Science.gov (United States)

    Young, Robin L; Weinberg, Janice; Vieira, Verónica; Ozonoff, Al; Webster, Thomas F

    2010-07-19

    A common, important problem in spatial epidemiology is measuring and identifying variation in disease risk across a study region. In application of statistical methods, the problem has two parts. First, spatial variation in risk must be detected across the study region and, second, areas of increased or decreased risk must be correctly identified. The location of such areas may give clues to environmental sources of exposure and disease etiology. One statistical method applicable in spatial epidemiologic settings is a generalized additive model (GAM) which can be applied with a bivariate LOESS smoother to account for geographic location as a possible predictor of disease status. A natural hypothesis when applying this method is whether residential location of subjects is associated with the outcome, i.e. is the smoothing term necessary? Permutation tests are a reasonable hypothesis testing method and provide adequate power under a simple alternative hypothesis. These tests have yet to be compared to other spatial statistics. This research uses simulated point data generated under three alternative hypotheses to evaluate the properties of the permutation methods and compare them to the popular spatial scan statistic in a case-control setting. Case 1 was a single circular cluster centered in a circular study region. The spatial scan statistic had the highest power though the GAM method estimates did not fall far behind. Case 2 was a single point source located at the center of a circular cluster and Case 3 was a line source at the center of the horizontal axis of a square study region. Each had linearly decreasing logodds with distance from the point. The GAM methods outperformed the scan statistic in Cases 2 and 3. Comparing sensitivity, measured as the proportion of the exposure source correctly identified as high or low risk, the GAM methods outperformed the scan statistic in all three Cases. The GAM permutation testing methods provide a regression

  1. Efficient scanning of thick lead vessels

    International Nuclear Information System (INIS)

    Raghunath, V.M.; Bhatnagar, P.K.; Meenakshisundaram, V.

    1978-01-01

    Lead containers fabricated for transport of radioactive materials need to be evaluated for their shielding integrity. The common method of locating a strong gamma source inside the vessel and scanning the external surface by conventional detectors suffers from high radiation dose and low sensitivity. A new method has been proposed and tried. It is found to be more efficient. In the new method, 60 Co source is loaded at the centre of the lead vessel and the outer surface is scanned by NaI(Tl) detector. The transmitted virgin flux is scanned under the 60 Co channel in a single channel analyser. An area of 25 cm 2 is scanned for 10 to 20 seconds each time. The source strength required is considerably reduced by a factor of 10 or more as compared to the common method and external dose rates do not exceed 50 mR/h (130 nC. kg -1 h -1 ) on the vessel surface. The advantages are improved sensitivity, no interference from scattered radiation and assurance in repeatability of measurements. (M.G.B.)

  2. Anatomicopathological basis and clinical diagnostic significance of Kerley's A line

    International Nuclear Information System (INIS)

    Wang Zhenguang; Ma Daqing; Chen Budong; He Wen; Wang Xinlian; Guan Yansheng; Zhang Yansong

    2007-01-01

    Objective: To study anatomic and pathological basis of Kerley's A line, and to evaluate the role of Kerley's A line in differential diagnosis of diffuse lung diseases (DLD). Methods: HRCT scans, gross specimen section(50-100 μm thickness) and histologic section(5-8 μm thickness) were performed and analyzed comparatively on 28 dry lung specimens from the patients with coal worker's pneumoconiosis and occupational exposure history to coal dusts. At the same time, HRCT images of 176 patients with DLD were retrospectively reviewed for the detection of Kerley's A line. Results: Kerley's A lines were seen in 17 of 28 lung specimens on coronal HRCT images. The anatomic basis of Kerley's A line represented the continuity of two or more thickened interlobular septa (14 eases) and incomplete fibrotic septa between segments or subsegments (3 cases). Histologically, the linear opacities represented the deposits of coal dust, fibrosis, edema, inflammation, thickened vessel wall within interlobular septa. Kerley's A lines were present in 11 of 176 patients (6.3%) including interstitial pulmonary edema (5 cases), viral pneumonia (2 cases), lymphangitic carcinomatosis (2 cases), sarcoidosis (1 cases) and pulmonary alveolar proteinosis (1 cases). Conclusion: Kerley's A line has a limited usefulness in the differential diagnosis of DLD because it is seen infrequently and not discermable. (authors)

  3. Experimental design and methodology for a new Moessbauer scan experiment: absorption line tracking

    International Nuclear Information System (INIS)

    Veiga, A.; Pasquevich, G. A.; Zelis, P. Mendoza; Sanchez, F. H.; Fernandez van Raap, M. B.; Martinez, N.

    2009-01-01

    A new experimental setup and methodology that allows the automatic tracking of a Moessbauer absorption line as its energy position varies during the experiment is introduced. As a test the sixth spectral line of FeSn 2 was tracked while temperature was varied between room temperature and a value slightly above its Neel temperature.

  4. Experimental Quasi-Microwave Whole-Body Averaged SAR Estimation Method Using Cylindrical-External Field Scanning

    Science.gov (United States)

    Kawamura, Yoshifumi; Hikage, Takashi; Nojima, Toshio

    The aim of this study is to develop a new whole-body averaged specific absorption rate (SAR) estimation method based on the external-cylindrical field scanning technique. This technique is adopted with the goal of simplifying the dosimetry estimation of human phantoms that have different postures or sizes. An experimental scaled model system is constructed. In order to examine the validity of the proposed method for realistic human models, we discuss the pros and cons of measurements and numerical analyses based on the finite-difference time-domain (FDTD) method. We consider the anatomical European human phantoms and plane-wave in the 2GHz mobile phone frequency band. The measured whole-body averaged SAR results obtained by the proposed method are compared with the results of the FDTD analyses.

  5. The use of real time ultrasound scanning as a teaching method of anatomy in an undergraduate sonography and medical imaging degree in an Australian university

    International Nuclear Information System (INIS)

    Bowman, A.; Lawson, C.; McKillup, S.

    2016-01-01

    Background: Real-time ultrasound scanning is increasing in popularity as a teaching tool for human anatomy because it is non-invasive, offers real-time 3-D anatomy and is cheaper than dissections. Aim: To assess real-time ultrasound scanning as a teaching method of human anatomy, and to determine what teaching methods medical imaging and sonography students consider effective for understanding human anatomy. Method: Surveys were distributed to two consecutive cohorts of first year medical imaging and medical sonography students at CQUniversity. Participation was voluntary. Comparisons among teaching methods were made using repeated measures ANOVA. Results: Real-time ultrasound scanning was the most preferred method of delivery for anatomy classes overall especially compared to computer programs, videos, 3-D radiological images and dissection. Specifically, students indicated that ultrasound scanning was the preferred method to encourage learning from experience (F 7,231  = 2.942, p = 0.006), to develop team skills (F 7,231  = 4.550, p < 0.006), to follow complex instructions (F 7,231  = 4.656 p < 0.001) and to appreciate anatomical variation (F 7,231  = 2.067, p = 0.048). Dissection was the least favoured teaching method. Conclusion: Real-time ultrasound scanning is a useful tool for teaching anatomy, and animal dissections are a poor substitute for the use of human cadavers. - Highlights: • Real-time ultrasound scanning is a valid teaching tool for human anatomy. • Real-time ultrasound is preferred by students compared to other teaching methods. • Dissection is the least favoured method to learn anatomy. • Ultrasound encourages learning from experience and develops team skills.

  6. Gallium-67 citrate scan in extrapulmonary tuberculosis

    International Nuclear Information System (INIS)

    Lin Wanyu

    1999-01-01

    Aim: Whole-body gallium scan was performed to evaluate the usefulness of gallium scan for detecting extrapulmonary tuberculosis (TB) lesions. Methods: Thirty-seven patients with extrapulmonary TB were included in this study. Four patients were found to have two lesions. Totally, 41 lesions were identified, including 19 TB arthritis, 8 spinal TB, 5 TB meningitis, 3 TB lymphadenopathy, 2 TB pericarditis, 1 TB peritonitis, 1 intestinal TB, 1 skin TB and 1 renal TB. Results: Of the 41 extrapulmonary TB lesions, gallium scan detected 32 lesions with a sensitivity of 78%. All the patients with TB meningitis showed negative gallium scan. When the five cases of TB meningitis were excluded, the detection sensitivity of gallium scan increased to 88.9% (32/36). Conclusion: Our data revealed that gallium scan is a convenient and useful method for evaluating extrapulmonary TB lesions other than TB-meningitis. We suggest that gallium scan be included in the clinical routine for patients with suspected extrapulmonary TB. (orig.) [de

  7. The activity-integrated method for quality assessment of reduning injection by on-line DPPH-CE-DAD.

    Directory of Open Access Journals (Sweden)

    Yan-xu Chang

    Full Text Available A sensitive on-line DPPH-CE-DAD method was developed and validated for both screening and determining the concentration of seven antioxidants of Reduning injection. The pH and concentrations of buffer solution, SDS, β-CD and organic modifier were studied for the detection of DPPH and seven antioxidants. By on-line mixing DPPH and sample solution, a DPPH-CE method for testing the antioxidant activity of the complex matrix was successfully established and used to screen the antioxidant components of Reduning injection. Then, antioxidant components including caffeic acid, isochlorogenic acid A, isochlorogenic acid B, isochlorogenic acid C, chlorogenic acid, neochlorogenic acid and cryptochlorogenic acid were quantified by the newly established CE-DAD method. Finally, the total antioxidant activity and the multiple active components were selected as markers to evaluate the quality of Reduning injection. The results demonstrated that the on-line DPPH-CE-DAD method was reagent-saving, rapid and feasible for on-line simultaneous determination of total pharmacological activity and contents of multi-components samples. It was also a powerful method for evaluating the quality control and mechanism of action of TCM injection.

  8. Improving the scanning speed of atomic force microscopy at the scanning range of several tens of micrometers

    International Nuclear Information System (INIS)

    Wang, Yanyan; Hu, Xiaodong; Xu, Linyan; Hu, Xiaotang

    2013-01-01

    The atomic force microscope (AFM) is a powerful instrument which can measure the surface of samples at the nanoscale. The resonance of the scanner in xy directions, and the feedback control in the z direction are two major sources of image distortion at high scan speed. In order to improve the scanning speed of the AFM, a low-cost and easy method, which includes sinusoidal scans in the fast scan direction, and an intelligent fuzzy controller in the z direction, is proposed in this paper. The use of a single-frequency driving signal in the fast scan direction allows the scanner to move at a higher speed without exciting its mechanical resonance. The intelligent fuzzy controller automatically selects appropriate PI parameters through the analysis of the tracking errors, thus improving the dynamic tracking performance of the z scanner. The development and functioning of the sinusoidal fast scans and the intelligent fuzzy controller are demonstrated, as well as how this approach significantly achieves faster scans and a higher resolution AFM imaging. -- Highlights: ► The sinusoidal scan and the intelligent controller are used to improve AFM's rate. ► A new method is raised to overcome the nonlinearity caused by the sinusoidal scan. ► A new controller is proposed to improve the performance of the vertical direction.

  9. A method for smoothing segmented lung boundary in chest CT images

    Science.gov (United States)

    Yim, Yeny; Hong, Helen

    2007-03-01

    To segment low density lung regions in chest CT images, most of methods use the difference in gray-level value of pixels. However, radiodense pulmonary vessels and pleural nodules that contact with the surrounding anatomy are often excluded from the segmentation result. To smooth lung boundary segmented by gray-level processing in chest CT images, we propose a new method using scan line search. Our method consists of three main steps. First, lung boundary is extracted by our automatic segmentation method. Second, segmented lung contour is smoothed in each axial CT slice. We propose a scan line search to track the points on lung contour and find rapidly changing curvature efficiently. Finally, to provide consistent appearance between lung contours in adjacent axial slices, 2D closing in coronal plane is applied within pre-defined subvolume. Our method has been applied for performance evaluation with the aspects of visual inspection, accuracy and processing time. The results of our method show that the smoothness of lung contour was considerably increased by compensating for pulmonary vessels and pleural nodules.

  10. Optimum field size and choice of isodose lines in electron beam treatment

    International Nuclear Information System (INIS)

    Das, Indra J.; Cheng, Chee W.; Healey, Glenn A.

    1995-01-01

    Purpose: A method is provided for the optimum field size and the choice of isodose line for the dose prescription in electron beam therapy. Methods and Materials: Electron beam dose uniformity was defined in terms of target coverage factor (TCF) which is an index of dose coverage of a given treatment volume. The TCF was studied with respect to the field size, the beam energy, and the isodose level for prescription from the measured data for various accelerators. The effect of the TCF on air gap between electron applicator/cone and the surface was investigated. Electron beams from scattering foil and scanned beam units were analyzed for the target coverage. Results: A mathematical method is provided to optimize a field size for target coverage by a given isodose line in terms of TCF which is strongly dependent on the type of accelerator and the design of the collimator. For a given type of collimating system, the TCF does not depend on the type of electron beam production (scattering foil or swept scanned beam). Selection of isodose line for dose prescription is very critical for the value of the TCF and the dose coverage. The TCF is inversely proportional to the isodose value selected for the treatment and nearly linear with field size and beam energy. Air gap between applicator and the surface reduces the dose uniformity. Tertiary collimator moderately improves the lateral coverage for high energy beams. Conclusions: To adequately cover the target volume in electron beam treatment, lateral and depth coverage should be considered. The coverage at depth is strongly dependent on the choice of isodose line or beam normalization. If the dose prescription is at d max (i.e., the 100% isodose line is selected), the choice of beam energy is not critical for depth coverage since d max is nearly independent of energy for smaller fields. The 100% isodose line should not be chosen for treatment because of the significant constriction of this isodose line and inadequate

  11. Is TrichoScan a new diagnostic method for diffuse hair loss?

    Science.gov (United States)

    Uce Özkol, Hatice; Çalka, Ömer; Akdeniz, Necmettin

    2014-01-01

    In this study, we investigated the sensitivity of TrichoScan, a computer-based phototrichogram, in the evaluation of diffuse hair loss in women and the relationship between iron deficiency anemia and hair loss. We recruited 100 female patients with diffuse hair loss. In all of the patients, a 1-cm2 area of hair located in a temporoparietal region was shortened to 0.5 mm. The shaved scalp regions were stained with black dye. The subject then waited for 12 min. Subsequently, pictures of these regions were taken with a videodermoscope and analyzed with the TrichoScan software program. The ferritin levels were markedly low in these groups. The ratio of anagen was highest in the telogen effluvium (TE) mild group, followed by the TE severe group, and was lowest in the androgenetic alopecia (AGA) group (P hair analysis results with the TrichoScan software were satisfactory and the results were consistent with the clinical diagnosis. In particular, the use of TrichoScan was very successful in the differentiation between AGA and TE.

  12. Scanning the cell surface proteome of cancer cells and identification of metastasis-associated proteins using a subtractive immunization strategy

    DEFF Research Database (Denmark)

    Rasmussen, Nicolaj; Ditzel, Henrik J

    2009-01-01

    and technologically challenging, and no ideal method is currently available. Here, we describe a strategy that allows scanning of the entire cell surface and identification of molecules that exhibit altered expression between two cell types. Concurrently, this method gives rise to valuable reagents for further...... characterization of the identified proteins. The strategy is based on subtractive immunization of mice, and we used the two isogenic cell lines, NM-2C5 and M-4A4, derived from the MDA-MB-435 cancer cell line, as a model system. Although the two cell lines are equally tumorigenic, only M-4A4 has metastatic...... capabilities. Our results yielded a large panel of monoclonal antibodies (mAbs) that recognized cell surface markers preferentially or exclusively expressed on metastatic vs nonmetastatic cancer cells. Four mAbs and their corresponding antigens were further characterized. Importantly, analysis on an extended...

  13. Statistical Methods in Assembly Quality Management of Multi-Element Products on Automatic Rotor Lines

    Science.gov (United States)

    Pries, V. V.; Proskuriakov, N. E.

    2018-04-01

    To control the assembly quality of multi-element mass-produced products on automatic rotor lines, control methods with operational feedback are required. However, due to possible failures in the operation of the devices and systems of automatic rotor line, there is always a real probability of getting defective (incomplete) products into the output process stream. Therefore, a continuous sampling control of the products completeness, based on the use of statistical methods, remains an important element in managing the quality of assembly of multi-element mass products on automatic rotor lines. The feature of continuous sampling control of the multi-element products completeness in the assembly process is its breaking sort, which excludes the possibility of returning component parts after sampling control to the process stream and leads to a decrease in the actual productivity of the assembly equipment. Therefore, the use of statistical procedures for continuous sampling control of the multi-element products completeness when assembled on automatic rotor lines requires the use of such sampling plans that ensure a minimum size of control samples. Comparison of the values of the limit of the average output defect level for the continuous sampling plan (CSP) and for the automated continuous sampling plan (ACSP) shows the possibility of providing lower limit values for the average output defects level using the ACSP-1. Also, the average sample size when using the ACSP-1 plan is less than when using the CSP-1 plan. Thus, the application of statistical methods in the assembly quality management of multi-element products on automatic rotor lines, involving the use of proposed plans and methods for continuous selective control, will allow to automating sampling control procedures and the required level of quality of assembled products while minimizing sample size.

  14. Development of a fast multi-line x-ray CT detector for NDT

    International Nuclear Information System (INIS)

    Hofmann, T.; Nachtrab, F.; Schlechter, T.; Mühlbauer, J.; Schröpfer, S.; Firsching, M.; Uhlmann, N.; Neubauer, H.; Ernst, J.; Schweiger, T.; Oberst, M.; Meyer, A.

    2015-01-01

    Typical X-ray detectors for non-destructive testing (NDT) are line detectors or area detectors, like e.g. flat panel detectors. Multi-line detectors are currently only available in medical Computed Tomography (CT) scanners. Compared to flat panel detectors, line and multi-line detectors can achieve much higher frame rates. This allows time-resolved 3D CT scans of an object under investigation. Also, an improved image quality can be achieved due to reduced scattered radiation from object and detector themselves. Another benefit of line and multi-line detectors is that very wide detectors can be assembled easily, while flat panel detectors are usually limited to an imaging field with a size of approx. 40 × 40 cm 2 at maximum. The big disadvantage of line detectors is the limited number of object slices that can be scanned simultaneously. This leads to long scan times for large objects. Volume scans with a multi-line detector are much faster, but with almost similar image quality. Due to the promising properties of multi-line detectors their application outside of medical CT would also be very interesting for NDT. However, medical CT multi-line detectors are optimized for the scanning of human bodies. Many non-medical applications require higher spatial resolutions and/or higher X-ray energies. For those non-medical applications we are developing a fast multi-line X-ray detector.In the scope of this work, we present the current state of the development of the novel detector, which includes several outstanding properties like an adjustable curved design for variable focus-detector-distances, conserving nearly uniform perpendicular irradiation over the entire detector width. Basis of the detector is a specifically designed, radiation hard CMOS imaging sensor with a pixel pitch of 200 μ m. Each pixel has an automatic in-pixel gain adjustment, which allows for both: a very high sensitivity and a wide dynamic range. The final detector is planned to have 256 lines of

  15. The sinusoidal lining cells in "normal" human liver. A scanning electron microscopic investigation

    DEFF Research Database (Denmark)

    Horn, T; Henriksen, Jens Henrik Sahl; Christoffersen, P

    1986-01-01

    The scanning electron microscopic was used to study the fenestrations of human liver sinusoids. Thirteen biopsies, where light microscopy and transmission electron microscopy revealed normal sinusoidal architecture, were investigated. The number of fenestrae was calculated in acinar zone 3...

  16. New calibration method for I-scan sensors to enable the precise measurement of pressures delivered by 'pressure garments'.

    Science.gov (United States)

    Macintyre, Lisa

    2011-11-01

    Accurate measurement of the pressure delivered by medical compression products is highly desirable both in monitoring treatment and in developing new pressure inducing garments or products. There are several complications in measuring pressure at the garment/body interface and at present no ideal pressure measurement tool exists for this purpose. This paper summarises a thorough evaluation of the accuracy and reproducibility of measurements taken following both of Tekscan Inc.'s recommended calibration procedures for I-scan sensors; and presents an improved method for calibrating and using I-scan pressure sensors. The proposed calibration method enables accurate (±2.1 mmHg) measurement of pressures delivered by pressure garments to body parts with a circumference ≥30 cm. This method is too cumbersome for routine clinical use but is very useful, accurate and reproducible for product development or clinical evaluation purposes. Copyright © 2011 Elsevier Ltd and ISBI. All rights reserved.

  17. Laser Scanning in Engineering Surveying: Methods of Measurement and Modeling of Structures

    Directory of Open Access Journals (Sweden)

    Lenda Grzegorz

    2016-06-01

    Full Text Available The study is devoted to the uses of laser scanning in the field of engineering surveying. It is currently one of the main trends of research which is developed at the Department of Engineering Surveying and Civil Engineering at the Faculty of Mining Surveying and Environmental Engineering of AGH University of Science and Technology in Krakow. They mainly relate to the issues associated with tower and shell structures, infrastructure of rail routes, or development of digital elevation models for a wide range of applications. These issues often require the use of a variety of scanning techniques (stationary, mobile, but the differences also regard the planning of measurement stations and methods of merging point clouds. Significant differences appear during the analysis of point clouds, especially when modeling objects. Analysis of the selected parameters is already possible basing on ad hoc measurements carried out on a point cloud. However, only the construction of three-dimensional models provides complete information about the shape of structures, allows to perform the analysis in any place and reduces the amount of the stored data. Some structures can be modeled in the form of simple axes, sections, or solids, for others it becomes necessary to create sophisticated models of surfaces, depicting local deformations. The examples selected for the study allow to assess the scope of measurement and office work for a variety of uses related to the issue set forth in the title of this study. Additionally, the latest, forward-looking technology was presented - laser scanning performed from Unmanned Aerial Vehicles (drones. Currently, it is basically in the prototype phase, but it might be expected to make a significant progress in numerous applications in the field of engineering surveying.

  18. A laboratory measurement method for pressure sensitive adhesives in agglomeration deinking of mixed office waste paper: The high-low scanning contrast method

    OpenAIRE

    Guolin Tong; Shuang Sun; Cuixia Wang; Kecheng Fu; Yungchang F. Chin

    2012-01-01

    A simple measurement method for pressure sensitive adhesives (PSA) in an agglomeration deinking system of mixed office waste paper was studied. This method was based on the different scanning performance of ink and PSA specks in hot-pressed and oven-dried handsheets with the change of contrast values that had been selected and set in the image analysis software. The numbers of ink specks per square meter (NPM) were well recognized at both low and high contrast values and exhibited a very good...

  19. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  20. Modification of the Armijo line search to satisfy the convergence properties of HS method

    Directory of Open Access Journals (Sweden)

    Mohammed Belloufi

    2013-07-01

    Full Text Available The Hestenes-Stiefel (HS conjugate gradient algorithm is a useful tool of unconstrainednumerical optimization, which has good numerical performance but no global convergence result under traditional line searches. This paper proposes a line search technique that guarantee the globalconvergence of the Hestenes-Stiefel (HS conjugate gradient method. Numerical tests are presented tovalidate the different approaches.

  1. Nitrogen implantation with a scanning electron microscope.

    Science.gov (United States)

    Becker, S; Raatz, N; Jankuhn, St; John, R; Meijer, J

    2018-01-08

    Established techniques for ion implantation rely on technically advanced and costly machines like particle accelerators that only few research groups possess. We report here about a new and surprisingly simple ion implantation method that is based upon a widespread laboratory instrument: The scanning electron microscope. We show that it can be utilized to ionize atoms and molecules from the restgas by collisions with electrons of the beam and subsequently accelerate and implant them into an insulating sample by the effect of a potential building up at the sample surface. Our method is demonstrated by the implantation of nitrogen ions into diamond and their subsequent conversion to nitrogen vacancy centres which can be easily measured by fluorescence confocal microscopy. To provide evidence that the observed centres are truly generated in the way we describe, we supplied a 98% isotopically enriched 15 N gas to the chamber, whose natural abundance is very low. By employing the method of optically detected magnetic resonance, we were thus able to verify that the investigated centres are actually created from the 15 N isotopes. We also show that this method is compatible with lithography techniques using e-beam resist, as demonstrated by the implantation of lines using PMMA.

  2. A new image reconstruction method for 3-D PET based upon pairs of near-missing lines of response

    Energy Technology Data Exchange (ETDEWEB)

    Kawatsu, Shoji [Department of Radiology, Kyoritu General Hospital, 4-33 Go-bancho, Atsuta-ku, Nagoya-shi, Aichi 456-8611 (Japan) and Department of Brain Science and Molecular Imaging, National Institute for Longevity Sciences, National Center for Geriatrics and Gerontology, 36-3, Gengo Moriaka-cho, Obu-shi, Aichi 474-8522 (Japan)]. E-mail: b6rgw@fantasy.plala.or.jp; Ushiroya, Noboru [Department of General Education, Wakayama National College of Technology, 77 Noshima, Nada-cho, Gobo-shi, Wakayama 644-0023 (Japan)

    2007-02-01

    We formerly introduced a new image reconstruction method for three-dimensional positron emission tomography, which is based upon pairs of near-missing lines of response. This method uses an elementary geometric property of lines of response, namely that two lines of response which originate from radioactive isotopes located within a sufficiently small voxel, will lie within a few millimeters of each other. The effectiveness of this method was verified by performing a simulation using GATE software and a digital Hoffman phantom.

  3. Beam transfer line for food irradiation microtron at CAT

    International Nuclear Information System (INIS)

    Kant, Pradeep; Singh, Gurnam

    2003-01-01

    A 10 MeV microtron is being developed at CAT for irradiation of food products. A beam transfer line comprising a 90 deg bending magnet, a quadrupole doublet and a rectangular scanning magnet has been designed to irradiate food products from the upper side. The bending magnet has an edge angle of 22.5 deg. The length of the beam transfer line has been minimized to keep the whole unit as compact as possible. The beam optics has been optimized keeping in view the requirement of a small beam pipe aperture (25mm radius) and a large range of circular as well as elliptical beam sizes on the food product. The speed of the conveyor belt has been assumed to be very small. The results of the beam optics chosen and the variation of the linear charge density on a food product during the scanning are presented in this paper. The effects of path length variation within the scanning magnet and beam size variation during a scanning are also discussed

  4. CT-scanning in otolaryngology, 2

    International Nuclear Information System (INIS)

    Kusakari, Jun; Endo, Satomi; Hara, Akira

    1982-01-01

    Combined computerized tomography-sialography was performed in 28 patients with parotid tumors. Sialography was performed in the usual fashion. After confirming the presence of the contrast material within the parotid gland by X-ray, 4 to 5 scannings were done at the width of 10 mm below Repid's base line. With this procedure, the parotid gland was clearly demonstrated and the location of the tumor was shown as a shadow defect. Although the nature of the tumor, especially whether it was malignant or benign was difficult to predict, the CT-findings regarding the size and location of the tumor were completely coincident with the operative findings in all the cases. Accurate appraisal of the relation between the tumor and the facial nerve was possible in all but two cases. The preoperative information obtained from CT-scanning is extremely useful in the case of parotid tumor surgery. (author)

  5. Forensic Scanning Electron Microscope

    Science.gov (United States)

    Keeley, R. H.

    1983-03-01

    The scanning electron microscope equipped with an x-ray spectrometer is a versatile instrument which has many uses in the investigation of crime and preparation of scientific evidence for the courts. Major applications include microscopy and analysis of very small fragments of paint, glass and other materials which may link an individual with a scene of crime, identification of firearms residues and examination of questioned documents. Although simultaneous observation and chemical analysis of the sample is the most important feature of the instrument, other modes of operation such as cathodoluminescence spectrometry, backscattered electron imaging and direct x-ray excitation are also exploited. Marks on two bullets or cartridge cases can be compared directly by sequential scanning with a single beam or electronic linkage of two instruments. Particles of primer residue deposited on the skin and clothing when a gun is fired can be collected on adhesive tape and identified by their morphology and elemental composition. It is also possible to differentiate between the primer residues of different types of ammunition. Bullets may be identified from the small fragments left behind as they pass through the body tissues. In the examination of questioned documents the scanning electron microscope is used to establish the order in which two intersecting ink lines were written and to detect traces of chemical markers added to the security inks on official documents.

  6. Laser-induced Breakdown spectroscopy quantitative analysis method via adaptive analytical line selection and relevance vector machine regression model

    International Nuclear Information System (INIS)

    Yang, Jianhong; Yi, Cancan; Xu, Jinwu; Ma, Xianghong

    2015-01-01

    A new LIBS quantitative analysis method based on analytical line adaptive selection and Relevance Vector Machine (RVM) regression model is proposed. First, a scheme of adaptively selecting analytical line is put forward in order to overcome the drawback of high dependency on a priori knowledge. The candidate analytical lines are automatically selected based on the built-in characteristics of spectral lines, such as spectral intensity, wavelength and width at half height. The analytical lines which will be used as input variables of regression model are determined adaptively according to the samples for both training and testing. Second, an LIBS quantitative analysis method based on RVM is presented. The intensities of analytical lines and the elemental concentrations of certified standard samples are used to train the RVM regression model. The predicted elemental concentration analysis results will be given with a form of confidence interval of probabilistic distribution, which is helpful for evaluating the uncertainness contained in the measured spectra. Chromium concentration analysis experiments of 23 certified standard high-alloy steel samples have been carried out. The multiple correlation coefficient of the prediction was up to 98.85%, and the average relative error of the prediction was 4.01%. The experiment results showed that the proposed LIBS quantitative analysis method achieved better prediction accuracy and better modeling robustness compared with the methods based on partial least squares regression, artificial neural network and standard support vector machine. - Highlights: • Both training and testing samples are considered for analytical lines selection. • The analytical lines are auto-selected based on the built-in characteristics of spectral lines. • The new method can achieve better prediction accuracy and modeling robustness. • Model predictions are given with confidence interval of probabilistic distribution

  7. Scan Statistics

    CERN Document Server

    Glaz, Joseph

    2009-01-01

    Suitable for graduate students and researchers in applied probability and statistics, as well as for scientists in biology, computer science, pharmaceutical science and medicine, this title brings together a collection of chapters illustrating the depth and diversity of theory, methods and applications in the area of scan statistics.

  8. The use of Scanning Tunneling Microscope as a novel characterization tool for metallic alloys

    International Nuclear Information System (INIS)

    Brezenitsky, M.; Moreh, R.; Dayan, D.; Kimmel, G.

    1996-01-01

    A novel method is reported for characterizing the microstructure of metals and alloys by utilizing the surface imaging properties of a STM (Scanning Tunneling microscope). In the present work there is no need to take advantage of the high atomic resolution of the STM, instead only gross resolution is required. Twenty different samples having different grain sizes (caused by the mosaic structure) and ranging between 20 to 200 nm were prepared. These dimensions are far below the resolution limit of optical microscopes. The samples were first studied using line profile analysis of XRD spectra while focusing on two of the most characteristic properties of steel which are: grain size and the deviation from cubic symmetry. Some of the samples showed nonuniform XRD line broadening effects, which could be attributed to a tetragonal distortion. If it is true, the samples must consist of martensitic twinned structure, as a result of the typical y to a shear transformation in steels. The samples were then studied using the STM. In general, many 1000 nm x 1000 )zm STM scans were carried out on each sample. In all cases of irregular XRD line broadening, the STM identified bands and sub-bands in the images which fitted the usual twining structure in steels. In addition, the STM images were found to show individual domains, from which histograms of the grain dimensions for each sample were prepared and compared to the XRD data. An excellent agreement was observed between tile two sets of data of grain sizes. The present method is much simpler than that which employs the Transmission Electron Microscope (TEM) as it can be carried out in air and no special requirements on sample preparation is necessary. This work establishes the STM as a very useful characterization tool for studies in metallurgy and metal physics. (author)

  9. Monte Carlo investigation of the low-dose envelope from scanned proton pencil beams

    International Nuclear Information System (INIS)

    Sawakuchi, Gabriel O; Titt, Uwe; Mirkovic, Dragan; Ciangaru, George; Zhu, X Ronald; Sahoo, Narayan; Gillin, Michael T; Mohan, Radhe

    2010-01-01

    Scanned proton pencil beams carry a low-dose envelope that extends several centimeters from the individual beam's central axis. Thus, the total delivered dose depends on the size of the target volume and the corresponding number and intensity of beams necessary to cover the target volume uniformly. This dependence must be considered in dose calculation algorithms used by treatment planning systems. In this work, we investigated the sources of particles contributing to the low-dose envelope using the Monte Carlo technique. We used a validated model of our institution's scanning beam line to determine the contributions to the low-dose envelope from secondary particles created in a water phantom and particles scattered in beam line components. Our results suggested that, for high-energy beams, secondary particles produced by nuclear interactions in the water phantom are the major contributors to the low-dose envelope. For low-energy beams, the low-dose envelope is dominated by particles undergoing multiple Coulomb scattering in the beam line components and water phantom. Clearly, in the latter situation, the low-dose envelope depends directly on beam line design features. Finally, we investigated the dosimetric consequences of the low-dose envelope. Our results showed that if not modeled properly the low-dose envelope may cause clinically relevant dose disturbance in the target volume. This work suggested that this low-dose envelope is beam line specific for low-energy beams, should be thoroughly experimentally characterized and validated during commissioning of the treatment planning system, and therefore is of great concern for accurate delivery of proton scanning beam doses.

  10. A new method of on-line multiparameter amplitude analysis with compression

    International Nuclear Information System (INIS)

    Morhac, M.; matousek, V.

    1996-01-01

    An algorithm of one-line multidimensional amplitude analysis with compression using fast adaptive orthogonal transform is presented in the paper. The method is based on a direct modification of multiplication coefficients of the signal flow graph of the fast Cooley-Tukey's algorithm. The coefficients are modified according to a reference vector representing the processed data. The method has been tested to compress three parameter experimental nuclear data. The efficiency of the derived adaptive transform is compared with classical orthogonal transforms. (orig.)

  11. Research on a Lamb Wave and Particle Filter-Based On-Line Crack Propagation Prognosis Method

    OpenAIRE

    Chen, Jian; Yuan, Shenfang; Qiu, Lei; Cai, Jian; Yang, Weibo

    2016-01-01

    Prognostics and health management techniques have drawn widespread attention due to their ability to facilitate maintenance activities based on need. On-line prognosis of fatigue crack propagation can offer information for optimizing operation and maintenance strategies in real-time. This paper proposes a Lamb wave-particle filter (LW-PF)-based method for on-line prognosis of fatigue crack propagation which takes advantages of the possibility of on-line monitoring to evaluate the actual crack...

  12. Design and verification of the miniature optical system for small object surface profile fast scanning

    Science.gov (United States)

    Chi, Sheng; Lee, Shu-Sheng; Huang, Jen, Jen-Yu; Lai, Ti-Yu; Jan, Chia-Ming; Hu, Po-Chi

    2016-04-01

    As the progress of optical technologies, different commercial 3D surface contour scanners are on the market nowadays. Most of them are used for reconstructing the surface profile of mold or mechanical objects which are larger than 50 mm×50 mm× 50 mm, and the scanning system size is about 300 mm×300 mm×100 mm. There are seldom optical systems commercialized for surface profile fast scanning for small object size less than 10 mm×10 mm×10 mm. Therefore, a miniature optical system has been designed and developed in this research work for this purpose. Since the most used scanning method of such system is line scan technology, we have developed pseudo-phase shifting digital projection technology by adopting projecting fringes and phase reconstruction method. A projector was used to project a digital fringe patterns on the object, and the fringes intensity images of the reference plane and of the sample object were recorded by a CMOS camera. The phase difference between the plane and object can be calculated from the fringes images, and the surface profile of the object was reconstructed by using the phase differences. The traditional phase shifting method was accomplished by using PZT actuator or precisely controlled motor to adjust the light source or grating and this is one of the limitations for high speed scanning. Compared with the traditional optical setup, we utilized a micro projector to project the digital fringe patterns on the sample. This diminished the phase shifting processing time and the controlled phase differences between the shifted phases become more precise. Besides, the optical path design based on a portable device scanning system was used to minimize the size and reduce the number of the system components. A screwdriver section about 7mm×5mm×5mm has been scanned and its surface profile was successfully restored. The experimental results showed that the measurement area of our system can be smaller than 10mm×10mm, the precision reached to

  13. Robust Locally Weighted Regression For Ground Surface Extraction In Mobile Laser Scanning 3D Data

    Directory of Open Access Journals (Sweden)

    A. Nurunnabi

    2013-10-01

    Full Text Available A new robust way for ground surface extraction from mobile laser scanning 3D point cloud data is proposed in this paper. Fitting polynomials along 2D/3D points is one of the well-known methods for filtering ground points, but it is evident that unorganized point clouds consist of multiple complex structures by nature so it is not suitable for fitting a parametric global model. The aim of this research is to develop and implement an algorithm to classify ground and non-ground points based on statistically robust locally weighted regression which fits a regression surface (line in 2D by fitting without any predefined global functional relation among the variables of interest. Afterwards, the z (elevation-values are robustly down weighted based on the residuals for the fitted points. The new set of down weighted z-values along with x (or y values are used to get a new fit of the (lower surface (line. The process of fitting and down-weighting continues until the difference between two consecutive fits is insignificant. Then the final fit represents the ground level of the given point cloud and the ground surface points can be extracted. The performance of the new method has been demonstrated through vehicle based mobile laser scanning 3D point cloud data from urban areas which include different problematic objects such as short walls, large buildings, electric poles, sign posts and cars. The method has potential in areas like building/construction footprint determination, 3D city modelling, corridor mapping and asset management.

  14. The Evaluation Method of the Lightning Strike on Transmission Lines Aiming at Power Grid Reliability

    Science.gov (United States)

    Wen, Jianfeng; Wu, Jianwei; Huang, Liandong; Geng, Yinan; Yu, zhanqing

    2018-01-01

    Lightning protection of power system focuses on reducing the flashover rate, only distinguishing by the voltage level, without considering the functional differences between the transmission lines, and being lack of analysis the effect on the reliability of power grid. This will lead lightning protection design of general transmission lines is surplus but insufficient for key lines. In order to solve this problem, the analysis method of lightning striking on transmission lines for power grid reliability is given. Full wave process theory is used to analyze the lightning back striking; the leader propagation model is used to describe the process of shielding failure of transmission lines. The index of power grid reliability is introduced and the effect of transmission line fault on the reliability of power system is discussed in detail.

  15. An expert system for improving the gamma-ray scanning technique

    International Nuclear Information System (INIS)

    Laraki, K.; Alami, R.; Cherkaoui El Moursli, R.; Bensitel, A.; El Badri, L.

    2007-01-01

    The gamma-ray scanning technique is widely used in the diagnosis and identification of industrial installations, in general and, in particular, of distillation columns considered as the most critical components in petrochemical plants. It provides essential data to optimise the performance of columns and identify maintenance requirements. Due to the various difficulties that can arise while analysing a scanning profile and in order to benefit from the continuous advent of new technologies in the field of electronics and data processing, the team of the Division of Instrumentation and Industrial Applications of CNESTEN have conducted a project aiming the elaboration of an expert system for acquisition, processing and interpretation of the scanning results. This system consists of two main modules: the first one is devoted to the preparation and control of the scanning operation conditions, while the second module has been developed to carry out easily and effectively the automatic (on-line) analysis and interpretation of the scan profiles

  16. On-line monitoring of water amount in fresh concrete by radioactive-wave method

    International Nuclear Information System (INIS)

    Kemi, T.; Arai, M.; Enomoto, S.; Suzki, K.; Kumahara, Y.

    2003-01-01

    The committee on nondestructive inspection for steel reinforced concrete structures in the Federation of Construction Materials Industries, Japan has published a proposed standard for on-line monitoring of water amount in fresh concrete by the radioactive wave method. By applying a neutron technique, water amount in fresh concrete is estimated continuously from the energy consumption of neutron due to hydrogen. A standard is discussed along with results of verification tests. Thus, on-line monitoring for water amount is proposed

  17. A surface refractive index scanning system and method

    DEFF Research Database (Denmark)

    2015-01-01

    The invention relates to a surface refractive index scanning system for characterization of a sample. The system comprises a grating device for holding or receiving the sample, the device comprising at least a first grating region having a first grating width along a transverse direction, and a s......The invention relates to a surface refractive index scanning system for characterization of a sample. The system comprises a grating device for holding or receiving the sample, the device comprising at least a first grating region having a first grating width along a transverse direction...... a grating period Λ2 in the longitudinal direction, where the longitudinal direction is orthogonal to the transverse direction. A grating period spacing ΔΛ = Λ1 - Λ2 is finite. Further, the first and second grating periods are chosen to provide optical resonances for light respectively in a first...... wavelength band and a second wavelength band, light is being emitted, transmitted, or reflected in an out-of-plane direction, wherein the first wavelength band and the second wavelength band are at least partially non-overlapping in wavelength. The system further comprises a light source for illuminating...

  18. Analysis of secretome of breast cancer cell line with an optimized semi-shotgun method

    International Nuclear Information System (INIS)

    Tang Xiaorong; Yao Ling; Chen Keying; Hu Xiaofang; Xu Lisa; Fan Chunhai

    2009-01-01

    Secretome, the totality of secreted proteins, is viewed as a promising pool of candidate cancer biomarkers. Simple and reliable methods for identifying secreted proteins are highly desired. We used an optimized semi-shotgun liquid chromatography followed by tandem mass spectrometry (LC-MS/MS) method to analyze the secretome of breast cancer cell line MDA-MB-231. A total of 464 proteins were identified. About 63% of the proteins were classified as secreted proteins, including many promising breast cancer biomarkers, which were thought to be correlated with tumorigenesis, tumor development and metastasis. These results suggest that the optimized method may be a powerful strategy for cell line secretome profiling, and can be used to find potential cancer biomarkers with great clinical significance. (authors)

  19. METHODICAL APPROACHES TO THE CHOICE OF THE NEW GENERATION OF HIGH-VOLTAGE POWER TRANSMISSION LINE 220 kV OPTIONS

    Directory of Open Access Journals (Sweden)

    POSTOLATI V.M.

    2010-08-01

    Full Text Available The Transmission Power Lines of new generation are described in the article (single- compact, double-circuit compact, double-circuit Controlled Self-compensating High Voltage Transmission Power Lines (CSHVL. Basic principles of creation, design elements and comparative characteristics of the transmission lines of the new generation are described, the advantages of its are showed. Methodical approaches to the choosing of a new generation of transmission lines and facilities management FACTS are formulated. Methodical approaches to the choice of options for transmission lines 220 kV and facilities management are shown.

  20. Theory of direct-interband-transition line shapes based on Mori's method

    International Nuclear Information System (INIS)

    Sam Nyung Yi; Jai Yon Ryu; Ok Hee Chung; Joung Young Sug; Sang Don Choi; Yeon Choon Chung

    1987-01-01

    A theory of direct interband optical transition in the electron-phonon system is introduced on the basis of the Kubo formalism and by using Mori's method of calculation. The line shape functions are introduced in two different ways and are compared with those obtained by Choi and Chung based on Argyres and Sigel's projection technique

  1. Impulse excitation scanning acoustic microscopy for local quantification of Rayleigh surface wave velocity using B-scan analysis

    Science.gov (United States)

    Cherry, M.; Dierken, J.; Boehnlein, T.; Pilchak, A.; Sathish, S.; Grandhi, R.

    2018-01-01

    A new technique for performing quantitative scanning acoustic microscopy imaging of Rayleigh surface wave (RSW) velocity was developed based on b-scan processing. In this technique, the focused acoustic beam is moved through many defocus distances over the sample and excited with an impulse excitation, and advanced algorithms based on frequency filtering and the Hilbert transform are used to post-process the b-scans to estimate the Rayleigh surface wave velocity. The new method was used to estimate the RSW velocity on an optically flat E6 glass sample, and the velocity was measured at ±2 m/s and the scanning time per point was on the order of 1.0 s, which are both improvement from the previous two-point defocus method. The new method was also applied to the analysis of two titanium samples, and the velocity was estimated with very low standard deviation in certain large grains on the sample. A new behavior was observed with the b-scan analysis technique where the amplitude of the surface wave decayed dramatically on certain crystallographic orientations. The new technique was also compared with previous results, and the new technique has been found to be much more reliable and to have higher contrast than previously possible with impulse excitation.

  2. Determination of the ultimate load in concrete slabs by the yield line finite element method

    International Nuclear Information System (INIS)

    Vaz, L.E.; Feijo, B.; Martha, L.F.R.; Lopes, M.M.

    1984-01-01

    A method for calculating the ultimate load in reinforced concrete slabs is proposed. The method follows the finite element aproach representating the continuum slab as an assembly of rigid triangular plates connected along their sides through yield line elements. This approach leads to the definition of the displacement configuration of the plate only as a function of the transversal displacement at the nodes of the mesh (1 DOF per node) reducing significantly the number of DOF's in relation to the conventional formulation by means of the finite element method (minimum of 3 DOF per node). Nonlinear behaviour of the reinforced concrete section is considered in the definition of the moment rotation curve of the yield lines. The effect of the in plane forces acting in the middle surface of the plate is also taken into account. The validity of the model is verified comparing the numerical solutions with the results of the classical yield line theory. (Author) [pt

  3. Gap-Filling of Landsat 7 Imagery Using the Direct Sampling Method

    KAUST Repository

    Yin, Gaohong; Mariethoz, Gregoire; McCabe, Matthew

    2016-01-01

    The failure of the Scan Line Corrector (SLC) on Landsat 7 imposed systematic data gaps on retrieved imagery and removed the capacity to provide spatially continuous fields. While a number of methods have been developed to fill these gaps, most

  4. Radar Scan Methods in Modern Multifunctional Radars

    Directory of Open Access Journals (Sweden)

    V. N. Skosyrev

    2014-01-01

    overview in elevation plane ray beam with high directivity and electronic scanning. This method is preferable from the viewpoint of the anti-jamming passive and active interference, as well as reducing electromagnetic visibility.

  5. The reality of virtual anthropology: Comparing digitizer and laser scan data collection methods for the quantitative assessment of the cranium.

    Science.gov (United States)

    Algee-Hewitt, Bridget F B; Wheat, Amber D

    2016-05-01

    The use of geometric morphometry to study cranial variation has steadily grown in appeal over the past decade in biological anthropology. Publication trends suggest that the most popular methods for three-dimensional data acquisition involve landmark-based coordinate data collection using a digitizer. Newer laser scan approaches are seeing increasing use, owing to the benefits that densely sampled data offer. While both of these methods have their utility, research that investigates their compatibility is lacking. The purpose of this project is to compare, quantitatively, craniometrics collected with a digitizer against data extracted from laser scans using the same individuals and laboratory conditions. Three-dimensional (x,y,z) coordinates and traditional inter-landmark distances (ILDs) were obtained with a Microscribe digitizer and 360° color models produced from NextEngine laser scans for 38 adult crania representing five cemeteries from the ADBOU skeletal collection in Denmark. Variance-based tests were performed to evaluate the disagreement between data collected with a digitizer and from laser scan models. Consideration was given to differences among landmarks by type, between ILDs calculated from landmark coordinates, and in morphology for the cemetery populations. Further, the reliability of laser scan data collection was assessed by intra-observer error tests. Researchers should be aware of the potential error associated with the use of Types II and III landmarks and the limitations on reliability imposed by object-to-scanner placement. This project reveals how laser scans can provide a valuable digital archive of cranial material that can be reasonably exploited for the "virtual" collection of coordinates and the calculation of ILDs. © 2015 Wiley Periodicals, Inc.

  6. Atomic resolution ultrafast scanning tunneling microscope with scan rate breaking the resonant frequency of a quartz tuning fork resonator.

    Science.gov (United States)

    Li, Quanfeng; Lu, Qingyou

    2011-05-01

    We present an ultra-fast scanning tunneling microscope with atomic resolution at 26 kHz scan rate which surpasses the resonant frequency of the quartz tuning fork resonator used as the fast scan actuator. The main improvements employed in achieving this new record are (1) fully low voltage design (2) independent scan control and data acquisition, where the tuning fork (carrying a tip) is blindly driven to scan by a function generator with the scan voltage and tunneling current (I(T)) being measured as image data (this is unlike the traditional point-by-point move and measure method where data acquisition and scan control are switched many times).

  7. Standard test method for nondestructive assay of radioactive material by tomographic gamma scanning

    CERN Document Server

    American Society for Testing and Materials. Philadelphia

    2010-01-01

    1.1 This test method describes the nondestructive assay (NDA) of gamma ray emitting radionuclides inside containers using tomographic gamma scanning (TGS). High resolution gamma ray spectroscopy is used to detect and quantify the radionuclides of interest. The attenuation of an external gamma ray transmission source is used to correct the measurement of the emission gamma rays from radionuclides to arrive at a quantitative determination of the radionuclides present in the item. 1.2 The TGS technique covered by the test method may be used to assay scrap or waste material in cans or drums in the 1 to 500 litre volume range. Other items may be assayed as well. 1.3 The test method will cover two implementations of the TGS procedure: (1) Isotope Specific Calibration that uses standards of known radionuclide masses (or activities) to determine system response in a mass (or activity) versus corrected count rate calibration, that applies to only those specific radionuclides for which it is calibrated, and (2) Respo...

  8. A simple but precise method for quantitative measurement of the quality of the laser focus in a scanning optical microscope.

    Science.gov (United States)

    Trägårdh, J; Macrae, K; Travis, C; Amor, R; Norris, G; Wilson, S H; Oppo, G-L; McConnell, G

    2015-07-01

    We report a method for characterizing the focussing laser beam exiting the objective in a laser scanning microscope. This method provides the size of the optical focus, the divergence of the beam, the ellipticity and the astigmatism. We use a microscopic-scale knife edge in the form of a simple transmission electron microscopy grid attached to a glass microscope slide, and a light-collecting optical fibre and photodiode underneath the specimen. By scanning the laser spot from a reflective to a transmitting part of the grid, a beam profile in the form of an error function can be obtained and by repeating this with the knife edge at different axial positions relative to the beam waist, the divergence and astigmatism of the postobjective laser beam can be obtained. The measured divergence can be used to quantify how much of the full numerical aperture of the lens is used in practice. We present data of the beam radius, beam divergence, ellipticity and astigmatism obtained with low (0.15, 0.7) and high (1.3) numerical aperture lenses and lasers commonly used in confocal and multiphoton laser scanning microscopy. Our knife-edge method has several advantages over alternative knife-edge methods used in microscopy including that the knife edge is easy to prepare, that the beam can be characterized also directly under a cover slip, as necessary to reduce spherical aberrations for objectives designed to be used with a cover slip, and it is suitable for use with commercial laser scanning microscopes where access to the laser beam can be limited. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  9. A conjugate gradient method with descent properties under strong Wolfe line search

    Science.gov (United States)

    Zull, N.; ‘Aini, N.; Shoid, S.; Ghani, N. H. A.; Mohamed, N. S.; Rivaie, M.; Mamat, M.

    2017-09-01

    The conjugate gradient (CG) method is one of the optimization methods that are often used in practical applications. The continuous and numerous studies conducted on the CG method have led to vast improvements in its convergence properties and efficiency. In this paper, a new CG method possessing the sufficient descent and global convergence properties is proposed. The efficiency of the new CG algorithm relative to the existing CG methods is evaluated by testing them all on a set of test functions using MATLAB. The tests are measured in terms of iteration numbers and CPU time under strong Wolfe line search. Overall, this new method performs efficiently and comparable to the other famous methods.

  10. Reducing charging effects in scanning electron microscope images by Rayleigh contrast stretching method (RCS).

    Science.gov (United States)

    Wan Ismail, W Z; Sim, K S; Tso, C P; Ting, H Y

    2011-01-01

    To reduce undesirable charging effects in scanning electron microscope images, Rayleigh contrast stretching is developed and employed. First, re-scaling is performed on the input image histograms with Rayleigh algorithm. Then, contrast stretching or contrast adjustment is implemented to improve the images while reducing the contrast charging artifacts. This technique has been compared to some existing histogram equalization (HE) extension techniques: recursive sub-image HE, contrast stretching dynamic HE, multipeak HE and recursive mean separate HE. Other post processing methods, such as wavelet approach, spatial filtering, and exponential contrast stretching, are compared as well. Overall, the proposed method produces better image compensation in reducing charging artifacts. Copyright © 2011 Wiley Periodicals, Inc.

  11. Accuracy assessment of airborne laser scanning strips using planar features

    NARCIS (Netherlands)

    Soudarissanane, S.S.; Van der Sande, C.J.; Khoshelham, K.

    2010-01-01

    Airborne Laser Scanning (ALS) is widely used in many applications for its high measurement accuracy, fast acquisition capability, and large spatial coverage. Accuracy assessment of the ALS data usually relies on comparing corresponding tie elements, often points or lines, in the overlapping strips.

  12. Recent advances in atomic-scale spin-polarized scanning tunneling microscopy.

    Science.gov (United States)

    Smith, Arthur R; Yang, Rong; Yang, Haiqiang; Dick, Alexey; Neugebauer, Joerg; Lambrecht, Walter R L

    2005-02-01

    The Mn3N2 (010) surface has been studied using spin-polarized scanning tunneling microscopy at the atomic scale. The principle objective of this work is to elucidate the properties and potential of this technique to measure atomic-scale magnetic structures. The experimental approach involves the use of a combined molecular beam epitaxy/scanning tunneling microscopy system that allows the study of atomically clean magnetic surfaces. Several key findings have been obtained. First, both magnetic and non-magnetic atomic-scale information has been obtained in a single spin-polarized image. Magnetic modulation of the height profile having an antiferromagnetic super-period of c = 12.14 A (6 atomic rows) together with a non-magnetic superstructure having a period of c/2 = 6.07 A (3 atomic rows) was observed. Methods of separation of magnetic and non-magnetic profiles are presented. Second, bias voltage-dependent spin-polarized images show a reversal of the magnetic modulation at a particular voltage. This reversal is clearly due to a change in the sign of the magnetic term in the tunnel current. Since this term depends on both the tip's as well as the sample's magnetic local density of states, the reversal can be caused by either the sample or the tip. Third, the shape of the line profile was found to vary with the bias voltage, which is related to the energy-dependent spin contribution from the 2 chemically inequivalent Mn sites on the surface. Overall, the results shown here expand the application of the method of spin-polarized scanning tunneling microscopy to measure atomic-scale magnetic structures. (c) 2005 Wiley-Liss, Inc.

  13. Technetium-99m labeled radiodiagnostic agents for liver and bone marrow scanning and method of preparation

    International Nuclear Information System (INIS)

    Molinski, V.J.; Peacock, F.R.

    1977-01-01

    An improved technetium-99m labeled colloid and method of preparation comprising reducing technetium-99m with stannous oxalate and stabilizing with sodium phytate are described. This radiodiagnostic agent is useful in the scintigraphic examination of the reticuloendothelial system, particularly the liver. In addition, by autoclaving this product with saline, it becomes a superior bone marrow scanning agent

  14. The Method of Lines Solution of the Regularized Long-Wave Equation Using Runge-Kutta Time Discretization Method

    Directory of Open Access Journals (Sweden)

    H. O. Bakodah

    2013-01-01

    Full Text Available A method of lines approach to the numerical solution of nonlinear wave equations typified by the regularized long wave (RLW is presented. The method developed uses a finite differences discretization to the space. Solution of the resulting system was obtained by applying fourth Runge-Kutta time discretization method. Using Von Neumann stability analysis, it is shown that the proposed method is marginally stable. To test the accuracy of the method some numerical experiments on test problems are presented. Test problems including solitary wave motion, two-solitary wave interaction, and the temporal evaluation of a Maxwellian initial pulse are studied. The accuracy of the present method is tested with and error norms and the conservation properties of mass, energy, and momentum under the RLW equation.

  15. ICT diagnostic method of beryllium welding quality

    International Nuclear Information System (INIS)

    Sun Lingxia; Wei Kentang; Ye Yunchang

    2002-01-01

    To avoid the interference of high density material for the quality assay of beryllium welding line, a slice by slice scanning method was proposed based upon the research results of the Industrial Computerized Tomography (ICT) diagnostics for weld penetration, weld width, off-centered deviation and weld defects of beryllium-ring welding seam with high density material inside

  16. On-Line Fringe Tracking and Prediction at IOTA

    Science.gov (United States)

    Wilson, Edward; Mah, Robert; Lau, Sonie (Technical Monitor)

    1999-01-01

    The Infrared/Optical Telescope Array (IOTA) is a multi-aperture Michelson interferometer located on Mt. Hopkins near Tucson, Arizona. To enable viewing of fainter targets, an on-line fringe tracking system is presently under development at NASA Ames Research Center. The system has been developed off-line using actual data from IOTA, and is presently undergoing on-line implementation at IOTA. The system has two parts: (1) a fringe tracking system that identifies the center of a fringe packet by fitting a parametric model to the data; and (2) a fringe packet motion prediction system that uses characteristics of past fringe packets to predict fringe packet motion. Combined, this information will be used to optimize on-line the scanning trajectory, resulting in improved visibility of faint targets. Fringe packet identification is highly accurate and robust (99% of the 4000 fringe packets were identified correctly, the remaining 1% were either out of the scan range or too noisy to be seen) and is performed in 30-90 milliseconds on a Pentium II-based computer. Fringe packet prediction, currently performed using an adaptive linear predictor, delivers a 10% improvement over the baseline of predicting no motion.

  17. Automated local line rolling forming and simplified deformation simulation method for complex curvature plate of ships

    Directory of Open Access Journals (Sweden)

    Y. Zhao

    2017-06-01

    Full Text Available Local line rolling forming is a common forming approach for the complex curvature plate of ships. However, the processing mode based on artificial experience is still applied at present, because it is difficult to integrally determine relational data for the forming shape, processing path, and process parameters used to drive automation equipment. Numerical simulation is currently the major approach for generating such complex relational data. Therefore, a highly precise and effective numerical computation method becomes crucial in the development of the automated local line rolling forming system for producing complex curvature plates used in ships. In this study, a three-dimensional elastoplastic finite element method was first employed to perform numerical computations for local line rolling forming, and the corresponding deformation and strain distribution features were acquired. In addition, according to the characteristics of strain distributions, a simplified deformation simulation method, based on the deformation obtained by applying strain was presented. Compared to the results of the three-dimensional elastoplastic finite element method, this simplified deformation simulation method was verified to provide high computational accuracy, and this could result in a substantial reduction in calculation time. Thus, the application of the simplified deformation simulation method was further explored in the case of multiple rolling loading paths. Moreover, it was also utilized to calculate the local line rolling forming for the typical complex curvature plate of ships. Research findings indicated that the simplified deformation simulation method was an effective tool for rapidly obtaining relationships between the forming shape, processing path, and process parameters.

  18. Generalized transmission line method to study the far-zone radiation of antennas under a multilayer structure

    CERN Document Server

    Wu, Xuan Hui

    2008-01-01

    This book gives a step-by-step presentation of a generalized transmission line method to study the far-zone radiation of antennas under a multilayer structure. Normally, a radiation problem requires a full wave analysis which may be time consuming. The beauty of the generalized transmission line method is that it transforms the radiation problem for a specific type of structure, say the multilayer structure excited by an antenna, into a circuit problem that can be efficiently analyzed. Using the Reciprocity Theorem and far-field approximation, the method computes the far-zone radiation due to

  19. An ME-PC Enhanced HDMR Method for Efficient Statistical Analysis of Multiconductor Transmission Line Networks

    KAUST Repository

    Yucel, Abdulkadir C.; Bagci, Hakan; Michielssen, Eric

    2015-01-01

    An efficient method for statistically characterizing multiconductor transmission line (MTL) networks subject to a large number of manufacturing uncertainties is presented. The proposed method achieves its efficiency by leveraging a high

  20. K-Line Patterns’ Predictive Power Analysis Using the Methods of Similarity Match and Clustering

    Directory of Open Access Journals (Sweden)

    Lv Tao

    2017-01-01

    Full Text Available Stock price prediction based on K-line patterns is the essence of candlestick technical analysis. However, there are some disputes on whether the K-line patterns have predictive power in academia. To help resolve the debate, this paper uses the data mining methods of pattern recognition, pattern clustering, and pattern knowledge mining to research the predictive power of K-line patterns. The similarity match model and nearest neighbor-clustering algorithm are proposed for solving the problem of similarity match and clustering of K-line series, respectively. The experiment includes testing the predictive power of the Three Inside Up pattern and Three Inside Down pattern with the testing dataset of the K-line series data of Shanghai 180 index component stocks over the latest 10 years. Experimental results show that (1 the predictive power of a pattern varies a great deal for different shapes and (2 each of the existing K-line patterns requires further classification based on the shape feature for improving the prediction performance.

  1. Unevenness of Sliding Surface of Overhead Rigid Conductor Lines and Method for Reducing Unevenness

    Science.gov (United States)

    Aboshi, Mitsuo; Shimizu, Masashi

    Rigid conductor lines are used in many subways, because the use of such conductor lines reduces the risk of accidents and because less space is required for their installation. However, as the unevenness of the sliding surface of the rigid conductor lines significantly influences the fluctuations in the contact force between pantographs and contact lines, it is necessary to decrease the unevenness at the construction as well as the maintenance stages. In order to investigate the installation accuracy of overhead rigid conductor lines, we have developed a device that accurately and continuously measures the unevenness of the sliding surface. By using this measuring device, we have confirmed that the unevenness of the sliding surface depends on various factors such as the sag between the support points, the deformation of the aluminum base or the conductive rail in the case of a long wavelength, the slight sagging unevenness between the bolts of the long ear, the undulating wear etc. This paper describes the actual unevenness conditions and the technical methods for decreasing the unevenness of the sliding surface of overhead rigid conductor lines.

  2. Hard X-ray nanoimaging method using local diffraction from metal wire

    Energy Technology Data Exchange (ETDEWEB)

    Takano, Hidekazu, E-mail: htakano@sci.u-hyogo.ac.jp; Konishi, Shigeki; Shimomura, Sho; Azuma, Hiroaki; Tsusaka, Yoshiyuki; Kagoshima, Yasushi [Center for Novel Material Science under Multi-Extreme Conditions, Graduate School of Material Science, University of Hyogo, Kamigori, Hyogo 678-1297 (Japan)

    2014-01-13

    A simple hard X-ray imaging method achieving a high spatial resolution is proposed. Images are obtained by scanning a metal wire through the wave field to be measured and rotating the sample to collect data for back projection calculations; the local diffraction occurring at the edges of the metal wire operates as a narrow line probe. In-line holograms of a test sample were obtained with a spatial resolution of better than 100 nm. The potential high spatial resolution of this method is shown by calculations using diffraction theory.

  3. METHOD FOR OBSERVATION OF DEEMBEDDED SECTIONS OF FISH GONAD BY SCANNING ELECTRON MICROSCOPY

    Institute of Scientific and Technical Information of China (English)

    2000-01-01

    This article reports a method for examining the intracellular structure of fish gonads using a scanning electron microscope(SEM). The specimen preparation procedure is similar to that for transmission electron microscopy wherein samples cut into semi-thin sections are fixed and embedded in plastic. The embedment matrix was removed by solvents. Risen-free specimens could be observed by SEM. The morphology of matured sperms in the gonad was very clear, and the oocyte internal structures appeared in three-dimensional images. Spheroidal nucleoli and yolk vesicles and several bundles of filaments adhered on the nucleoli could be viewed by SEM for the first time.

  4. Lung uptake in bone scan - Two case reports

    International Nuclear Information System (INIS)

    Nahar, N.; Kabir, F.; Islam, N.; Karim, M.A.

    2001-01-01

    Breast cancer is the 2nd most common cancer in female in our country. When a case of breast cancer is diagnosed a base line bone scan is asked for to exclude skeletal metastasis. This helps for treatment planning and future follow up. Scan pattern in bone metastasis is usually multiple, randomly distributed foci of intensely increased tracer accumulation. Uptake of radio pharmaceutical in breast tissue is frequently observed. Kidneys are another extra skeletal organs through which 99m Tc-MDP is excreted and that's why normal kidneys are faintly visualized in delayed views suggesting normal exertion of tracer. If there is any outflow obstruction in any kidney, it will show hold up of radiotracer on that side. Often radiopharmaceuticals are seen to accumulate in other organs like lungs. Here two cases of breast cancer are discussed where bone scan shows significant uptake of tracer in lungs

  5. [Anti-tumor effects of DDP-PLLA-CNTs on human cholangiocarcinoma cell line in vitro].

    Science.gov (United States)

    Li, Maolan; Lu, Wei; Zhang, Fei; Ding, Qichen; Wu, Xiangsong; Tan, Zhujun; Wu, Wenguang; Weng, Hao; Wang, Xuefeng; Shi, Weibin; Dong, Ping; Gu, Jun; Liu, Yingbin

    2014-11-04

    To explore the antitumor effects of DDP-PLLA-CNTs on human cholangiocarcinoma cell line. DDP-PLLA-CNTs were prepared with the method of ultrasound emulsification. The morphology of DDP-PLLA-CNTs was determined by scanning electron microscope (SEM). And its drug loading and drug release curve in vitro was detected by UV-Vis-NIR spectrophotometer. CCK8 was used to test the cytotoxic effects of DDP-PLLA-CNTs at different concentrations on QBC939 cell proliferation.Flow cytometry was employed to measure the changes of apoptotic rate. With excellent controlled-release characteristic of in vitro drug release, DDP-PLLA-CNTs inhibited the proliferation and significantly increased the apoptotic rate of QBC939 cell line. DDP-PLLA-CNTs have drug sustained-release characteristics and can significantly inhibit the proliferation of QBC939 cell line.

  6. Novel edge treatment method for improving the transmission reconstruction quality in Tomographic Gamma Scanning.

    Science.gov (United States)

    Han, Miaomiao; Guo, Zhirong; Liu, Haifeng; Li, Qinghua

    2018-05-01

    Tomographic Gamma Scanning (TGS) is a method used for the nondestructive assay of radioactive wastes. In TGS, the actual irregular edge voxels are regarded as regular cubic voxels in the traditional treatment method. In this study, in order to improve the performance of TGS, a novel edge treatment method is proposed that considers the actual shapes of these voxels. The two different edge voxel treatment methods were compared by computing the pixel-level relative errors and normalized mean square errors (NMSEs) between the reconstructed transmission images and the ideal images. Both methods were coupled with two different interative algorithms comprising Algebraic Reconstruction Technique (ART) with a non-negativity constraint and Maximum Likelihood Expectation Maximization (MLEM). The results demonstrated that the traditional method for edge voxel treatment can introduce significant error and that the real irregular edge voxel treatment method can improve the performance of TGS by obtaining better transmission reconstruction images. With the real irregular edge voxel treatment method, MLEM algorithm and ART algorithm can be comparable when assaying homogenous matrices, but MLEM algorithm is superior to ART algorithm when assaying heterogeneous matrices. Copyright © 2018 Elsevier Ltd. All rights reserved.

  7. Measurement of the vortex-core radius by scanning tunneling microscopy

    NARCIS (Netherlands)

    Hartmann, U.; Golubov, Alexandre Avraamovitch; Drechsler, T.; Kupriyanov, M. Yu; Heiden, C.

    1994-01-01

    Using a scanning tunneling microscope operated in a spectroscopic mode we imaged flux-line lattices in niobium diselenide at various external magnetic fields. From the evaluation of a large number of tunneling-current profiles taken across the individual vortices we deduced the dependence of the

  8. Frequency domain optical tomography using a conjugate gradient method without line search

    International Nuclear Information System (INIS)

    Kim, Hyun Keol; Charette, Andre

    2007-01-01

    A conjugate gradient method without line search (CGMWLS) is presented. This method is used to retrieve the local maps of absorption and scattering coefficients inside the tissue-like test medium, with the synthetic data. The forward problem is solved with a discrete-ordinates finite-difference method based on the frequency domain formulation of radiative transfer equation. The inversion results demonstrate that the CGMWLS can retrieve simultaneously the spatial distributions of optical properties inside the medium within a reasonable accuracy, by reducing cross-talk between absorption and scattering coefficients

  9. Algorithm-enabled partial-angular-scan configurations for dual-energy CT.

    Science.gov (United States)

    Chen, Buxin; Zhang, Zheng; Xia, Dan; Sidky, Emil Y; Pan, Xiaochuan

    2018-05-01

    We seek to investigate an optimization-based one-step method for image reconstruction that explicitly compensates for nonlinear spectral response (i.e., the beam-hardening effect) in dual-energy CT, to investigate the feasibility of the one-step method for enabling two dual-energy partial-angular-scan configurations, referred to as the short- and half-scan configurations, on standard CT scanners without involving additional hardware, and to investigate the potential of the short- and half-scan configurations in reducing imaging dose and scan time in a single-kVp-switch full-scan configuration in which two full rotations are made for collection of dual-energy data. We use the one-step method to reconstruct images directly from dual-energy data through solving a nonconvex optimization program that specifies the images to be reconstructed in dual-energy CT. Dual-energy full-scan data are generated from numerical phantoms and collected from physical phantoms with the standard single-kVp-switch full-scan configuration, whereas dual-energy short- and half-scan data are extracted from the corresponding full-scan data. Besides visual inspection and profile-plot comparison, the reconstructed images are analyzed also in quantitative studies based upon tasks of linear-attenuation-coefficient and material-concentration estimation and of material differentiation. Following the performance of a computer-simulation study to verify that the one-step method can reconstruct numerically accurately basis and monochromatic images of numerical phantoms, we reconstruct basis and monochromatic images by using the one-step method from real data of physical phantoms collected with the full-, short-, and half-scan configurations. Subjective inspection based upon visualization and profile-plot comparison reveals that monochromatic images, which are used often in practical applications, reconstructed from the full-, short-, and half-scan data are largely visually comparable except for some

  10. Line-feature-based calibration method of structured light plane parameters for robot hand-eye system

    Science.gov (United States)

    Qi, Yuhan; Jing, Fengshui; Tan, Min

    2013-03-01

    For monocular-structured light vision measurement, it is essential to calibrate the structured light plane parameters in addition to the camera intrinsic parameters. A line-feature-based calibration method of structured light plane parameters for a robot hand-eye system is proposed. Structured light stripes are selected as calibrating primitive elements, and the robot moves from one calibrating position to another with constraint in order that two misaligned stripe lines are generated. The images of stripe lines could then be captured by the camera fixed at the robot's end link. During calibration, the equations of two stripe lines in the camera coordinate system are calculated, and then the structured light plane could be determined. As the robot's motion may affect the effectiveness of calibration, so the robot's motion constraints are analyzed. A calibration experiment and two vision measurement experiments are implemented, and the results reveal that the calibration accuracy can meet the precision requirement of robot thick plate welding. Finally, analysis and discussion are provided to illustrate that the method has a high efficiency fit for industrial in-situ calibration.

  11. A Small Crack Length Evaluation Technique by Electronic Scanning

    International Nuclear Information System (INIS)

    Cho, Yong Sang; Kim, Jae Hoon

    2009-01-01

    The results of crack evaluation by conventional UT(Ultrasonic Test)is highly depend on the inspector's experience or knowledge of ultrasound. Phased array UT system and its application methods for small crack length evaluation will be a good alternative method which overcome present UT weakness. This study was aimed at checking the accuracy of crack length evaluation method by electronic scanning and discuss about characteristics of electronic scanning for crack length evaluation. Especially ultrasonic phased array with electronic scan technique was used in carrying out both sizing and detect ability of crack as its length changes. The response of ultrasonic phased array was analyzed to obtain the special method of determining crack length without moving the transducer and detectability of crack minimal length and depth from the material. A method of crack length determining by electronic scanning for the small crack is very real method which has it's accuracy and verify the effectiveness of method compared to a conventional crack length determination

  12. A Novel Method of Autonomous Inspection for Transmission Line based on Cable Inspection Robot LiDAR Data

    Directory of Open Access Journals (Sweden)

    Xinyan Qin

    2018-02-01

    Full Text Available With the growth of the national economy, there is increasing demand for electricity, which forces transmission line corridors to become structurally complicated and extend to complex environments (e.g., mountains, forests. It is a great challenge to inspect transmission line in these regions. To address these difficulties, a novel method of autonomous inspection for transmission line is proposed based on cable inspection robot (CIR LiDAR data, which mainly includes two steps: preliminary inspection and autonomous inspection. In preliminary inspection, the position and orientation system (POS data is used for original point cloud dividing, ground point filtering, and structured partition. A hierarchical classification strategy is established to identify the classes and positions of the abnormal points. In autonomous inspection, CIR can autonomously reach the specified points through inspection planning. These inspection targets are imaged with PTZ (pan, tilt, zoom cameras by coordinate transformation. The feasibility and effectiveness of the proposed method are verified by test site experiments and actual line experiments, respectively. The proposed method greatly reduces manpower and improves inspection accuracy, providing a theoretical basis for intelligent inspection of transmission lines in the future.

  13. Concept and development of measurement method of time sensitivity profile (TSP) in X-ray CT. Comparison of non-helical, single-slice helical, and multi-slice helical scans

    International Nuclear Information System (INIS)

    Tsujioka, Katsumi; Ida, Yoshihiro; Ohtsubo, Hironori; Takahashi, Yasukata; Niwa, Masayoshi

    2000-01-01

    We focused on the time element contained in a single CT image, and devised the concept of a time-sensitivity profile (TSP) describing how the time element is translated into an image. We calculated the data collection time range when the helical pitch is changed in non helical scans, single slice helical scans, and multi slice helical scans. We then calculated the time sensitivity profile (TSP) from the weighting applied when the data collection time range is translated into an image. TSP was also measured for each scanning method using our self-made moving phantom. TSPs obtained from the calculation and the experiments were very close. TSP showed interesting characteristics with each scanning method, especially in the case of multi slice helical scanning, in which TSP became shorter as helical pitch increased. We referred to the TSP's FWHM as the effective scanning time. When we conducted multi slice helical scanning at helical pitch 3, the effective scanning time increased to about 24% longer than that of a non helical scan. When we conducted multi slice helical scanning at helical pitch 5 or 6, the effective scanning time was about half that of a non helical scan. The time sensitivity profile (TSP) is a totally new concept that we consider an important element in discussing the time resolution of a CT scanner. The results of this review will provide significant data in determining the scanning parameters when scanning a moving object. (author)

  14. Study of redshifted H I from the epoch of reionization with drift scan

    Energy Technology Data Exchange (ETDEWEB)

    Paul, Sourabh; Sethi, Shiv K.; Subrahmanyan, Ravi; Shankar, N. Udaya; Dwarakanath, K. S.; Deshpande, Avinash A. [Raman Research Institute, Bangalore (India); Bernardi, Gianni [Square Kilometre Array South Africa (SKA SA), 3rd Floor, The Park, Park Road, Pinelands 7405 (South Africa); Bowman, Judd D. [Arizona State University, Tempe, AZ85281 (United States); Briggs, Frank; Gaensler, Bryan M. [ARC Centre of Excellence for All-sky Astrophysics (CAASTRO), 44 Rosehill Street, Redfern, NSW 2016 (Australia); Cappallo, Roger J.; Corey, Brian E.; Goeke, Robert F. [MIT Haystack Observatory, Westford, MA 01886 (United States); Emrich, David [Curtin University, Perth (Australia); Greenhill, Lincoln J.; Kasper, Justin C. [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States); Hazelton, Bryna J. [University of Washington, Seattle, WA 98195 (United States); Hewitt, Jacqueline N. [MIT Kavli Institute for Astrophysics and Space Research, 77 Massachusetts Avenue, 37-241, Cambridge, MA 02139 (United States); Johnston-Hollitt, Melanie [Victoria University of Wellington, P.O. Box 600, Wellington 6140 (New Zealand); Kaplan, David L., E-mail: sourabh@rri.res.in, E-mail: sethi@rri.res.in [University of Wisconsin-Milwaukee, Milwaukee, WI 53201 (United States); and others

    2014-09-20

    Detection of the epoch of reionization (EoR) in the redshifted 21 cm line is a challenging task. Here, we formulate the detection of the EoR signal using the drift scan strategy. This method potentially has better instrumental stability compared to the case where a single patch of sky is tracked. We demonstrate that the correlation time between measured visibilities could extend up to 1-2 hr for an interferometer array such as the Murchison Widefield Array, which has a wide primary beam. We estimate the EoR power based on a cross-correlation of visibilities over time and show that the drift scan strategy is capable of detecting the EoR signal with a signal to noise that is comparable/better compared to the tracking case. We also estimate the visibility correlation for a set of bright point sources and argue that the statistical inhomogeneity of bright point sources might allow their separation from the EoR signal.

  15. Diagnostic efficacy and pitfalls of a 64-raw multislice computed tomography scan for mild head injuries in children

    International Nuclear Information System (INIS)

    Matsumoto, Yoshihisa; Nawashiro, Hiroshi; Uozumi, Youichi

    2010-01-01

    The aim of this study is to analyze the usefulness of 64-raw multislice computed tomography (CT) scans and bone images of three-dimensional CT (3D-CT) scans for evaluation of mild head injuries in children. Thirteen children (9 boys and 4 girls, less than or equal to 15 years old) with mild head injury were included in the study. Head CT scans obtained within 24 hours after injury. All children had no episodes of loss of consciousness, amnesia, epilepsy, vomiting, and no neurological abnormality on arrival at hospital. We detected 9 positive findings on CT scans, which looked like fracture lines at the frontal bone in 7 cases. The bone images of CT axial views revealed a true fracture in one case in which a skull X-ray could not demonstrate a fracture line, but, other positive findings turned out to be a diploic vein surrounded by a thin bone cortex. All false positive findings were detected in the patients under the age of 6. By the 3D-reconstructive CT scan, it is easier to detect not only the intracranial lesions but also the cranial fracture. But, the diploic vein is apt to be misdiagnosed as the fracture line, especially in patients under the age of 6. (author)

  16. Novel optical scanning cryptography using Fresnel telescope imaging.

    Science.gov (United States)

    Yan, Aimin; Sun, Jianfeng; Hu, Zhijuan; Zhang, Jingtao; Liu, Liren

    2015-07-13

    We propose a new method called modified optical scanning cryptography using Fresnel telescope imaging technique for encryption and decryption of remote objects. An image or object can be optically encrypted on the fly by Fresnel telescope scanning system together with an encryption key. For image decryption, the encrypted signals are received and processed with an optical coherent heterodyne detection system. The proposed method has strong performance through use of secure Fresnel telescope scanning with orthogonal polarized beams and efficient all-optical information processing. The validity of the proposed method is demonstrated by numerical simulations and experimental results.

  17. A Lightweight Surface Reconstruction Method for Online 3D Scanning Point Cloud Data Oriented toward 3D Printing

    Directory of Open Access Journals (Sweden)

    Buyun Sheng

    2018-01-01

    Full Text Available The existing surface reconstruction algorithms currently reconstruct large amounts of mesh data. Consequently, many of these algorithms cannot meet the efficiency requirements of real-time data transmission in a web environment. This paper proposes a lightweight surface reconstruction method for online 3D scanned point cloud data oriented toward 3D printing. The proposed online lightweight surface reconstruction algorithm is composed of a point cloud update algorithm (PCU, a rapid iterative closest point algorithm (RICP, and an improved Poisson surface reconstruction algorithm (IPSR. The generated lightweight point cloud data are pretreated using an updating and rapid registration method. The Poisson surface reconstruction is also accomplished by a pretreatment to recompute the point cloud normal vectors; this approach is based on a least squares method, and the postprocessing of the PDE patch generation was based on biharmonic-like fourth-order PDEs, which effectively reduces the amount of reconstructed mesh data and improves the efficiency of the algorithm. This method was verified using an online personalized customization system that was developed with WebGL and oriented toward 3D printing. The experimental results indicate that this method can generate a lightweight 3D scanning mesh rapidly and efficiently in a web environment.

  18. Does high-power computed tomography scanning equipment affect the operation of pacemakers?

    International Nuclear Information System (INIS)

    Yamaji, Satoshi; Imai, Shinobu; Saito, Fumio; Yagi, Hiroshi; Kushiro, Toshio; Uchiyama, Takahisa

    2006-01-01

    Computed tomography (CT) is widely used in clinical practice, but there has not been a detailed report of its effect on the functioning of pacemakers. During CT, ECGs were recorded in 11 patients with pacemakers and the electromagnetic field in the CT room was also measured. The effect of CT on a pacemaker was also investigated in a human body model with and without shielding by rubber or lead. Transient malfunctions of pacemakers during CT occurred in 6 of 11 patients. The model showed that malfunctioning of the pacemaker was induced by CT scanning and this was prevented by lead but not by rubber. The alternating electrical field was 150 V/m on the CT scanning line, which was lower than the level influencing pacemaker functions. The alternating magnetic field was 15μT on the CT scanning line, which was also lower than the level influencing pacemaker functions. Malfunctions of the pacemaker during CT may be caused by diagnostic radiant rays and although they are transient, the possibility of lethal arrhythmia cannot be ignored. (author)

  19. Positive indium-III bone marrow scan in metastatic breast carcinoma. Case report

    International Nuclear Information System (INIS)

    LaManna, M.M.; Hyzinski, M.; Swami, V.K.; Parker, J.A.

    1984-01-01

    Indium is generally presumed to localize in the bone marrow within the erythroid cell line. Fibrosis, inflammation, lymphoma, extended field radiation, chemotherapy, or combinations of both treatment modalities generally depress the uptake of indium by the marrow in a complex fashion. We report a case of metastatic breast carcinoma and pancytopenia in which the In-111 scan appeared qualitatively similar to a Tc-99m MDP bone scan. Findings were confirmed by bone marrow biopsy

  20. Evaluation of Distal Femoral Rotational Alignment with Spiral CT Scan before Total Knee Arthroplasty (A Study in Iranian Population

    Directory of Open Access Journals (Sweden)

    Mahmoud Jabalameli

    2016-04-01

    Full Text Available Background: Evaluating the landmarks for rotation of the distal femur is a challenge for orthopedic surgeons. Although the posterior femoral condyle axis is a good landmark for surgeons, the surgical transepicondylar axis may be a better option with the help of preoperative CT scanning. The purpose of this study was to ascertain relationships among the axes’ guiding distal femur rotational alignment in preoperative CT scans of Iranian patients who were candidates for total knee arthroplasty and the effects of age, gender, and knee alignment on these relationships. Methods: One hundred and eight cases who were admitted to two university hospitals for total knee arthroplasty were included in this study. The rotation of the distal femur was evaluated using single axial CT images through the femoral epicondyle. Four lines were drawn digitally in this view: anatomical and surgical transepicondylar axes, posterior condylar axis and the Whiteside anteroposterior line. The alignment of the extremity was evaluated in the standing alignment view. Then the angles were measured along these lines and their relationship was evaluated. Results: The mean angle between the anatomical transepicondylar axis and posterior condylar axis and between the surgical transepicondylar axis and posterior condylar axis were 5.9 ± 1.6 degrees and 1.6±1.7 degrees respectively. The mean angle between the Whiteside’s anteroposterior line and the line perpendicular to the posterior condylar axis was 3.7±2.1 degrees. Significant differences existed between the two genders in these relationships. No significant correlation between the age of patients and angles of the distal femur was detected. The anatomical surgical transepicondylar axis was in 4.3 degrees external rotation in relation to the surgical transepicondylar axis. Conclusion: Preoperative CT scanning can help accurately determine rotational landmarks of the distal femur. If one of the reference axes cannot be

  1. A nonparametric spatial scan statistic for continuous data.

    Science.gov (United States)

    Jung, Inkyung; Cho, Ho Jin

    2015-10-20

    Spatial scan statistics are widely used for spatial cluster detection, and several parametric models exist. For continuous data, a normal-based scan statistic can be used. However, the performance of the model has not been fully evaluated for non-normal data. We propose a nonparametric spatial scan statistic based on the Wilcoxon rank-sum test statistic and compared the performance of the method with parametric models via a simulation study under various scenarios. The nonparametric method outperforms the normal-based scan statistic in terms of power and accuracy in almost all cases under consideration in the simulation study. The proposed nonparametric spatial scan statistic is therefore an excellent alternative to the normal model for continuous data and is especially useful for data following skewed or heavy-tailed distributions.

  2. A scanning tunneling microscope for a dilution refrigerator.

    Science.gov (United States)

    Marz, M; Goll, G; Löhneysen, H v

    2010-04-01

    We present the main features of a home-built scanning tunneling microscope that has been attached to the mixing chamber of a dilution refrigerator. It allows scanning tunneling microscopy and spectroscopy measurements down to the base temperature of the cryostat, T approximately 30 mK, and in applied magnetic fields up to 13 T. The topography of both highly ordered pyrolytic graphite and the dichalcogenide superconductor NbSe(2) has been imaged with atomic resolution down to T approximately 50 mK as determined from a resistance thermometer adjacent to the sample. As a test for a successful operation in magnetic fields, the flux-line lattice of superconducting NbSe(2) in low magnetic fields has been studied. The lattice constant of the Abrikosov lattice shows the expected field dependence proportional to 1/square root of B and measurements in the scanning tunneling spectroscopy mode clearly show the superconductive density of states with Andreev bound states in the vortex core.

  3. Fluence scan: an unexplored property of a laser beam

    International Nuclear Information System (INIS)

    Chalupsky, Jaromir; Hajkova, Vera; Burian, Tomas; Juha, Libor; Polcar, Tomas; Gaudin, Jerome; Nagasono, Mitsuru; Yabashi, Makina; Sobierajski, Ryszard; Krzywinski, Jacek

    2013-01-01

    We present an extended theoretical background of so-called fluence scan (f-scan or F-scan) method, which is frequently being used for offline characterization of focused short-wavelength (EUV, soft X-ray, and hard X-ray) laser beams [J. Chalupsky et al., Opt. Express 18, 27836 (2010)]. The method exploits ablative imprints in various solids to visualize iso-fluence beam contours at different fluence and/or clip levels. An f-scan curve (clip level as a function of the corresponding iso-fluence contour area) can be generated for a general non-Gaussian beam. As shown in this paper, fluence scan encompasses important information about energy distribution within the beam profile, which may play an essential role in laser-matter interaction research employing intense non-ideal beams. Here we for the first time discuss fundamental properties of the f-scan function and its inverse counterpart (if-scan). Furthermore, we extensively elucidate how it is related to the effective beam area, energy distribution, and to the so called Liu's dependence [J.M. Liu, Opt. Lett. 7, 196 (1982)]. A new method of the effective area evaluation based on weighted inverse f-scan fit is introduced and applied to real data obtained at the SCSS (SPring-8 Compact SASE Source) facility. (authors)

  4. On-line measurement with automatic emulsion analysis system and off-line data processing (E531 neutrino experiment)

    International Nuclear Information System (INIS)

    Miyanishi, Motoaki

    1984-01-01

    The automatic emulsion analysis system developed by Nagoya cosmic ray observation group was practically used for the experiment (FNAL-E531) on determining the lifetime of charm particles for the first time in the world, and achieved a great successful result. The system consists of four large precise coordinate-measuring stages capable of conducting simultaneous measurement and multiple (currently four) DOMS (digitized on-line microscope), supported with one mini-computer (ECLIPS S/130). The purpose of E531 experiment was the determination of charm particle lifetime. The experiment was carried out at FNAL, USA, and by the irradiation of wide band ν sub(μ) beam equivalent to 7 x 10 18 of 350 GeV/c protons. The detector was a hybrid system of emulsions and a counter spectrometer. The scan of neutrino reaction, the scan of charm particles, and charm event measurement were analyzed in emulsions, and the on-line programs for-respective analyses were created. Nagoya group has found 726 neutrino reactions in the first run, obtained 37 charm particle candidates, and found 1442 neutrino reactions in the second run, and obtained 56 charm particle candidates. The capability of the automatic emulsion analysis system in terms of the time equired for analysis is in total 3.5 hours per event; 15 minutes for C.S. scan, 15 minutes for coupling to module, 20 minutes for tracing to vertex, 1 hour for neutrino reaction measurement, 10 minutes for offline data processing and 1.5 hours for charm particle scanning. (Wakatsuki, Y.)

  5. The validity of ultrasonographic scanning as screening method for abdominal aortic aneurysm

    DEFF Research Database (Denmark)

    Lindholt, Jes Sanddal; Vammen, Sten; Juul, Søren

    1999-01-01

    the sensitivity and specificity of screening for abdominal aortic aneurysms (AAAs) with ultrasonographic scanning (US) is unknown. The aim of the study was to validate US as screening test for AAAs.......the sensitivity and specificity of screening for abdominal aortic aneurysms (AAAs) with ultrasonographic scanning (US) is unknown. The aim of the study was to validate US as screening test for AAAs....

  6. Advantages and Disadvantages of Possible Methods of Managing the Production on the Assembly Lines in the Given Company

    OpenAIRE

    Václavková, Eva

    2014-01-01

    The bachelor thesis deals with methods of lean management, more exactly production planning and managing the production lines assembly. In this thesis, production planning system, kanban cards and heijunka will be described. The aim of this thesis is to describe methods of managing the production lines assembly and to suggest more effective management.

  7. Body composition estimation from selected slices: equations computed from a new semi-automatic thresholding method developed on whole-body CT scans

    Directory of Open Access Journals (Sweden)

    Alizé Lacoste Jeanson

    2017-05-01

    Full Text Available Background Estimating volumes and masses of total body components is important for the study and treatment monitoring of nutrition and nutrition-related disorders, cancer, joint replacement, energy-expenditure and exercise physiology. While several equations have been offered for estimating total body components from MRI slices, no reliable and tested method exists for CT scans. For the first time, body composition data was derived from 41 high-resolution whole-body CT scans. From these data, we defined equations for estimating volumes and masses of total body AT and LT from corresponding tissue areas measured in selected CT scan slices. Methods We present a new semi-automatic approach to defining the density cutoff between adipose tissue (AT and lean tissue (LT in such material. An intra-class correlation coefficient (ICC was used to validate the method. The equations for estimating the whole-body composition volume and mass from areas measured in selected slices were modeled with ordinary least squares (OLS linear regressions and support vector machine regression (SVMR. Results and Discussion The best predictive equation for total body AT volume was based on the AT area of a single slice located between the 4th and 5th lumbar vertebrae (L4-L5 and produced lower prediction errors (|PE| = 1.86 liters, %PE = 8.77 than previous equations also based on CT scans. The LT area of the mid-thigh provided the lowest prediction errors (|PE| = 2.52 liters, %PE = 7.08 for estimating whole-body LT volume. We also present equations to predict total body AT and LT masses from a slice located at L4-L5 that resulted in reduced error compared with the previously published equations based on CT scans. The multislice SVMR predictor gave the theoretical upper limit for prediction precision of volumes and cross-validated the results.

  8. Automatic scanning of Cerenkov light photograms from a multistep avalanche chamber using a television digitizer

    International Nuclear Information System (INIS)

    Vascon, M.; Zanella, G.

    1980-01-01

    A television digitizer and its application to automatic scanning of Cerenkov imaging using the multistep avalanche chamber in front of optical spark chamber are described. The results are of interest in the adoption of the automatic scanning of photographic plates of these events or for the on-line application of the television digitizer itself. (orig.)

  9. MIMIC: An Innovative Methodology for Determining Mobile Laser Scanning System Point Density

    Directory of Open Access Journals (Sweden)

    Conor Cahalane

    2014-08-01

    Full Text Available Understanding how various Mobile Mapping System (MMS laser hardware configurations and operating parameters exercise different influence on point density is important for assessing system performance, which in turn facilitates system design and MMS benchmarking. Point density also influences data processing, as objects that can be recognised using automated algorithms generally require a minimum point density. Although obtaining the necessary point density impacts on hardware costs, survey time and data storage requirements, a method for accurately and rapidly assessing MMS performance is lacking for generic MMSs. We have developed a method for quantifying point clouds collected by an MMS with respect to known objects at specified distances using 3D surface normals, 2D geometric formulae and line drawing algorithms. These algorithms were combined in a system called the Mobile Mapping Point Density Calculator (MIMIC and were validated using point clouds captured by both a single scanner and a dual scanner MMS. Results from MIMIC were promising: when considering the number of scan profiles striking the target, the average error equated to less than 1 point per scan profile. These tests highlight that MIMIC is capable of accurately calculating point density for both single and dual scanner MMSs.

  10. Transverse scan-field imaging apparatus

    International Nuclear Information System (INIS)

    Lyons, F.T.

    1978-01-01

    A description is given of an array of opposed pairs of radiation detectors which could be used in tomography or scintiscanning. The opposed detectors scan in opposite tangential directions in a pre-programmed fashion. The associated control system receives the detector outputs into a buffer store and also provides an address for each element of information detected. The addresses are such that information from one buffer store is read into the RAM of a central processing unit in the opposite direction to that from the store associated with the opposite detector, thus effectively reversing the scan direction of one detector of each pair. Also described are the detectors themselves with focussed collimators, the scan drive mechanism, and the method of calculating radioactive emission intensity at discrete points throughout the scan-field. (author)

  11. Column gamma-ray scanning of the 'Hector Molina' Distillery

    International Nuclear Information System (INIS)

    Derivet Zarzabal, M.; Capote Ferrera, E.; Fernandez Gomez, I.; Carrazana Gonzalez, L.; Borroto Portela, J.

    2015-01-01

    Gamma-ray scanning, often referred to as 'column scanning', is a convenient, cost effective, fast, efficient and non-invasive technique to examine internal characteristics of a certain equipment, like alcohol distillation columns, while it is in operation. Column scanning allows to engineers, to study hydraulics tray inside of distillation column in on-line condition. It provides essential data to optimize the performance of columns, extend column run times, to evaluate effects of defective track and to identify maintenance requirements. This knowledge can reduce repair times significantly. In the year 2014, the Environmental Radiological Surveillance Laboratory from Center of Radiation Protection and Hygiene, introduced this service in the 'Hector Molina' Distillery. The diagnosis carried out allowed the detection of some anomalies in its operation. In this work the results obtained during gamma-ray scanning of the column are shown. (Author)

  12. Measuring the quality of infection control in Dutch nursing homes using a standardized method; the Infection prevention RIsk Scan (IRIS)

    NARCIS (Netherlands)

    Willemsen, I.; Nelson-Melching, J.; Hendriks, Y.; Mulders, A.; Verhoeff, S.; Kluytmans-Vandenbergh, M.; Kluytmans, J.

    2014-01-01

    BACKGROUND: We developed a standardised method to assess the quality of infection control in Dutch Nursing Home (NH), based on a cross-sectional survey that visualises the results. The method was called the Infection control RIsk Infection Scan (IRIS). We tested the applicability of this new tool in

  13. Osmotic blood-brain barrier modification: clinical documentation by enhanced CT scanning and/or radionuclide brain scanning

    International Nuclear Information System (INIS)

    Neuwelt, E.A.; Specht, H.D.; Howieson, J.; Haines, J.E.; Bennett, M.J.; Hill, S.A.; Frenkel, E.P.

    1983-01-01

    Results of initial clinical trials of brain tumor chemotherapy after osmotic blood-brain barrier disruption are promising. In general, the procedure is well tolerated. The major complication has been seizures. In this report, data are presented which indicate that the etiology of these seizures is related to the use of contrast agent (meglumine iothalamate) to monitor barrier modification. A series of 19 patients underwent a total of 85 barrier modification procedures. Documentation of barrier disruption was monitored by contrast-enhanced computed tomographic (CT) scanning, radionuclide brain scanning, or a combination of both techniques. In 56 procedures (19 patients) monitored by enhanced CT, seizures occurred a total of 10 times in eight patients. Twenty-three barrier modification procedures (in nine of these 19 patients) documented by nuclear brain scans alone, however, resulted in only one focal motor seizure in each of two patients. In eight of the 19 patients who had seizures after barrier disruption and enhanced CT scan, four subsequently had repeat procedures monitored by radionuclide scan alone. In only one of these patients was further seizure activity noted; a single focal motor seizure was observed. Clearly, the radionuclide brain scan does not have the sensitivity and spatial resolution of enhanced CT, but at present it appears safer to monitor barrier modification by this method and to follow tumor growth between barrier modifications by enhanced CT. Four illustrative cases showing methods, problems, and promising results are presented

  14. Design and construction of a fine drive system for scanning optical elements

    Science.gov (United States)

    Golnabi, H.; Jafari, R.

    2008-09-01

    The design and operation of a simple mechanical drive system, which is able to perform a fine course of angular motion, are reported. The system consists of a lead screw, a drive nut, sine bar legs, and an output shaft that can scan the optical holder mount. With a stepper motor coupled to the lead screw and interfaced to a PC, it is possible to control the scanning operation. When a 800 step/turn motor is used, it is possible to have an angular resolution of about 0.5 mdegree for a dynamic range of about 23°. The reproducibility of the results is about 0.22% for the scan angle and the hysteresis effect of the system is in the range of 1.71%. For a total scan of 51,200 steps, a scan angle of about 23.3° is acheived. The fitted line to the experimental results shows that scan angle changes linearly with the scan length. With good precision in system construction and careful alignment, the overall nonlinearity can be less than 1%.

  15. Line-Enhanced Deformable Registration of Pulmonary Computed Tomography Images Before and After Radiation Therapy With Radiation-Induced Fibrosis

    Science.gov (United States)

    Sensakovic, William F.; Maxim, Peter; Diehn, Maximilian; Loo, Billy W.; Xing, Lei

    2018-01-01

    Purpose: The deformable registration of pulmonary computed tomography images before and after radiation therapy is challenging due to anatomic changes from radiation fibrosis. We hypothesize that a line-enhanced registration algorithm can reduce landmark error over the entire lung, including the irradiated regions, when compared to an intensity-based deformable registration algorithm. Materials: Two intensity-based B-spline deformable registration algorithms of pre-radiation therapy and post-radiation therapy images were compared. The first was a control intensity–based algorithm that utilized computed tomography images without modification. The second was a line enhancement algorithm that incorporated a Hessian-based line enhancement filter prior to deformable image registration. Registrations were evaluated based on the landmark error between user-identified landmark pairs and the overlap ratio. Results: Twenty-one patients with pre-radiation therapy and post-radiation therapy scans were included. The median time interval between scans was 1.2 years (range: 0.3-3.3 years). Median landmark errors for the line enhancement algorithm were significantly lower than those for the control algorithm over the entire lung (1.67 vs 1.83 mm; P 5 Gy (2.25 vs 3.31; P 5 Gy dose interval demonstrated a significant inverse relationship with post-radiation therapy fibrosis enhancement after line enhancement filtration (Pearson correlation coefficient = −0.48; P = .03). Conclusion: The line enhancement registration algorithm is a promising method for registering images before and after radiation therapy. PMID:29343206

  16. Simultaneous emission and transmission scanning in positron emission tomography

    International Nuclear Information System (INIS)

    Satoh, Tomohiko; Tanaka, Kazumi; Kitamura, Keishi; Amano, Masaharu; Miura, Shuichi

    2001-01-01

    Examination by PET (positron emission tomography) scanning, following the dosage of 2-deoxy- 18 F fluoro-D-glucose (FDG), is positively utilized for the diagnosis of cancers, rather than for the purpose of studies. This is because the examination by FDG-PET (PET scanning following the dosage of FDG) ensures higher efficiency in discrimination of cancers, than conventional CT and PET. The method of whole body scanning by PET scanning following the dosage of FDG is effectively utilized not only for discrimination cancers, but also for determining the degree of malignancy of tumors and evaluating the methods of treatment of cancers. In conventional methods for examining the degree of malignancy of tumors and evaluating the methods of cancer treatment, it is necessary to correct for the gamma-ray attenuation, which requires a longer time for examination, increasing the physical and psychological pains of the patients. We have installed the simultaneous emission and transmission scanning capability into the HEADTOME-V of the Shimadzu SET-2000W Series positron emission tomographic scanning instruments, to establish an instrument that permits FDG-PET whole body scanning in actual clinical fields, with minimized physical and psychological pains of patients concerned, yet ensuring an outstandingly high examination efficiency. This report also presents some data obtained by this newly developed instrument and those obtained in practical applications. (author)

  17. Microstructural and Z-scan measurement of silver nanoparticles

    International Nuclear Information System (INIS)

    Sivakami, R.; Dhanuskodi, S.

    2015-01-01

    Graphical abstract: - Highlights: • Novel Ag nanoparticles were prepared by hydrothermal method. • The modified forms of W-H analysis of Ag nanoparticles are reported first time. • Nonlinear optical (NLO) properties of Ag nanoflowers are reported and high nonlinearity was obtained. - Abstract: Silver nanoflowers were synthesized by the hydrothermal route. Formation of Ag nanoparticles is confirmed from the UV–vis spectrum where the surface plasmon absorption maxima are observed at 415–454 nm. FE-SEM and TEM images revealed the formation of silver nanoflowers and the flower-like silver nanostructures are estimated using transmission electron microscopy. XRD confirms that the synthesized silver is highly crystalline with face centered cubic structure. The X-ray line broadening is studied by the modified forms of Williamson–Hall analysis. The Z-scan results reveal that the flower-like silver nanostructures exhibit the nonlinear susceptilibility as 1.14 × 10 −5 esu

  18. Multi-line split DNA synthesis: a novel combinatorial method to make high quality peptide libraries

    Directory of Open Access Journals (Sweden)

    Ueno Shingo

    2004-09-01

    Full Text Available Abstract Background We developed a method to make a various high quality random peptide libraries for evolutionary protein engineering based on a combinatorial DNA synthesis. Results A split synthesis in codon units was performed with mixtures of bases optimally designed by using a Genetic Algorithm program. It required only standard DNA synthetic reagents and standard DNA synthesizers in three lines. This multi-line split DNA synthesis (MLSDS is simply realized by adding a mix-and-split process to normal DNA synthesis protocol. Superiority of MLSDS method over other methods was shown. We demonstrated the synthesis of oligonucleotide libraries with 1016 diversity, and the construction of a library with random sequence coding 120 amino acids containing few stop codons. Conclusions Owing to the flexibility of the MLSDS method, it will be able to design various "rational" libraries by using bioinformatics databases.

  19. Evolution of longshore beach contour lines determined by E.O.F. method

    Directory of Open Access Journals (Sweden)

    Juan J. Muñoz-Pérez

    2001-12-01

    Full Text Available Detailed topo-bathymetric levellings were performed biannually for four years at Victoria Beach (Cadiz, Spain after a beach renourishment carried out in Spring 1991. The subsequent time series were analysed using the Empirical Orthogonal Functions (EOF method. The evolution of some characteristic longshore contour lines, such as the Highest High Water Level and the Lowest Low Water Level, is studied. The mean coastal line is related to the first spatial EOF mode. Furthermore, an objective criterion for distinguishing between a generalised recession and cyclic accretion-erosion processes due to seasonal sea-swell changes is described, and a uniformly clockwise turn of the shoreline to minimise longshore transport is identified.

  20. Study on Scattered Data Points Interpolation Method Based on Multi-line Structured Light

    International Nuclear Information System (INIS)

    Fan, J Y; Wang, F G; W, Y; Zhang, Y L

    2006-01-01

    Aiming at the range image obtained through multi-line structured light, a regional interpolation method is put forward in this paper. This method divides interpolation into two parts according to the memory format of the scattered data, one is interpolation of the data on the stripes, and the other is interpolation of data between the stripes. Trend interpolation method is applied to the data on the stripes, and Gauss wavelet interpolation method is applied to the data between the stripes. Experiments prove regional interpolation method feasible and practical, and it also promotes the speed and precision

  1. Scan time reduction in {sup 23}Na-Magnetic Resonance Imaging using the chemical shift imaging sequence. Evaluation of an iterative reconstruction method

    Energy Technology Data Exchange (ETDEWEB)

    Weingaertner, Sebastian; Konstandin, Simon; Schad, Lothar R. [Heidelberg Univ., Mannheim (Germany). Computer Assisted Clinical Medicine; Wetterling, Friedrich [Heidelberg Univ., Mannheim (Germany). Computer Assisted Clinical Medicine; Dublin Univ. (Ireland) Trinity Inst. of Neuroscience; Fatar, Marc [Heidelberg Univ., Mannheim (Germany). Dept. of Neurology; Neumaier-Probst, Eva [Heidelberg Univ., Mannheim (Germany). Dept. of Neuroradiology

    2015-07-01

    To evaluate potential scan time reduction in {sup 23}Na-Magnetic Resonance Imaging with the chemical shift imaging sequence (CSI) using undersampled data of high-quality datasets, reconstructed with an iterative constrained reconstruction, compared to reduced resolution or reduced signal-to-noise ratio. CSI {sup 23}Na-images were retrospectively undersampled and reconstructed with a constrained reconstruction scheme. The results were compared to conventional methods of scan time reduction. The constrained reconstruction scheme used a phase constraint and a finite object support, which was extracted from a spatially registered {sup 1}H-image acquired with a double-tuned coil. The methods were evaluated using numerical simulations, phantom images and in-vivo images of a healthy volunteer and a patient who suffered from cerebral ischemic stroke. The constrained reconstruction scheme showed improved image quality compared to a decreased number of averages, images with decreased resolution or circular undersampling with weighted averaging for any undersampling factor. Brain images of a stroke patient, which were reconstructed from three-fold undersampled k-space data, resulted in only minor differences from the original image (normalized root means square error < 12%) and an almost identical delineation of the stroke region (mismatch < 6%). The acquisition of undersampled {sup 23}Na-CSI images enables up to three-fold scan time reduction with improved image quality compared to conventional methods of scan time saving.

  2. Surface topography acquisition method for double-sided near-right-angle structured surfaces based on dual-probe wavelength scanning interferometry.

    Science.gov (United States)

    Zhang, Tao; Gao, Feng; Jiang, Xiangqian

    2017-10-02

    This paper proposes an approach to measure double-sided near-right-angle structured surfaces based on dual-probe wavelength scanning interferometry (DPWSI). The principle and mathematical model is discussed and the measurement system is calibrated with a combination of standard step-height samples for both probes vertical calibrations and a specially designed calibration artefact for building up the space coordinate relationship of the dual-probe measurement system. The topography of the specially designed artefact is acquired by combining the measurement results with white light scanning interferometer (WLSI) and scanning electron microscope (SEM) for reference. The relative location of the two probes is then determined with 3D registration algorithm. Experimental validation of the approach is provided and the results show that the method is able to measure double-sided near-right-angle structured surfaces with nanometer vertical resolution and micrometer lateral resolution.

  3. Shielding Effectiveness Analysis and Modification of the Coupling Effect Transmission Line Method on Cavities with Multi-Sided Apertures

    Directory of Open Access Journals (Sweden)

    Tao Hu

    2018-04-01

    Full Text Available Because the traditional transmission line method treats electromagnetic waves as excitation sources and the cavity as a rectangular waveguide whose terminal is shorted, the transmission line method can only calculate shielding effectiveness in the center line of the cavity with apertures on one side. In this paper, the aperture coupling effect of different sides was analyzed based on vector analysis. According to the field intensity distribution of different transport modes in the rectangular waveguide, the calculation model of cavity shielding effectiveness in any position is proposed, which can solve the question of the calculation model of shielding effectiveness in any position in the traditional method of equivalent transmission methods. Further expansion of the equivalent transmission lines model is adopted to study the shielding effectiveness of different aperture cavities, and the coupling effect rule of the incident angle, the number of apertures, and the size of the cavity is obtained, which can provide the technical support for the design of electromagnetic shielding cavities for electronic equipment.

  4. Are environmental scanning units effective?

    Science.gov (United States)

    Stubbart, C

    1982-06-01

    Many authorities have urged companies to set up environmental scanning to assist corporate planning. Some advocates have recommended a unit at corporate level. This would give breadth of view and penetration into the future. It would arm decision makers with accurate forecasts. The information would be broad in scope and future directed. It could provide also assumptions for long-range planning. The Fahey and King study produced a model of corporate scanning types. The data showed that environmental information was built into the plan. Though the political environment was important, scanning was inadequate. The best location for scanning was not at corporate level and most firms used irregular methods. The Thomas study concluded that effective environmental scanning was permanent and multi level and that 'best practice' was continuous scanning. In 1978 the sample organizations were revisited. Five of the twelve have not changed their practice. The factors which encouraged a continuous model were the attitudes of academics and business media, demonstrated success of the units, the right kind of personnel. Contrary influences were changes in top management, decentralization moves, resource cuts, defining the environment and its significance, the availability of scanning competent personnel, surprise itself, and the availability of alternatives e.g. external forecasts.

  5. Singularity Processing Method of Microstrip Line Edge Based on LOD-FDTD

    Directory of Open Access Journals (Sweden)

    Lei Li

    2014-01-01

    Full Text Available In order to improve the performance of the accuracy and efficiency for analyzing the microstrip structure, a singularity processing method is proposed theoretically and experimentally based on the fundamental locally one-dimensional finite difference time domain (LOD-FDTD with second-order temporal accuracy (denoted as FLOD2-FDTD. The proposed method can highly improve the performance of the FLOD2-FDTD even when the conductor is embedded into more than half of the cell by the coordinate transformation. The experimental results showed that the proposed method can achieve higher accuracy when the time step size is less than or equal to 5 times of that the Courant-Friedrich-Levy (CFL condition allowed. In comparison with the previously reported methods, the proposed method for calculating electromagnetic field near microstrip line edge not only improves the efficiency, but also can provide a higher accuracy.

  6. Determining the resolution of scanning microwave impedance microscopy using atomic-precision buried donor structures

    Science.gov (United States)

    Scrymgeour, D. A.; Baca, A.; Fishgrab, K.; Simonson, R. J.; Marshall, M.; Bussmann, E.; Nakakura, C. Y.; Anderson, M.; Misra, S.

    2017-11-01

    To quantify the resolution limits of scanning microwave impedance microscopy (sMIM), we created scanning tunneling microscope (STM)-patterned donor nanostructures in silicon composed of 10 nm lines of highly conductive silicon buried under a protective top cap of silicon, and imaged them with sMIM. This dopant pattern is an ideal test of the resolution and sensitivity of the sMIM technique, as it is made with nm-resolution and offers minimal complications from topography convolution. It has been determined that typical sMIM tips can resolve lines down to ∼80 nm spacing, while resolution is independent of tip geometry as extreme tip wear does not change the resolving power, contrary to traditional scanning capacitance microscopy (SCM). Going forward, sMIM is an ideal technique for qualifying buried patterned devices, potentially allowing for quantitative post-fabrication characterization of donor structures, which may be an important tool for the study of atomic-scale transistors and state of the art quantum computation schemes.

  7. Line facilities outline

    International Nuclear Information System (INIS)

    1998-08-01

    This book deals with line facilities. The contents of this book are outline line of wire telecommunication ; development of line, classification of section of line and theory of transmission of line, cable line ; structure of line, line of cable in town, line out of town, domestic cable and other lines, Optical communication ; line of optical cable, transmission method, measurement of optical communication and cable of the sea bottom, Equipment of telecommunication line ; telecommunication line facilities and telecommunication of public works, construction of cable line and maintenance and Regulation of line equipment ; regulation on technique, construction and maintenance.

  8. Turbulence estimation from a continuous-wave scanning lidar (SpinnerLidar)

    DEFF Research Database (Denmark)

    Barnhoorn, J.G.; Sjöholm, Mikael; Mikkelsen, Torben Krogh

    2017-01-01

    out, and 2) the mixing of velocity covariances from other components into the line-of-sight variance measurements. However, turbulence measurements based on upwind horizontal rotor plane scanning of the line-of-sight variance measurements combined with ensemble-averaged Doppler spectra width...... deviations averaged over 10-min sampling periods are compared. Lidar variances are inherently more prone to noise which always yields a positive bias. The 5.3 % higher turbulence level measured by the SpinnerLidar relative to the cup anemometer may equally well be attributed to truncation of turbulent...

  9. Scan posture definition and hip girth measurement: the impact on clothing design and body scanning.

    Science.gov (United States)

    Gill, Simeon; Parker, Christopher J

    2017-08-01

    Ergonomic measurement is central to product design and development; especially for body worn products and clothing. However, there is a large variation in measurement definitions, complicated by new body scanning technology that captures measurements in a posture different to traditional manual methods. Investigations of hip measurement definitions in current clothing measurement practices supports analysis of the effect of scan posture and hip measurement definition on the circumferences of the hip. Here, the hip girth is a key clothing measurement that is not defined in current body scanning measurement standards. Sixty-four participants were scanned in the standard scan posture of a [TC] 2 body scanner, and also in a natural posture similar to that of traditional manual measurement collection. Results indicate that scan posture affects hip girth circumferences, and that some current clothing measurement practices may not define the largest lower body circumference. Recommendations are made concerning how the hip is defined in measurement practice and within body scanning for clothing product development. Practitioner Summary: The hip girth is an important measurement in garment design, yet its measurement protocol is not currently defined. We demonstrate that body posture during body scanning affects hip circumferences, and that current clothing measurement practices may not define the largest lower body circumference. This paper also provides future measurement practice recommendations.

  10. An electron moiré method for a common SEM

    Institute of Scientific and Technical Information of China (English)

    Y.M.Xing; S.Kishimoto; Y.R.Zhao

    2006-01-01

    In the electron moiré method,a high-frequency grating is used to measure microscopic deformation,which promises significant potential applications for the method in the microscopic analysis of materials.However,a special beam scanning control device is required to produce a grating and generate a moiré fringe pattern for the scanning electron microscope (SEM).Because only a few SEMs used in the material science studies are equipped with this device,the use of the electron moiré method is limited.In this study,an electron moiré method for a common SEM without the beam control device is presented.A grating based on a multi-scanning concept is fabricated in any observing mode.A real-time moiré pattern can also be generated in the SEM or an optical filtering system.Without the beam control device being a prerequisite,the electron moiré method can be more widely used.The experimental results from three different types of SEMS show that high quality gratings with uniform lines and less pitch error can be fabricated by this method,and moiré patterns can also be correctly generated.

  11. Automated segmentation of tumors on bone scans using anatomy-specific thresholding

    Science.gov (United States)

    Chu, Gregory H.; Lo, Pechin; Kim, Hyun J.; Lu, Peiyun; Ramakrishna, Bharath; Gjertson, David; Poon, Cheryce; Auerbach, Martin; Goldin, Jonathan; Brown, Matthew S.

    2012-03-01

    Quantification of overall tumor area on bone scans may be a potential biomarker for treatment response assessment and has, to date, not been investigated. Segmentation of bone metastases on bone scans is a fundamental step for this response marker. In this paper, we propose a fully automated computerized method for the segmentation of bone metastases on bone scans, taking into account characteristics of different anatomic regions. A scan is first segmented into anatomic regions via an atlas-based segmentation procedure, which involves non-rigidly registering a labeled atlas scan to the patient scan. Next, an intensity normalization method is applied to account for varying levels of radiotracer dosing levels and scan timing. Lastly, lesions are segmented via anatomic regionspecific intensity thresholding. Thresholds are chosen by receiver operating characteristic (ROC) curve analysis against manual contouring by board certified nuclear medicine physicians. A leave-one-out cross validation of our method on a set of 39 bone scans with metastases marked by 2 board-certified nuclear medicine physicians yielded a median sensitivity of 95.5%, and specificity of 93.9%. Our method was compared with a global intensity thresholding method. The results show a comparable sensitivity and significantly improved overall specificity, with a p-value of 0.0069.

  12. Evaluation of normal brain CT scan in Korean

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Y. H.; Suh, J. H.; Park, C. Y. [Yonsei University College of Medicine, Seoul (Korea, Republic of)

    1981-06-15

    The safety of procedure combined with wealth of information have resulted in rapid acceptance of CT as a practical and reliable neurodignostic technique. To understand the alternation in tissue density and associated displacement and distortion caused by pathologic lesion in CT, it is necessary to have clear understanding of normal anatomical landmark. For the purpose of establishing normative criteria in Korean for comparative diagnosis, 500 normal CT scan without neurologic sign were studied. The brief results are follows; 1. Among many ventricular index measured for lateral ventricle, the bifrontal CVI is more sensitive and easily determined. Ventricular size increased with age, especially after 6th decade but no difference with sex. 2. Mean width of third ventricle is 5 mm ({+-} 0.3), more wider in male (male; 5.2 mm, female; 4.5 mm) and increased with age. 2. Mean width of fourth ventricle is 13 mm ({+-} 1.3), without difference in age and sex. The shape of ventricle is variable form of triangle. The position of ventricle relative to Twining's line can be determined, so ratio of distance from tuberculum sellae to center of fourth ventricle to Twining's line is between 0.46-0.52. 4. Suprasellar cistern is visible in 89.7% of scan and shape of cistern is found to be pentagonal (73.3%), hexagonal (23.0%) and tetragonal (3.7%). 5. Choroid plexus can be identified in 90% and asymmetrical in 4%. 45% of identified choroid plexus can be visible on scan without contrast enhancement, and more frequently visible in older age.

  13. Evaluation of normal brain CT scan in Koreans

    International Nuclear Information System (INIS)

    Lee, Y. H.; Suh, J. H.; Park, C. Y.

    1981-01-01

    The safety of procedure combined with wealth of information have resulted in rapid acceptance of CT as a practical and reliable neurodiagnostic technique. To understand the alternation in tissue density and associated displacement and distortion caused by pathologic lesion in CT, it is necessary to have clear understanding of normal anatomical landmark. For the purpose of establishing normartive criteria in Koreans for comparative diagnosis, 500 normal CT scan without neurologic sign were studied. The brief results are follows; 1. Among many ventricular index measured for lateral ventricle, the bifrontal CVI is more sensitive and easily determined. Ventricular size increased with age, especially after 6th decade but no difference with sex. 2. Mean width of third ventricle is 5mm( ± 0.3), more wider in male (male; 5.2mm, female; 4.5mm) and increased with age. 3. Mean width of fourth ventricle is 13mm( ± 1.3), without difference in age and sex. The shape of ventricle is variable form of triangle. The position of ventricle relative to Twining's line can be determined, so ratio of distance from tuberculum sellae to center of fourth ventricle to Twining's line is between 0.46-0.52. 4. Suprasellar cistern is visible in 89.7% of scan and shape of cistern is found to be pentagonal (73.3%), hexagonal (23.0%) and tetragonal (3.7%). 5. Choroid plexus can be identified in 90% and asymetrical in 4%. 45% of identified choroid plexus can be visible on scan without contrast enhancement, and more frequently visible in older age

  14. Evaluation of normal brain CT scan in Korean

    International Nuclear Information System (INIS)

    Lee, Y. H.; Suh, J. H.; Park, C. Y.

    1981-01-01

    The safety of procedure combined with wealth of information have resulted in rapid acceptance of CT as a practical and reliable neurodignostic technique. To understand the alternation in tissue density and associated displacement and distortion caused by pathologic lesion in CT, it is necessary to have clear understanding of normal anatomical landmark. For the purpose of establishing normative criteria in Korean for comparative diagnosis, 500 normal CT scan without neurologic sign were studied. The brief results are follows; 1. Among many ventricular index measured for lateral ventricle, the bifrontal CVI is more sensitive and easily determined. Ventricular size increased with age, especially after 6th decade but no difference with sex. 2. Mean width of third ventricle is 5 mm (± 0.3), more wider in male (male; 5.2 mm, female; 4.5 mm) and increased with age. 2. Mean width of fourth ventricle is 13 mm (± 1.3), without difference in age and sex. The shape of ventricle is variable form of triangle. The position of ventricle relative to Twining's line can be determined, so ratio of distance from tuberculum sellae to center of fourth ventricle to Twining's line is between 0.46-0.52. 4. Suprasellar cistern is visible in 89.7% of scan and shape of cistern is found to be pentagonal (73.3%), hexagonal (23.0%) and tetragonal (3.7%). 5. Choroid plexus can be identified in 90% and asymmetrical in 4%. 45% of identified choroid plexus can be visible on scan without contrast enhancement, and more frequently visible in older age

  15. Laser scanning of a recirculation zone on the Bolund escarpment

    DEFF Research Database (Denmark)

    Mann, Jakob; Angelou, Nikolas; Sjöholm, Mikael

    2012-01-01

    Rapid variations in the height of the recirculation zone are measured with a scanning wind lidar over a small escarpment on the Bolund Peninsula. The lidar is essentially a continuous-wave laser Doppler anemometer with the capability of rapidly changing the focus distance and the beam direction....... The instrument measures the line-ofsight velocity 390 times per second and scans ten wind profiles from the ground up to seven meters per second. The results will be used to test computational fluid dynamics models for flow over terrain, and has relevance for wind energy. The development of multiple lidar...

  16. Laser scanning of a recirculation zone on the Bolund escarpment

    DEFF Research Database (Denmark)

    Mann, Jakob; Angelou, Nikolas; Sjöholm, Mikael

    2014-01-01

    Rapid variations in the height of the recirculation zone are measured with a scanning wind lidar over a small escarpment on the Bolund Peninsula. The lidar is essentially a continuous-wave laser Doppler anemometer with the capability of rapidly changing the focus distance and the beam direction....... The instrument measures the line-of-sight velocity 390 times per second and scans ten wind profiles from the ground up to seven meters per second. We observe a sharp interface between slow and fast moving fluid after the escarpment, and the interface is moving rapidly up and down. This implies that the position...

  17. Tomography system having axial scanning

    International Nuclear Information System (INIS)

    1976-01-01

    An improved method and apparatus has been invented for the transaxial tomographic scanning of a patient to determine mass distribution internal to the patient. A scanning system is provided having a rotatably mounted X-ray radiation source/detector assembly which orbits and scans the patient in plane of orbit. The source provides a plurality of beams of radiation in the orbital plane. Beams pass through the patient to an array of detectors which are spaced in the plane of orbit and respectively aligned with one of the beams. Radiation intensity data is collected at predetermined orientations of each beam-detector pair as the assembly orbits about the patient

  18. A METEOROLOGICAL RISK ASSESSMENT METHOD FOR POWER LINES BASED ON GIS AND MULTI-SENSOR INTEGRATION

    Directory of Open Access Journals (Sweden)

    Z. Lin

    2016-06-01

    Full Text Available Power lines, exposed in the natural environment, are vulnerable to various kinds of meteorological factors. Traditional research mainly deals with the influence of a single meteorological condition on the power line, which lacks of comprehensive effects evaluation and analysis of the multiple meteorological factors. In this paper, we use multiple meteorological monitoring data obtained by multi-sensors to implement the meteorological risk assessment and early warning of power lines. Firstly, we generate meteorological raster map from discrete meteorological monitoring data using spatial interpolation. Secondly, the expert scoring based analytic hierarchy process is used to compute the power line risk index of all kinds of meteorological conditions and establish the mathematical model of meteorological risk. By adopting this model in raster calculator of ArcGIS, we will have a raster map showing overall meteorological risks for power line. Finally, by overlaying the power line buffer layer to that raster map, we will get to know the exact risk index around a certain part of power line, which will provide significant guidance for power line risk management. In the experiment, based on five kinds of observation data gathered from meteorological stations in Guizhou Province of China, including wind, lightning, rain, ice, temperature, we carry on the meteorological risk analysis for the real power lines, and experimental results have proved the feasibility and validity of our proposed method.

  19. Potential Applications of Scanning Probe Microscopy in Forensic Science

    International Nuclear Information System (INIS)

    Watson, G S; Watson, J A

    2007-01-01

    The forensic community utilises a myriad of techniques to investigate a wide range of materials, from paint flakes to DNA. The various microscopic techniques have provided some of the greatest contributions, e.g., FT-IR (Fourier-transform infrared) microspectroscopy utilised in copy toner discrimination, multi-layer automobile paint fragment examination, etc, SEM-EDA (scanning electron microscopy with energy dispersive analysis) used to investigate glass fragments, fibers, and explosives, and SEM in microsampling for elemental analysis, just to name a few. This study demonstrates the ability of the Scanning Probe Microscope (SPM) to analyse human fingerprints on surfaces utilising a step-and-scan feature, enabling analysis of a larger field-of-view. We also extend a line crossings study by incorporating height analysis and surface roughness measurements. The study demonstrates the potential for SPM techniques to be utilised for forensic analysis which could complement the more traditional methodologies used in such investigations

  20. Potential Applications of Scanning Probe Microscopy in Forensic Science

    Energy Technology Data Exchange (ETDEWEB)

    Watson, G S [Nanoscale Science and Technology Centre, School of Science, Griffith University, Kessels Rd, Nathan, QLD, 4111 (Australia); Watson, J A [Nanoscale Science and Technology Centre, School of Science, Griffith University, Kessels Rd, Nathan, QLD, 4111 (Australia)

    2007-04-15

    The forensic community utilises a myriad of techniques to investigate a wide range of materials, from paint flakes to DNA. The various microscopic techniques have provided some of the greatest contributions, e.g., FT-IR (Fourier-transform infrared) microspectroscopy utilised in copy toner discrimination, multi-layer automobile paint fragment examination, etc, SEM-EDA (scanning electron microscopy with energy dispersive analysis) used to investigate glass fragments, fibers, and explosives, and SEM in microsampling for elemental analysis, just to name a few. This study demonstrates the ability of the Scanning Probe Microscope (SPM) to analyse human fingerprints on surfaces utilising a step-and-scan feature, enabling analysis of a larger field-of-view. We also extend a line crossings study by incorporating height analysis and surface roughness measurements. The study demonstrates the potential for SPM techniques to be utilised for forensic analysis which could complement the more traditional methodologies used in such investigations.