WorldWideScience

Sample records for layer-by-layer chemical deposition

  1. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  2. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  3. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  4. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  5. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  6. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  7. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  8. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  9. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  10. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  11. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  12. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  13. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  14. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  15. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  16. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  17. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  18. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  19. Polyelectrolyte layer-by-layer deposition in cylindrical nanopores.

    Science.gov (United States)

    Lazzara, Thomas D; Lau, K H Aaron; Abou-Kandil, Ahmed I; Caminade, Anne-Marie; Majoral, Jean-Pierre; Knoll, Wolfgang

    2010-07-27

    Layer-by-layer (LbL) deposition of polyelectrolytes within nanopores in terms of the pore size and the ionic strength was experimentally studied. Anodic aluminum oxide (AAO) membranes, which have aligned, cylindrical, nonintersecting pores, were used as a model nanoporous system. Furthermore, the AAO membranes were also employed as planar optical waveguides to enable in situ monitoring of the LbL process within the nanopores by optical waveguide spectroscopy (OWS). Structurally well-defined N,N-disubstituted hydrazine phosphorus-containing dendrimers of the fourth generation, with peripherally charged groups and diameters of approximately 7 nm, were used as the model polyelectrolytes. The pore diameter of the AAO was varied between 30-116 nm and the ionic strength was varied over 3 orders of magnitude. The dependence of the deposited layer thickness on ionic strength within the nanopores is found to be significantly stronger than LbL deposition on a planar surface. Furthermore, deposition within the nanopores can become inhibited even if the pore diameter is much larger than the diameter of the G4-polyelectrolyte, or if the screening length is insignificant relative to the dendrimer diameter at high ionic strengths. Our results will aid in the template preparation of polyelectrolyte multilayer nanotubes, and our experimental approach may be useful for investigating theories regarding the partitioning of nano-objects within nanopores where electrostatic interactions are dominant. Furthermore, we show that the enhanced ionic strength dependence of polyelectrolyte transport within the nanopores can be used to selectively deposit a LbL multilayer atop a nanoporous substrate.

  20. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  1. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  2. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  3. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  4. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  5. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  6. Chitosan Derivatives/Calcium Carbonate Composite Capsules Prepared by the Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Takashi Sasaki

    2008-01-01

    Full Text Available Core/shell capsules composed of calcium carbonate whisker core (rod-like shape and chitosan/chitosansulfate shell were prepared by the layer-by-layer deposition technique. Two chitosan samples of different molecular weights (Mw=9.7×104 and 1.09×106g·mol-1 were used as original materials. Hollow capsules were also obtained by dissolution of the core in hydrochloric acid. Electron microscopy revealed that the surface of the shell is rather ragged associated with some agglomerates. The shell thickness l obeys a linear relation with respect to the number of deposited layers m as l=md+a(a>0. The values of d (thickness per layer were 4.0 and 1.0 nm for the higher and lower Mw chitosan materials, respectively, both of which are greater than the thickness of the monolayer. The results suggest that the feature of the deposition does not obey an ideal homogeneous monolayer-by-monolayer deposition mechanism. Shell crosslinked capsules were also prepared via photodimerization reaction of cinnamoyl groups after a deposition of cinnamoyl chitosan to the calcium carbonate whisker core. The degree of crosslink was not enough to stabilize the shell structure, and hollow capsule was not obtained.

  7. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  8. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  9. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  10. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  11. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  12. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  13. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  14. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  15. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  16. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  17. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  18. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  19. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  20. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  1. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  2. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  3. The first step in layer-by-layer deposition: Electrostatics and/or non-electrostatics?

    NARCIS (Netherlands)

    Lyklema, J.; Deschênes, L.

    2011-01-01

    A critical discussion is presented on the properties and prerequisites of adsorbed polyelectrolytes that have to function as substrates for further layer-by-layer deposition. The central theme is discriminating between the roles of electrostatic and non-electrostatic interactions. In order to

  4. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  5. Layer-by-Layer Enabled Nanomaterials for Chemical Sensing and Energy Conversion

    Science.gov (United States)

    Paterno, Leonardo G.; Soler, Maria A. G.

    2013-06-01

    The layer-by-layer (LbL) technique is a wet chemical method for the assembly of ultrathin films, with thicknesses up to 100 nm. This method is based on the successive transfer of molecular layers to a solid substrate that is dipped into cationic and anionic solutions in an alternating fashion. The adsorption is mainly driven by electrostatic interactions so that many molecular and nanomaterial systems can be engineered under this method. Moreover, it is inexpensive, can be easily performed, and does not demand sophisticated equipment or clean rooms. The most explored use of the LbL technique is to build up molecular devices for chemical sensing and energy conversion. Both applications require ultrathin films where specific elements must be organized with high control of thickness and spatial distribution, preferably in the nanolength and mesolength scales. In chemical sensors, the LbL technique is employed to assemble specific sensoactive materials such as conjugated polymers, enzymes, and immunological elements onto appropriated electrodes. Molecular recognition events are thus transduced by the assembled sensoactive layer. In energy-conversion devices, the LbL technique can be employed to fabricate different device's parts including electrodes, active layers, and auxiliary layers. In both applications, the devices' performance can be fully modulated and improved by simply varying film thickness and molecular architecture. The present review article highlights the main features of the LbL technique and provides a brief description of different (bio)chemical sensors, solar cells, and organic light-emitting diodes enabled by the LbL approach.

  6. Large-area few-layer MoS 2 deposited by sputtering

    KAUST Repository

    Huang, Jyun-Hong

    2016-06-06

    Direct magnetron sputtering of transition metal dichalcogenide targets is proposed as a new approach for depositing large-area two-dimensional layered materials. Bilayer to few-layer MoS2 deposited by magnetron sputtering followed by post-deposition annealing shows superior area scalability over 20 cm(2) and layer-by-layer controllability. High crystallinity of layered MoS2 was confirmed by Raman, photo-luminescence, and transmission electron microscopy analysis. The sputtering temperature and annealing ambience were found to play an important role in the film quality. The top-gate field-effect transistor by using the layered MoS2 channel shows typical n-type characteristics with a current on/off ratio of approximately 10(4). The relatively low mobility is attributed to the small grain size of 0.1-1 mu m with a trap charge density in grain boundaries of the order of 10(13) cm(-2).

  7. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    Science.gov (United States)

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  8. Characterization and cytocompatibility of carbon layers prepared by photo-induced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Kubová, O.; Švorčík, V.; Heitz, J.; Moritz, S.; Romanin, C.; Matějka, P.; Macková, Anna

    2007-01-01

    Roč. 515, č. 17 (2007), s. 6765-6772 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : Polytetrafluoroethylene * Carbon layer * CVD deposition * Layer properties * Cell proliferation Subject RIV: JJ - Other Materials Impact factor: 1.693, year: 2007

  9. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  10. Layer-by-layer deposition of superconducting Sr-Ca-Cu-O films by the spray pyrolysis technique

    International Nuclear Information System (INIS)

    Pawar, S.H.; Pawaskar, P.N.; Ubale, M.J.; Kulkarni, S.B.

    1995-01-01

    Layer-by-layer deposition of Sr-Ca-Cu-O films has been carried out using the spray pyrolysis technique. Reagent-grade nitrates of strontium, calcium and copper were used to prepare starting solutions for spray pyrolysis. A two-step procedure was used for every layer of the constituents in the sequence Sr-Cu-Ca-Cu-Sr: first, deposition onto silver substrate at 350 C, then firing at T≥450 C, both at atmospheric pressure. The films were 2-3 μm thick and showed adequate adhesion to the substrate. The films were then characterised by studying their electron micrographs, X-ray diffraction patterns and electrical resistivity. The films showed superconductivity below 104 K. ((orig.))

  11. Nanoparticle layer deposition for highly controlled multilayer formation based on high-coverage monolayers of nanoparticles

    International Nuclear Information System (INIS)

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2016-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers — nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. - Highlights: • We investigate the formation of high-coverage monolayers of nanoparticles. • We use “click chemistry” to form these monolayers. • We form multiple layers based on the same strategy. • We confirm the formation of covalent bonds

  12. Layer-by-layer cell membrane assembly

    Science.gov (United States)

    Matosevic, Sandro; Paegel, Brian M.

    2013-11-01

    Eukaryotic subcellular membrane systems, such as the nuclear envelope or endoplasmic reticulum, present a rich array of architecturally and compositionally complex supramolecular targets that are as yet inaccessible. Here we describe layer-by-layer phospholipid membrane assembly on microfluidic droplets, a route to structures with defined compositional asymmetry and lamellarity. Starting with phospholipid-stabilized water-in-oil droplets trapped in a static droplet array, lipid monolayer deposition proceeds as oil/water-phase boundaries pass over the droplets. Unilamellar vesicles assembled layer-by-layer support functional insertion both of purified and of in situ expressed membrane proteins. Synthesis and chemical probing of asymmetric unilamellar and double-bilayer vesicles demonstrate the programmability of both membrane lamellarity and lipid-leaflet composition during assembly. The immobilized vesicle arrays are a pragmatic experimental platform for biophysical studies of membranes and their associated proteins, particularly complexes that assemble and function in multilamellar contexts in vivo.

  13. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  14. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  15. Effect of Al 2 O 3 Recombination Barrier Layers Deposited by Atomic Layer Deposition in Solid-State CdS Quantum Dot-Sensitized Solar Cells

    KAUST Repository

    Roelofs, Katherine E.

    2013-03-21

    Despite the promise of quantum dots (QDs) as a light-absorbing material to replace the dye in dye-sensitized solar cells, quantum dot-sensitized solar cell (QDSSC) efficiencies remain low, due in part to high rates of recombination. In this article, we demonstrate that ultrathin recombination barrier layers of Al2O3 deposited by atomic layer deposition can improve the performance of cadmium sulfide (CdS) quantum dot-sensitized solar cells with spiro-OMeTAD as the solid-state hole transport material. We explored depositing the Al2O3 barrier layers either before or after the QDs, resulting in TiO2/Al2O3/QD and TiO 2/QD/Al2O3 configurations. The effects of barrier layer configuration and thickness were tracked through current-voltage measurements of device performance and transient photovoltage measurements of electron lifetimes. The Al2O3 layers were found to suppress dark current and increase electron lifetimes with increasing Al 2O3 thickness in both configurations. For thin barrier layers, gains in open-circuit voltage and concomitant increases in efficiency were observed, although at greater thicknesses, losses in photocurrent caused net decreases in efficiency. A close comparison of the electron lifetimes in TiO2 in the TiO2/Al2O3/QD and TiO2/QD/Al2O3 configurations suggests that electron transfer from TiO2 to spiro-OMeTAD is a major source of recombination in ss-QDSSCs, though recombination of TiO2 electrons with oxidized QDs can also limit electron lifetimes, particularly if the regeneration of oxidized QDs is hindered by a too-thick coating of the barrier layer. © 2013 American Chemical Society.

  16. An improved design of TRISO particle with porous SiC inner layer by fluidized bed-chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing; Shao, Youlin; Liu, Bing

    2015-12-15

    Tristructural-isotropic (TRISO) particle has been successful in high temperature gas cooled reactor (HTGR), but an improved design is required for future development. In this paper, the coating layers are reconsidered, and an improved design of TRISO particle with porous SiC inner layer is proposed. Three methods of preparing the porous SiC layer, called high methyltrichlorosilane (MTS) concentration method, high Ar concentration method and hexamethyldisilane (HMDS) method, are experimentally studied. It is indicated that porous SiC layer can be successfully prepared and the density of SiC layer can be adjusted by tuning the preparation parameters. Microstructure and characterization of the improved TRISO coated particle are given based on scanning electron microscope (SEM), X-ray diffraction (XRD), Raman scattering and energy dispersive X-ray (EDX) analysis. It can be found that the improved TRISO coated particle with porous SiC layer can be mass produced successfully. The formation mechanisms of porous SiC layer are also discussed based on the fluidized bed-chemical vapor deposition principle. - Graphical abstract: An improved design of TRISO particle with porous SiC inner layer to replace the inner porous pyrolytic carbon layer was proposed and prepared by FB-CVD method. This new design is aimed to reduce the total internal pressure of the particles by reducing the formation of CO and to reduce the risks of amoeba effect. - Highlights: • An improved design of TRISO particle with porous SiC inner layer was proposed. • Three methods of preparing porous SiC layer are proposed and experimentally studied. • The density of porous SiC layer can be controlled by adjusting experimental parameters. • Formation mechanisms of porous SiC layer were given based on the FB-CVD principle. • TRISO particles with porous SiC inner layer were mass produced successfully.

  17. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  18. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  19. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  20. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  1. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  2. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  3. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  4. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  5. Modifying of Cotton Fabric Surface with Nano-ZnO Multilayer Films by Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Sarıışık Merih

    2010-01-01

    Full Text Available Abstract ZnO nanoparticle–based multilayer nanocomposite films were fabricated on cationized woven cotton fabrics via layer-by-layer molecular self-assembly technique. For cationic surface charge, cotton fabrics were pretreated with 2,3-epoxypropyltrimethylammonium chloride (EP3MAC by pad-batch method. XPS and SEM were used to examine the deposited nano-ZnO multilayer films on the cotton fabrics. The nano-ZnO films deposited on cotton fabrics exhibited excellent antimicrobial activity against Staphylococcus aureus bacteria. The results also showed that the coated fabrics with nano-ZnO multilayer films enhanced the protection of cotton fabrics from UV radiation. Physical tests (tensile strength of weft and warp yarns, air permeability and whiteness values were performed on the fabrics before and after the treatment with ZnO nanoparticles to evaluate the effect of layer-by-layer (LbL process on cotton fabrics properties.

  6. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  7. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  8. Sm-doped CeO2 single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    International Nuclear Information System (INIS)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    An over 150 nm thick Sm 0.2 Ce 0.8 O 1.9-x (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T c0 = 87 K as well as J c (0 T, 77 K) ∼ 1 MA/cm 2 . These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO 2 film, which renders it a promising candidate as single buffer layer for YBCO coated conductors

  9. Ionically Paired Layer-by-Layer Hydrogels: Water and Polyelectrolyte Uptake Controlled by Deposition Time

    Directory of Open Access Journals (Sweden)

    Victor Selin

    2018-01-01

    Full Text Available Despite intense recent interest in weakly bound nonlinear (“exponential” multilayers, the underlying structure-property relationships of these films are still poorly understood. This study explores the effect of time used for deposition of individual layers of nonlinearly growing layer-by-layer (LbL films composed of poly(methacrylic acid (PMAA and quaternized poly-2-(dimethylaminoethyl methacrylate (QPC on film internal structure, swelling, and stability in salt solution, as well as the rate of penetration of invading polyelectrolyte chains. Thicknesses of dry and swollen films were measured by spectroscopic ellipsometry, film internal structure—by neutron reflectometry (NR, and degree of PMAA ionization—by Fourier-transform infrared spectroscopy (FTIR. The results suggest that longer deposition times resulted in thicker films with higher degrees of swelling (up to swelling ratio as high as 4 compared to dry film thickness and stronger film intermixing. The stronger intermixed films were more swollen in water, exhibited lower stability in salt solutions, and supported a faster penetration rate of invading polyelectrolyte chains. These results can be useful in designing polyelectrolyte nanoassemblies for biomedical applications, such as drug delivery coatings for medical implants or tissue engineering matrices.

  10. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  11. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Directory of Open Access Journals (Sweden)

    Riku Kato

    2015-07-01

    Full Text Available We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs encapsulated by the layer-by-layer (LbL deposition of cationic and anionic polyelectrolytes (PEs. Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition, and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition. The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  12. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Science.gov (United States)

    Kato, Riku; Frusawa, Hiroshi

    2015-07-01

    We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs) encapsulated by the layer-by-layer (LbL) deposition of cationic and anionic polyelectrolytes (PEs). Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition), and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition). The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  13. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  14. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  15. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  16. Inverted bulk-heterojunction organic solar cell using chemical bath deposited titanium oxide as electron collection layer

    OpenAIRE

    Kuwabara, Takayuki; Sugiyama, Hirokazu; Kuzuba, Mitsuhiro  ; Yamaguchi, Takahiro; Takahashi, Kohshin

    2010-01-01

    Chemical bath deposited titanium oxide (TiOx ) as an electron collection layer is introduced between the organic layer and the indium tin oxide (ITO) electrode for improving the performance of inverted bulk-heterojunction organic thin film solar cells with 1 cm2 active area, where regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61 butyric acid methyl ester (PCBM) were mainly used as the photo-active layer. The uniform and thin TiOx film was easily prepared onto the ITO electrode ...

  17. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  18. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054, Grenoble (France); Levy-Clement, Claude [CNRS, Institut de Chimie et des Materiaux de Paris-Est, 94320, Thiais (France)

    2014-09-15

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl{sub 2} to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl{sub 2} treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    International Nuclear Information System (INIS)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina; Levy-Clement, Claude

    2014-01-01

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl 2 to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl 2 treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  1. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  2. Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer assembly deposition.

    Science.gov (United States)

    Hong, Jinkee; Kang, Sang Wook

    2011-09-01

    We performed a comparative surface analysis of all-carbon nano-objects (multiwall carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings prepared using three widely used nanofilm fabrication methods: dip-, spin-, and spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a marked difference in their growth mechanisms and surface morphologies. Various carbon decorative coatings were synthesized with different surface roughness values, despite identical preparation conditions. In particular, smooth to highly rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and scanning electron microscopy (SEM), were readily obtained by manipulating the LbL deposition methods. As was confirmed by the AFM and SEM analyses, this finding indicated the fundamental morphological evolution of one-dimensional nano-objects (MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness through the deposition method. Therefore, an analysis of the three LbL-assembly methods presented herein may offer useful information about the industrial use of carbon decorative coatings and provide an insight into ways to control the structures of multilayer coatings by tuning the morphologies of carbon nano-objects.

  3. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  4. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  5. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  6. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  7. Sm-doped CeO{sub 2} single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    Energy Technology Data Exchange (ETDEWEB)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia)], E-mail: yzhao@home.swjtu.edu.cn

    2008-10-20

    An over 150 nm thick Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T{sub c0} = 87 K as well as J{sub c}(0 T, 77 K) {approx} 1 MA/cm{sup 2}. These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO{sub 2} film, which renders it a promising candidate as single buffer layer for YBCO coated conductors.

  8. Improving the photovoltaic parameters in Quantum dot sensitized solar cells through employment of chemically deposited compact titania blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Rajendra Prasad, M.B., E-mail: rajendraprasadmb75@gmail.com [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India); National Defence Academy, Khadakwasla, Pune, 411023 (India); Kadam, Vishal [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India); Joo, Oh-Shim [Korea Institute of Science and Technology, PO Box No. 131, Chongryang, Seoul, 130-650 (Korea, Republic of); Pathan, Habib M. [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India)

    2017-06-15

    Incorporation of compact blocking layer at the Transparent Conducting Oxide (TCO)/Electrolyte interface is an effective method to improve the device performance in QDSSC through mitigation of electron recombinations at this interface. This paper reports the most facile and cost effective method of depositing a rutile titania Compact Layer (CL) over Fluorine doped Tin Oxide (FTO) substrate and its application in titania based CdS QD sensitized solar cells. The deposited compact layers are characterized to study their structural, optical, morphological and electrochemical properties using X-Ray Diffractometry, UV–Visible spectroscopy, Scanning electron microscopy, Cyclic Voltammetry and Contact Angle measurements. Sandwich solar cells are fabricated using these CL based electrodes and characterized using Electrochemical Impedance Spectroscopy, Open Circuit Voltage Decay and J-V characteristics. The CL incorporated CdS QDSSC showed more than 100% increase in the photoconversion efficiency (1.68%) as compared to its bare FTO counterpart (0.73%) proving the efficacy of employed strategy. - Highlights: • Deposited titania compact layer by a facile room temperature chemical bath method. • Employed this to mitigate back electron transfer at TCO/Electrolyte interface. • Compact layer incorporation has improved the solar cell performance by 130%.

  9. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  10. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  11. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  12. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  13. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  14. Tritium decontamination from co-deposited layer on tungsten substrate by ultra violet lamp and laser

    International Nuclear Information System (INIS)

    Oya, Yasuhisa; Tadokoro, Takahiro; Shu, Wataru; Hayashi, Takumi; O'hira, Shigeru; Nishi, Masataka

    2001-01-01

    Tritium decontamination using ultra violet (UV) lamp and laser was performed. Simulated co-deposited layer on tungsten substrate was deposited by C 2 H 2 or C 2 D 2 glow discharge. The co-deposited layer was irradiated to UV lights from a xenon excimer lamp (172 nm) or ArF excimer laser (193 nm) and the in-situ decontamination behavior was evaluated by a mass spectrometer. After the UV irradiation, the hydrogen concentration in the co-deposited layer was evaluated by elastic recoil detection analysis (ERDA) and the depth profile was analyzed by secondary ion mass spectrometry (SIMS). For the co-deposited layer formed by C 2 D 2 glow discharge, it was found that M/e 3 (HD) gas was released mainly during the UV lamp irradiation while both M/e 3 (HD) and M/e 4 (D 2 ) gases were detected during the UV laser irradiation. Though the co-deposited layer was not removed by UV lamp irradiation, almost all the co-deposited layer was removed by UV laser irradiation within 1 min. The ratio of hydrogen against carbon in the co-deposited layer was estimated to be 0.53 by ERDA and the number of photon needed for removing 1 μm thick co-deposited layer was calculated to be 3.7x10 18 cm -2 for the UV laser by SIMS measurement. It is concluded that C-H (C-D) bond on the co-deposited layer were dissociated by irradiation of UV lamp while the co-deposited layer itself was removed by the UV laser irradiation. (author)

  15. Preparation of SmBiO{sub 3} buffer layer on YSZ substrate by an improved chemical solution deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaolei [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Pu, Minghua, E-mail: mhpu@home.swjtu.edu.cn [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, NSW 2052 (Australia)

    2016-12-15

    Highlights: • The proper conditions for SBO growth are 794 °C for 60 min in flowing Ar gas, the temperature of epitaxial growth is relatively low. • The total time by SSD technique for organic solvent removing, salts decomposition and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. • SBO layer on YSZ prepared by SSD technique are suitable for the growth of YBCO, The results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes. - Abstract: A quick route for chemical solution deposition (CSD) has been developed to prepare SmBiO{sub 3} (SBO) layers on yttria stabilized zirconia (YSZ) substrates rapidly by using of solid state decomposition (SSD) technique. The proper conditions for volatilization of lactic acid, which as solvent in precursor coated layer, and SBO growth are 115°C for 30 min and 794°C for 60 min in flowing Ar gas. The coated layers are amorphous structure of mixture oxides and quasi-crystal structure of SBO before and after growth, respectively. The total time by this quick CSD route for organic solvent volatilization, salts decomposed and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. SBO layer is directly epitaxial growth on YSZ substrate without any lattice rotation. SBO layer prepared by this quick route as well as that by traditional route are suitable for the growth of YBCO. The superconducting transition temperature and critical current density of the coated YBCO layer on SBO/YSZ obtained by this quick route are up to 90 K and 1.66 MA/cm{sup 2}. These results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes.

  16. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  17. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  18. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun; Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk

    2008-03-01

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed

  19. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun [KAIST, Daejeon (Korea, Republic of); Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk [Younsei University, Seoul (Korea, Republic of)

    2008-03-15

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed.

  20. Improved Efficiency of Polymer Solar Cells by means of Coating Hole Transporting Layer as Double Layer Deposition

    Science.gov (United States)

    Chonsut, T.; Kayunkid, N.; Rahong, S.; Rangkasikorn, A.; Wirunchit, S.; Kaewprajak, A.; Kumnorkaew, P.; Nukeaw, J.

    2017-09-01

    Polymer solar cells is one of the promising technologies that gain tremendous attentions in the field of renewable energy. Optimization of thickness for each layer is an important factor determining the efficiency of the solar cells. In this work, the optimum thickness of Poly(3,4-ethylenedioxythione): poly(styrenesulfonate) (PEDOT:PSS), a famous polymer widely used as hole transporting layer in polymer solar cells, is determined through the analyzing of device’s photovoltaic parameters, e.g. short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) as well as power conversion efficiency (PCE). The solar cells were prepared with multilayer of ITO/PEDOT:PSS/PCDTBT:PC70BM/TiOx/Al by rapid convective deposition. In such preparation technique, the thickness of the thin film is controlled by the deposition speed. The faster deposition speed is used, the thicker film is obtained. Furthermore, double layer deposition of PEDOT:PSS was introduced as an approach to improve solar cell efficiency. The results obviously reveal that, with the increase of PEDOT:PSS thickness, the increments of Jsc and FF play the important role to improve PCE from 3.21% to 4.03%. Interestingly, using double layer deposition of PEDOT:PSS shows the ability to enhance the performance of the solar cells to 6.12% under simulated AM 1.5G illumination of 100 mW/cm2.

  1. Use of different Zn precursors for the deposition of Zn(S,O) buffer layers by chemical bath for chalcopyrite based Cd-free thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Saez-Araoz, R.; Lux-Steiner, M.C. [Hahn Meitner Institut, Berlin (Germany); Freie Universitaet Berlin, Berlin (Germany); Ennaoui, A.; Kropp, T.; Veryaeva, E. [Hahn Meitner Institut, Berlin (Germany); Niesen, T.P. [AVANCIS GmbH and Co. KG, Munich (Germany)

    2008-10-15

    Progress in fabricating Cu(In,Ga)(S,Se){sub 2} (CIGSSe) solar cells with Zn(S,O) buffer layers prepared by chemical bath deposition (CBD) is discussed. The effect of different Zn salt precursors on solar cell device performance is investigated using production scale CIGSSe absorbers provided by AVANCIS GmbH and Co. KG. The CBD process has been developed at the Hahn-Meitner-Institut (HMI) using zinc nitrate, zinc sulphate or zinc chloride as zinc precursor. An average efficiency of 14.2{+-}0.8% is obtained by using one-layer CBD Zn(S,O) The dominant recombination path for well performing solar cells is discussed based on the results obtained from temperature dependent J(V) analysis. The structure and morphology of buffer layers deposited using zinc nitrate and zinc sulphate has been studied by means of transmission electron micrographs of glass/Mo/CIGSSe/Zn(S,O) structures. Results show a conformal coverage of the absorber by a Zn(S,O) layer of 15-25 nm consisting of nanocrystals with radii of {proportional_to}5 nm. XAES analysis of the buffer layer reveals a similar surface composition for buffer layers deposited with zinc nitrate and zinc sulphate. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  3. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  4. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  5. Effects of TiO{sub 2} buffer layer on the photoelectrochemical properties of TiO{sub 2} Nano rods grown by modified chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-hyun; Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-08-15

    In this study, we grew TiO{sub 2} nano rods on TiO{sub 2}-film buffered FTO substrate using modified chemical bath deposition (M-CBD). The TiO{sub 2} buffer layer was grown by spin coating method with different RPM (revolutions per minute) values and deposition cycles. We investigated the effects of the RPM values and the deposition cycles on the morphological, structural and photoelectrochemical properties of TiO{sub 2} nano rods. In this work, we have also found that the morphological and structural properties of TiO{sub 2} nano rods affected the photoelectrochemical properties of TiO{sub 2} nano rods. And the maximum photocurrent density of 0.34 mA/cm{sup 2} at 0.6V (vs.SCE) was obtained from the buffer layer deposition process condition of 4,000 RPM and two-times buffer layer depositions.

  6. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  7. Synthesis of layered birnessite-type manganese oxide thin films on plastic substrates by chemical bath deposition for flexible transparent supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu Yu; Zhu Hongwei; Wang Jun [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2011-10-20

    Highlights: > Layered birnessite-type MnO{sub 2} thin films are fabricated on ITO/PET substrates through a facile chemical bath deposition at room temperature. > The transmittance of the MnO{sub 2} thin films at 550 nm is up to 77.4%. > MnO{sub 2} thin films exhibit a special capacitance of 229.2 F g{sup -1} and 9.2 mF cm{sup -2}. > MnO{sub 2} thin films show a capacitance retention ratio of 83% after 1000 CV cycles. > MnO{sub 2} thin film electrodes show great mechanical flexibility and electrochemical stability even after 200 tensile and compressive bending cycles. - Abstract: Layered birnessite-type manganese oxide thin films are successfully fabricated on indium tin oxide coated polyethylene terephthalate substrates for flexible transparent supercapacitors by a facile, effective and inexpensive chemical bath deposition technology from an alkaline KMnO{sub 4} aqueous solution at room temperature. The effects of deposition conditions, including KMnO{sub 4} concentration, initial molar ratio of NH{sub 3}.H{sub 2}O and KMnO{sub 4}, bath temperature, and reaction time, on the electrochemical properties of MnO{sub 2} thin films are investigated. Layered birnessite-type MnO{sub 2} thin films deposited under optimum conditions display three-dimensional porous morphology, high hydrophilicity, and a transmittance of 77.4% at 550 nm. A special capacitance of 229.2 F g{sup -1} and a capacitance retention ratio of 83% are obtained from the films after 1000 cycles at 10 mV s{sup -1} in 1 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that as-prepared MnO{sub 2} thin film electrodes possess excellent mechanical flexibility and electrochemical stability.

  8. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  9. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  10. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  11. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  12. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  13. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  14. Deposition of Chitosan Layers on NiTi Shape Memory Alloy

    Directory of Open Access Journals (Sweden)

    Kowalski P.

    2015-04-01

    Full Text Available The NiTi shape memory alloys have been known from their application in medicine for implants as well as parts of medical devices. However, nickel belongs to the family of elements, which are toxic. Apart from the fact that nickel ions are bonded with titanium into intermetallic phase, their presence may cause allergy. In order to protect human body against release of nickel ions a surface of NiTi alloy can be modified with use of titanium nitrides, oxides or diamond-like layers. On the one hand the layers can play protective role but on the other hand they may influence shape memory behavior. Too stiff or too brittle layer can lead to limiting or completely blocking of the shape recovery. It was the reason to find more elastic covers for NiTi surface protection. This feature is characteristic for polymers, especially, biocompatible ones, which originate in nature. In the reported paper, the chitosan was applied as a deposited layer on surface of the NiTi shape memory alloy. Due to the fact that nature of shape memory effect is sensitive to thermo and/or mechanical treatments, the chitosan layer was deposited with use of electrophoresis carried out at room temperature. Various deposition parameters were checked and optimized. In result of that thin chitosan layer (0.45µm was received on the NiTi alloy surface. The obtained layers were characterized by means of chemical and phase composition, as well as surface quality. It was found that smooth, elastic surface without cracks and/or inclusions can be produced applying 10V and relatively short deposition time - 30 seconds.

  15. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  16. Investigation of Ni@CoO core-shell nanoparticle films synthesized by sequential layer deposition

    International Nuclear Information System (INIS)

    Spadaro, M.C.; Luches, P.; Benedetti, F.; Valeri, S.; Turchini, S.; Bertoni, G.; Ferretti, A.M.; Capetti, E.; Ponti, A.; D’Addato, S.

    2017-01-01

    Highlights: • We studied Ni/CoO core-shell nanoparticles (NP) obtained with a gas aggregation source. • The NP oxide shells were produced bye reactive deposition of Co in Oxygen atmosphere (p_O_2 ≈ 10"−"7 mbar). • XPS, SEM, STEM were used to obtain information on Ni chemical state and NP structure and morphology. • XMCD result showed evidence of remanent magnetization at room temperature. • We interpret XMCD results as due to stabilization induced by exchange bias due to AFM/FM coupling at the core/shell interface. - Abstract: Films of Ni@CoO core-shell nanoparticles (NP Ni core size d ≈ 11 nm) have been grown on Si/SiO_x and lacey carbon supports, by a sequential layer deposition method: a first layer of CoO was evaporated on the substrate, followed by the deposition of a layer of pre-formed, mass-selected Ni NPs, and finally an overlayer of CoO was added. The Ni NPs were formed by a magnetron gas aggregation source, and mass selected with a quadrupole mass filter. The morphology of the films was investigated with Scanning Electron Microscopy and Scanning Transmission Electron Microscopy. The Ni NP cores have a shape compatible with McKay icosahedron, caused by multitwinning occurring during their growth in the source, and the Ni NP layer shows the typical random paving growth mode. After the deposition of the CoO overlayer, CoO islands are observed, gradually extending and tending to merge with each other, with the formation of shells that enclose the Ni NP cores. In situ X-ray Photoelectron Spectroscopy showed that a few Ni atomic layers localized at the core-shell interface are oxidized, hinting at the possibility of creating an intermediate NiO shell between Ni and CoO, depending on the deposition conditions. Finally, X-ray Magnetic Circular Dichroism at the Ni L_2_,_3 absorption edge showed the presence of magnetization at room temperature even at remanence, revealing the possibility of magnetic stabilization of the NP film.

  17. Characteristics of a-IGZO/ITO hybrid layer deposited by magnetron sputtering.

    Science.gov (United States)

    Bang, Joon-Ho; Park, Hee-Woo; Cho, Sang-Hyun; Song, Pung-Keun

    2012-04-01

    Transparent a-IGZO (In-Ga-Zn-O) films have been actively studied for use in the fabrication of high-quality TFTs. In this study, a-IGZO films and a-IGZO/ITO double layers were deposited by DC magnetron sputtering under various oxygen flow rates. The a-IGZO films showed an amorphous structure up to 500 degrees C. The deposition rate of these films decreased with an increase in the amount of oxygen gas. The amount of indium atoms in the film was confirmed to be 11.4% higher than the target. The resistivity of double layer follows the rules for parallel DC circuits The maximum Hall mobility of the a-IGZO/ITO double layers was found to be 37.42 cm2/V x N s. The electrical properties of the double layers were strongly dependent on their thickness ratio. The IGZO/ITO double layer was subjected to compressive stress, while the ITO/IGZO double layer was subjected to tensile stress. The bending tolerance was found to depend on the a-IGZO thickness.

  18. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  19. Deposition of very thin uniform indium sulfide layers over metallic nano-rods by the Spray-Ion Layer Gas Reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Genduso, G. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Inguanta, R.; Sunseri, C.; Piazza, S. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Kelch, C.; Sáez-Araoz, R. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Zykov, A. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); present address: Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15,12489 Berlin (Germany); Fischer, Ch.-H., E-mail: fischer@helmholtz-berlin.de [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); second affiliation: Free University Berlin, Chemistry Institute, Takustr. 3, D-14195 Berlin (Germany)

    2013-12-02

    Very thin and uniform layers of indium sulfide were deposited on nickel nano-rods using the sequential and cyclical Spray-ILGAR® (Ion Layer Gas Reaction) technique. Substrates were fabricated by electrodeposition of Ni within the pores of polycarbonate membranes and subsequent chemical dissolution of the template. With respect to the depositions on flat substrates, experimental conditions were modified and optimized for the present geometry. Our results show that nano-rods up to a length of 10 μm were covered uniformly along their full length and with an almost constant film growth rate, thus allowing a good control of the coating thickness; the effect of the deposition temperature was also investigated. However, for high numbers of process steps, i.e. thickness, the films became uneven and crusty, especially at higher temperature, mainly owing to the simultaneous side reaction of the metallic Ni forming nickel sulfide at the surface of the rods. However, such a problem occurs only in the case of reactive nano-rod materials, such as less noble metals. It could be strongly reduced by doubling the spray step duration and thereby sealing the metallic surface before the process step of the sulfurization. Thus, quite smooth, about 100 nm thick coatings could be obtained. - Highlights: • Ni nano-rod substrates were grown within polycarbonate membranes. • We can coat nano-rods uniformly by the Ion Layer Gas Reaction method. • As a model we deposited up to about 100 nm In{sub 2}S{sub 3} on Ni nanorods (250 nm × 10 μm). • Element mapping at insulated rods showed homogenous coating over the full length. • Parameter optimization reduced effectively the Ni sulfide formation.

  20. Growth of thick La{sub 2}Zr{sub 2}O{sub 7} buffer layers for coated conductors by polymer-assisted chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin, E-mail: xzhang@my.swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Electrical Engineering, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Xia, Yudong [State Key Lab of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Guo, Chunsheng [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhang, Yong [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, Han [Department of Physics, Peking University, Beijing 100871 (China)

    2015-06-15

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La{sub 2}Zr{sub 2}O{sub 7} (LZO) epitaxial films have been deposited on LaAlO{sub 3} (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa{sub 2}Cu{sub 3}O{sub 7−x} (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm{sup 2} at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors.

  1. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  2. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  3. Multiferroic properties of BiFeO3/Bi4Ti3O12 double-layered thin films fabricated by chemical solution deposition

    International Nuclear Information System (INIS)

    Yi, Seung Woo; Kim, Sang Su; Kim, Jin Won; Jo, Hyun Kyung; Do, Dalhyun; Kim, Won-Jeong

    2009-01-01

    Multiferroic BiFeO 3 /Bi 4 Ti 3 O 12 (BFO/BTO) double-layered film was fabricated on a Pt(111)/Ti/SiO 2 /Si(100) substrate by a chemical solution deposition method. The effect of an interfacial BTO layer on electrical and magnetic properties of BFO was investigated by comparing those of pure BFO and BTO films prepared by the same condition. The X-ray diffraction result showed that no additional phase was formed in the double-layered film, except BFO and BTO phases. The remnant polarization (2P r ) of the double-layered film capacitor was 100 μC/cm 2 at 250 kV/cm, which is much larger than that of the pure BFO film capacitor. The magnetization-magnetic field hysteresis loop revealed weak ferromagnetic response with remnant magnetization (2M r ) of 0.4 kA/m. The values of dielectric constant and dielectric loss of the double-layered film capacitor were 240 and 0.03 at 100 kHz, respectively. Leakage current density measured from the double-layered film capacitor was 6.1 x 10 -7 A/cm 2 at 50 kV/cm, which is lower than the pure BFO and BTO film capacitors.

  4. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  5. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  6. Covalent assembly of poly(ethyleneimine) via layer-by-layer deposition for enhancing surface density of protein and bacteria attachment

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Bing, E-mail: xiabing@njfu.edu.cn [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Shi, Jisen; Dong, Chen; Zhang, Wenyi; Lu, Ye [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Guo, Ping [Nanjing College of Information Technology, Nanjing 210023 (China)

    2014-02-15

    Covalently assembly of low molecular weight poly(ethyleneimine) was introduced to glass surfaces via glutaraldehyde crosslinking, with focus on its application on protein immobilization or bacteria attachment. Characterizations of Fourier transform infrared spectroscopy and ellipsometry measurement revealed a stepwise growth of poly(ethyleneimine) films by layer-by-layer deposition. After fluorescein isothiocyanate labelling, photoluminescence spectroscopy measurement indicated that the amount of surface accessible amine groups had been gradually enhanced with increasing poly(ethyleneimine) layers deposition. As compared with traditional aminosilanized surfaces, the surface density of amine groups was enhanced by ∼11 times after five layers grafting, which resulted in ∼9-time increasing of surface density of immobilized bovine serum albumin. Finally, these as-prepared PEI multi-films with excellent biocompatibility were adopted as culture substrates to improve Escherichia coli adherence, which showed that their surface density had been increased by ∼251 times.

  7. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  8. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  9. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  10. Expanding Thermal Plasma Chemical Vapour Deposition of ZnO:Al Layers for CIGS Solar Cells

    Directory of Open Access Journals (Sweden)

    K. Sharma

    2014-01-01

    Full Text Available Aluminium-doped zinc oxide (ZnO:Al grown by expanding thermal plasma chemical vapour deposition (ETP-CVD has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing ZnO:Al on CIGS solar cell stacks, one should be aware that high substrate temperature processing (i.e., >200°C can damage the crucial underlying layers/interfaces (such as CIGS/CdS and CdS/i-ZnO. In this paper, the potential of adopting ETP-CVD ZnO:Al in CIGS solar cells is assessed: the effect of substrate temperature during film deposition on both the electrical properties of the ZnO:Al and the eventual performance of the CIGS solar cells was investigated. For ZnO:Al films grown using the high thermal budget (HTB condition, lower resistivities, ρ, were achievable (~5 × 10−4 Ω·cm than those grown using the low thermal budget (LTB conditions (~2 × 10−3 Ω·cm, whereas higher CIGS conversion efficiencies were obtained for the LTB condition (up to 10.9% than for the HTB condition (up to 9.0%. Whereas such temperature-dependence of CIGS device parameters has previously been linked with chemical migration between individual layers, we demonstrate that in this case it is primarily attributed to the prevalence of shunt currents.

  11. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  12. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  13. Preparation of insulin-containing microcapsules by a layer-by-layer deposition of concanavalin A and glycogen.

    Science.gov (United States)

    Sato, Katsuhiko; Kodama, Daisuke; Endo, Yoshihiro; Anzai, Jun-ichi

    2009-01-01

    The sugar sensitive microcapsules were prepared by a layer-by-layer deposition of concanavalin A (Con A) and glycogen on a calcium carbonate particle containing fluorescein-labeled insulin (F-insulin). The Con A/glycogen multilayer capsules were formed through sugar-lectin interactions by using inner and outer poly(ethyleneimine)/poly(vinyl sulfate) multilayers as supports, while without the supports the microcapsules could not be formed. Fluorescent microscope observations revealed that the capsules thus prepared are spherical in shape with 3-10 microm diameter. The microcapsules released encapsulated F-insulin upon addition of sugars. This is because the added sugars replace glycogen in the binding site of Con A, resulting in the enhanced permeability of the microcapsules to insulin.

  14. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  15. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  16. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  17. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  18. Direct insight into grains formation in Si layers grown on 3C-SiC by chemical vapor deposition

    International Nuclear Information System (INIS)

    Khazaka, Rami; Portail, Marc; Vennéguès, Philippe; Alquier, Daniel; Michaud, Jean François

    2015-01-01

    Graphical abstract: In this contribution, we demonstrated the influence of the 3C-SiC layer on the subsequent growth of Si epilayers. We were able to give a direct evidence that the rotation in the Si epilayer of 90° around the growth direction occurs exactly on the termination of an antiphase boundary in the 3C-SiC layer as shown in the figure above. Thus, increasing the layer thickness of the 3C-SiC leads to a direct improvement of the crystalline quality of the subsequent Si epilayer. (a) Cross-section bright-field TEM image of the Si/3C-SiC layer stack along two 3C-SiC zone axes [1 −1 0] and [1 1 0] (equivalent to [1 −1 1] and [1 1 2] in Si, respectively), (b) dark field image selecting a (2 0 −2) electron diffraction spot indicated by the black circle in the SAED shown as inset, (c) dark field image selecting a (−1 1 −1) electron diffraction spot indicated by the black circle in the SAED shown as inset. The dotted white line in the images show the position of the defect in the 3C-SiC layer. - Abstract: This work presents a structural study of silicon (Si) thin films grown on cubic silicon carbide (3C-SiC) by chemical vapor deposition. The presence of grains rotated by 90° around the growth direction in the Si layer is directly related to the presence of antiphase domains on the 3C-SiC surface. We were able to provide a direct evidence that the 90° rotation of Si grains around the growth direction occurs exactly on the termination of antiphase boundaries (APBs) in 3C-SiC layer. Increasing the 3C-SiC thickness reduces the APBs density on 3C-SiC surface leading to a clear improvement of the uppermost Si film crystal quality. Furthermore, we observed by high resolution plan-view TEM images the presence of hexagonal Si domains limited to few nm in size. These hexagonal Si domains are inclusions in small Si grains enclosed in larger ones rotated by 90°. Finally, we propose a model of grains formation in the Si layer taking into consideration the effect

  19. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  20. Effect of Sn Composition in Ge1- x Sn x Layers Grown by Using Rapid Thermal Chemical Vapor Deposition

    Science.gov (United States)

    Kil, Yeon-Ho; Kang, Sukill; Jeong, Tae Soo; Shim, Kyu-Hwan; Kim, Dae-Jung; Choi, Yong-Dae; Kim, Mi Joung; Kim, Taek Sung

    2018-05-01

    The Ge1- x Sn x layers were grown by using rapid thermal chemical-vapor deposition (RTCVD) on boron-doped p-type Si (100) substrates with Sn compositions up to x = 0.83%. In order to obtain effect of the Sn composition on the structural and the optical characteristics, we utilized highresolution X-ray diffraction (HR-XRD), etch pit density (EPD), atomic force microscopy (AFM), Raman spectroscopy, and photocurrent (PC) spectra. The Sn compositions in the Ge1- x Sn x layers were found to be of x = 0.00%, 0.51%, 0.65%, and 0.83%. The root-mean-square (RMS) of the surface roughness of the Ge1- x Sn x layer increased from 2.02 nm to 3.40 nm as the Sn composition was increased from 0.51% to 0.83%, and EPD was on the order of 108 cm-2. The Raman spectra consist of only one strong peak near 300 cm-1, which is assigned to the Ge-Ge LO peaks and the Raman peaks shift to the wave number with increasing Sn composition. Photocurrent spectra show near energy band gap peaks and their peak energies decrease with increasing Sn composition due to band-gap bowing in the Ge1- x Sn x layer. An increase in the band gap bowing parameter was observed with increasing Sn composition.

  1. Single and multi-layered core-shell structures based on ZnO nanorods obtained by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C.; Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx

    2015-07-15

    Core–shell nanorod structures were prepared by a sequential synthesis using an aerosol assisted chemical vapor deposition technique. Several samples consisting of ZnO nanorods were initially grown over TiO{sub 2} film-coated borosilicate glass substrates, following the synthesis conditions reported elsewhere. Later on, a uniform layer consisting of individual Al, Ni, Ti or Fe oxides was grown onto ZnO nanorod samples forming the so-called single MO{sub x}/ZnO nanorod core–shell structures, where MO{sub x} was the metal oxide shell. Additionally, a three-layer core–shell sample was developed by growing Fe, Ti and Fe oxides alternately, onto the ZnO nanorods. The microstructure of the core–shell materials was characterized by grazing incidence X-ray diffraction, scanning and transmission electron microscopy. Energy dispersive X-ray spectroscopy was employed to corroborate the formation of different metal oxides. X-ray diffraction outcomes for single core–shell structures showed solely the presence of ZnO as wurtzite and TiO{sub 2} as anatase. For the multi-layered shell sample, the existence of Fe{sub 2}O{sub 3} as hematite was also detected. Morphological observations suggested the existence of an outer material grown onto the nanorods and further microstructural analysis by HR-STEM confirmed the development of core–shell structures in all cases. These studies also showed that the individual Al, Fe, Ni and Ti oxide layers are amorphous; an observation that matched with X-ray diffraction analysis where no apparent extra oxides were detected. For the multi-layered sample, the development of a shell consisting of three different oxide layers onto the nanorods was found. Overall results showed that no alteration in the primary ZnO core was produced during the growth of the shells, indicating that the deposition technique used herein was and it is suitable for the synthesis of homogeneous and complex nanomaterials high in quality and purity. In addition

  2. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  3. Investigation of Ni@CoO core-shell nanoparticle films synthesized by sequential layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Spadaro, M.C., E-mail: mariachiara.spadaro@unimore.it [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Luches, P. [Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Benedetti, F.; Valeri, S. [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Turchini, S. [CNR-ISM, Via Fosso del Cavaliere 100, 00133 Roma (Italy); Bertoni, G. [CNR-IMEM, Parco Area delle Scienze 37/a, 43124 Parma (Italy); Ferretti, A.M.; Capetti, E.; Ponti, A. [Laboratorio di Nanotecnologie, Istituto di Scienze e Tecnologie Molecolari, Consiglio Nazionale delle Ricerche, via G. Fantoli 16/15, 20138 Milano (Italy); D’Addato, S. [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy)

    2017-02-28

    Highlights: • We studied Ni/CoO core-shell nanoparticles (NP) obtained with a gas aggregation source. • The NP oxide shells were produced bye reactive deposition of Co in Oxygen atmosphere (p{sub O2} ≈ 10{sup −7} mbar). • XPS, SEM, STEM were used to obtain information on Ni chemical state and NP structure and morphology. • XMCD result showed evidence of remanent magnetization at room temperature. • We interpret XMCD results as due to stabilization induced by exchange bias due to AFM/FM coupling at the core/shell interface. - Abstract: Films of Ni@CoO core-shell nanoparticles (NP Ni core size d ≈ 11 nm) have been grown on Si/SiO{sub x} and lacey carbon supports, by a sequential layer deposition method: a first layer of CoO was evaporated on the substrate, followed by the deposition of a layer of pre-formed, mass-selected Ni NPs, and finally an overlayer of CoO was added. The Ni NPs were formed by a magnetron gas aggregation source, and mass selected with a quadrupole mass filter. The morphology of the films was investigated with Scanning Electron Microscopy and Scanning Transmission Electron Microscopy. The Ni NP cores have a shape compatible with McKay icosahedron, caused by multitwinning occurring during their growth in the source, and the Ni NP layer shows the typical random paving growth mode. After the deposition of the CoO overlayer, CoO islands are observed, gradually extending and tending to merge with each other, with the formation of shells that enclose the Ni NP cores. In situ X-ray Photoelectron Spectroscopy showed that a few Ni atomic layers localized at the core-shell interface are oxidized, hinting at the possibility of creating an intermediate NiO shell between Ni and CoO, depending on the deposition conditions. Finally, X-ray Magnetic Circular Dichroism at the Ni L{sub 2,3} absorption edge showed the presence of magnetization at room temperature even at remanence, revealing the possibility of magnetic stabilization of the NP film.

  4. Topography and stratigraphy of Martian polar layered deposits

    Science.gov (United States)

    Blasius, K. R.; Cutts, J. A.; Howard, A. D.

    1982-01-01

    The first samples of high resolution Viking Orbiter topographic and stratigraphic data for the layered polar deposits of Mars are presented, showing that these deposits are with respect to both slopes and angular relief similar to those in the south. It is also demonstrated that, in conjunction with stereophotogrammetry, photoclinometry holds promise as a tool for detailed layered deposit studies. The spring season photography, which lends itself to photoclinometric analysis, covers the entire area of the north polar deposits. Detailed tests of layered terrain evolution hypotheses will be made, upon refinement of the data by comparison with stereo data. A more promising refining technique will make use of averaging perpendicular to selected sections to enhance SNR. Local reliefs of 200-800 m, and slopes of 1-8 deg, lead to initial calculations of average layer thickness which yields results of 14-46 m, linearly correlated with slope.

  5. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  6. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  7. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  8. Optical characterization of In{sub 2}S{sub 3} solar cell buffer layers grown by chemical bath and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Trigo, J.F.; Asenjo, B.; Herrero, J.; Gutierrez, M.T. [Department of Energy, CIEMAT, Avda. Complutense, 22, 28040 Madrid (Spain)

    2008-09-15

    In this paper, we study the optical properties of indium sulfide thin films to establish the best conditions to obtain a good solar cell buffer layer. The In{sub 2}S{sub 3} buffer layers have been prepared by chemical bath deposition (CBD) and thermal evaporation (PVD). Optical behavior differences have been found between CBD and PVD In{sub 2}S{sub 3} thin films that have been explained as due to structural, morphological and compositional differences observed in the films prepared by both methods. The resultant refractive index difference has to be attributed to the lower density of the CBD films, which can be related to the presence of oxygen. Its higher refractive index makes PVD film better suited to reduce overall reflectance in a typical CIGS solar cell. (author)

  9. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  10. Freestanding films of crosslinked gold nanoparticles prepared via layer-by-layer spin-coating

    Science.gov (United States)

    Schlicke, Hendrik; Schröder, Jan H.; Trebbin, Martin; Petrov, Alexey; Ijeh, Michael; Weller, Horst; Vossmeyer, Tobias

    2011-07-01

    A new, extremely efficient method for the fabrication of films comprised of gold nanoparticles (GNPs) crosslinked by organic dithiols is presented in this paper. The method is based on layer-by-layer spin-coating of both components, GNPs and crosslinker, and enables the deposition of films several tens of nanometers in thickness within a few minutes. X-ray diffraction and conductance measurements reveal the proper adjustment concentration of the crosslinker solution of the critical is in order to prevent the destabilization and coalescence of particles. UV/vis spectroscopy, atomic force microscopy, and conductivity measurements indicate that films prepared via layer-by-layer spin-coating are of comparable quality to coatings prepared via laborious layer-by-layer self-assembly using immersion baths. Because spin-coated films are not bound chemically to the substrate, they can be lifted-off by alkaline underetching and transferred onto 3d-electrodes to produce electrically addressable, freely suspended films. Comparative measurements of the sheet resistances indicate that the transfer process does not compromise the film quality.

  11. Freestanding films of crosslinked gold nanoparticles prepared via layer-by-layer spin-coating

    International Nuclear Information System (INIS)

    Schlicke, Hendrik; Schroeder, Jan H; Trebbin, Martin; Petrov, Alexey; Ijeh, Michael; Weller, Horst; Vossmeyer, Tobias

    2011-01-01

    A new, extremely efficient method for the fabrication of films comprised of gold nanoparticles (GNPs) crosslinked by organic dithiols is presented in this paper. The method is based on layer-by-layer spin-coating of both components, GNPs and crosslinker, and enables the deposition of films several tens of nanometers in thickness within a few minutes. X-ray diffraction and conductance measurements reveal the proper adjustment concentration of the crosslinker solution of the critical is in order to prevent the destabilization and coalescence of particles. UV/vis spectroscopy, atomic force microscopy, and conductivity measurements indicate that films prepared via layer-by-layer spin-coating are of comparable quality to coatings prepared via laborious layer-by-layer self-assembly using immersion baths. Because spin-coated films are not bound chemically to the substrate, they can be lifted-off by alkaline underetching and transferred onto 3d-electrodes to produce electrically addressable, freely suspended films. Comparative measurements of the sheet resistances indicate that the transfer process does not compromise the film quality.

  12. Optical and Electrical Characteristics of Graphene Double Layer Formed by a Double Transfer of Graphene Single Layers.

    Science.gov (United States)

    Kim, Young Jun; Bae, Gi Yoon; Chun, Sungwoo; Park, Wanjun

    2016-03-01

    We demonstrate formation of double layer graphene by means of a double transfer using two single graphene layers grown by a chemical vapor deposition method. It is observed that shiftiness and broadness in the double-resonance of Raman scattering are much weaker than those of bilayer graphene formed naturally. Transport characteristics examined from transmission line measurements and field effect transistors show the similar behavior with those of single layer graphene. It indicates that interlayer separation, in electrical view, is large enough to avoid correlation between layers for the double layer structure. It is also observed from a transistor with the double layer graphene that molecules adsorpted on two inner graphene surfaces in the double layered structure are isolated and conserved from ambient environment.

  13. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  14. Synthesis and characterization of graphene layers prepared by low-pressure chemical vapor deposition using triphenylphosphine as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Mastrapa, G.C.; Maia da Costa, M.E.H. Maia [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Larrude, D.G., E-mail: dunigl@vdg.fis.puc-rio.br [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Freire, F.L. [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Brazilian Center for Physical Research, 22290-180, Rio de Janeiro, RJ (Brazil)

    2015-09-15

    The synthesis of a single-layer graphene using a low-pressure Chemical Vapor Deposition (CVD) system with triphenylphosphine as precursor is reported. The amount of triphenylphosphine used as precursor was in the range of 10–40 mg. Raman spectroscopy was employed to analyze samples prepared with 10 mg of the precursor, and these spectra were found typical of graphene. The Raman measurements indicate that the progressive degradation of graphene occurs as the amount of triphenylphosphine increases. X-ray photoelectron spectroscopy measurements were performed to investigate the different chemical environments involving carbon and phosphorous atoms. Scanning electron microscopy and transmission electron microscopy were also employed and the results reveal the formation of dispersed nanostructures on top of the graphene layer, In addition, the number of these nanostructures is directly related to the amount of precursor used for sample growth. - Highlights: • We grow graphene using the solid precursor triphenylphosphine. • Raman analysis confirms the presence of monolayer graphene. • SEM images show the presence of small dark areas dispersed on the graphene surface. • Raman I{sub D}/I{sub G} ratio increases in the dark region of the graphene surface.

  15. Improving the electrical properties of graphene layers by chemical doping

    International Nuclear Information System (INIS)

    Khan, Muhammad Farooq; Iqbal, Muhammad Zahir; Iqbal, Muhammad Waqas; Eom, Jonghwa

    2014-01-01

    Although the electronic properties of graphene layers can be modulated by various doping techniques, most of doping methods cost degradation of structural uniqueness or electrical mobility. It is matter of huge concern to develop a technique to improve the electrical properties of graphene while sustaining its superior properties. Here, we report the modification of electrical properties of single- bi- and trilayer graphene by chemical reaction with potassium nitrate (KNO 3 ) solution. Raman spectroscopy and electrical transport measurements showed the n-doping effect of graphene by KNO 3 . The effect was most dominant in single layer graphene, and the mobility of single layer graphene was improved by the factor of more than 3. The chemical doping by using KNO 3 provides a facile approach to improve the electrical properties of graphene layers sustaining their unique characteristics. (paper)

  16. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  17. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  18. Drastic reduction in the surface recombination velocity of crystalline silicon passivated with catalytic chemical vapor deposited SiNx films by introducing phosphorous catalytic-doped layer

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2014-01-01

    We improve the passivation property of n-type crystalline silicon (c-Si) surface passivated with a catalytic chemical vapor deposited (Cat-CVD) Si nitride (SiN x ) film by inserting a phosphorous (P)-doped layer formed by exposing c-Si surface to P radicals generated by the catalytic cracking of PH 3 molecules (Cat-doping). An extremely low surface recombination velocity (SRV) of 2 cm/s can be achieved for 2.5 Ω cm n-type (100) floating-zone Si wafers passivated with SiN x /P Cat-doped layers, both prepared in Cat-CVD systems. Compared with the case of only SiN x passivated layers, SRV decreases from 5 cm/s to 2 cm/s. The decrease in SRV is the result of field effect created by activated P atoms (donors) in a shallow P Cat-doped layer. Annealing process plays an important role in improving the passivation quality of SiN x films. The outstanding results obtained imply that SiN x /P Cat-doped layers can be used as promising passivation layers in high-efficiency n-type c-Si solar cells.

  19. Chemical solution seed layer for rabits tapes

    Science.gov (United States)

    Goyal, Amit; Paranthaman, Mariappan; Wee, Sung-Hun

    2014-06-10

    A method for making a superconducting article includes the steps of providing a biaxially textured substrate. A seed layer is then deposited. The seed layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different rare earth or transition metal cations. A superconductor layer is grown epitaxially such that the superconductor layer is supported by the seed layer.

  20. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  1. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  2. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  3. In vitro characterization of hydroxyapatite layers deposited by APS and HVOF thermal spraying methods

    Directory of Open Access Journals (Sweden)

    Radu Alexandru Roşu

    2012-03-01

    Full Text Available Titanium alloys are successfully used in medicine as implants due to their high mechanical properties and good biocompatibility. To improve implant osseointegration of titanium alloys, they are covered with hydroxyapatite because of its bioactive properties. Coating the implants with hydroxyapatite by thermal spraying, due to the temperatures developed during the deposition process, the structure can be degraded, leading to formation of secondary phases, such as TCP, TT CP, CaO. The paper presents the experimental results of hydroxyapatite layers deposition by two thermal spraying methods: Atmospheric Plasma Spraying (APS and High Velocity Oxy-Fuel (HVOF. The microstructure of the deposited layers is characterized by X-ray diffraction analysis and electronic microscopy. The bioactivity of the hydroxyapatite layers was investigated in Simulated Body Fluid (SBF by immersing the covered samples deposited by the two thermal spraying methods. In both cases the coatings did not present defects as cracks or microcracks. X-ray diffraction performed on hydroxyapatite deposited layers shows that the structure was strongly influenced by plasma jet temperature, the structure consisting mainly of TCP (Ca3PO42. The samples deposited by HVO F after immersing in SBF lead to formation of biological hydroxyapatite, certifying the good bioactivity of the coatings.

  4. pH-Dependent Release of Insulin from Layer-by-Layer-Deposited Polyelectrolyte Microcapsules

    Directory of Open Access Journals (Sweden)

    Kentaro Yoshida

    2015-07-01

    Full Text Available Insulin-containing microcapsules were prepared by a layer-by-layer (LbL deposition of poly(allylamine hydrochloride (PAH and polyanions, such as poly(styrenesulfonate (PSS, poly(vinyl sulfate (PVS, and dextran sulfate (DS on insulin-containing calcium carbonate (CaCO3 microparticles. The CaCO3 core was dissolved in diluted HCl solution to obtain insulin-containing hollow microcapsules. The microcapsules were characterized by scanning electron microscope (SEM and atomic force microscope (AFM images and ζ-potential. The release of insulin from the microcapsules was faster at pH 9.0 and 7.4 than in acidic solutions due to the different charge density of PAH. In addition, insulin release was suppressed when the microcapsules were constructed using PAH with a lower molecular weight, probably owing to a thicker shell of the microcapsules. The results suggested a potential use of the insulin-containing microcapsules for developing insulin delivery systems.

  5. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  6. Quantitative and simultaneous analysis of the polarity of polycrystalline ZnO seed layers and related nanowires grown by wet chemical deposition

    Science.gov (United States)

    Guillemin, Sophie; Parize, Romain; Carabetta, Joseph; Cantelli, Valentina; Albertini, David; Gautier, Brice; Brémond, Georges; Fong, Dillon D.; Renevier, Hubert; Consonni, Vincent

    2017-03-01

    The polarity in ZnO nanowires is an important issue since it strongly affects surface configuration and reactivity, nucleation and growth, electro-optical properties, and nanoscale-engineering device performances. However, measuring statistically the polarity of ZnO nanowire arrays grown by chemical bath deposition and elucidating its correlation with the polarity of the underneath polycrystalline ZnO seed layer grown by the sol-gel process represents a major difficulty. To address that issue, we combine resonant x-ray diffraction (XRD) at Zn K-edge using synchrotron radiation with piezoelectric force microscopy and polarity-sensitive chemical etching to statistically investigate the polarity of more than 107 nano-objects both on the macroscopic and local microscopic scales, respectively. By using high temperature annealing under an argon atmosphere, it is shown that the compact, highly c-axis oriented ZnO seed layer is more than 92% Zn-polar and that only a few small O-polar ZnO grains with an amount less than 8% are formed. Correlatively, the resulting ZnO nanowires are also found to be Zn-polar, indicating that their polarity is transferred from the c-axis oriented ZnO grains acting as nucleation sites in the seed layer. These findings pave the way for the development of new strategies to form unipolar ZnO nanowire arrays as a requirement for a number of nanoscale-engineering devices like piezoelectric nanogenerators. They also highlight the great advantage of resonant XRD as a macroscopic, non-destructive method to simultaneously and statistically measure the polarity of ZnO nanowire arrays and of the underneath ZnO seed layer.

  7. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  8. Effect of layer thickness on the thermal release from Be–D co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Doerner, R.P.

    2014-01-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967–70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D 2 release from co-deposited Be–(0.05)D layers produced at ∼323 K. Bake desorption of layers of thickness 0.2–0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be–D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction. (paper)

  9. Chemical bath deposited zinc sulfide buffer layers for copper indium gallium sulfur-selenide solar cells and device analysis

    International Nuclear Information System (INIS)

    Kundu, Sambhu; Olsen, Larry C.

    2005-01-01

    Cadmium-free copper indium gallium sulfur-selenide (CIGSS) thin film solar cells have been fabricated using chemical bath deposited (CBD) zinc sulfide (ZnS) buffer layers. Shell Solar Industries provided high quality CIGSS absorber layers. The use of CBD-ZnS, which is a higher band gap material than CdS, improved the quantum efficiency of fabricated cells at lower wavelengths, leading to an increase in short circuit current. The best cell to date yielded an active area (0.43 cm 2 ) efficiency of 13.3%. The effect of the ZnS buffer layer thickness on device performance was studied carefully. This paper also presents a discussion of issues relevant to the use of the CBD-ZnS buffer material for improving device performance

  10. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  11. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  12. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  13. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  14. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  15. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  16. Stability of nanocrystalline electrochemically deposited layers

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2009-01-01

    have different microstructure and properties compared to bulk materials and the thermodynamic non-equilibrium state of as-deposited layers frequently results in changes of the microstructure as a function of time and/or temperature. The evolving microstructure affects the functionality and reliability......The technological demand for manufacturing components with complex geometries of micrometer or sub-micrometer dimensions and ambitions for ongoing miniaturization have attracted particular attention to electrochemical deposition methods. Thin layers of electrochemically deposited metals and alloys...... of electrodeposited components, which can be beneficial, as for the electrical conductivity of copper interconnect lines, or detrimental, as for reduced strength of nickel in MEMS applications. The present work reports on in-situ studies of the microstructure stability of as-deposited nanocrystalline Cu-, Ag- and Ni...

  17. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  18. Ordered ZnO/AZO/PAM nanowire arrays prepared by seed-layer-assisted electrochemical deposition

    International Nuclear Information System (INIS)

    Shen, Yu-Min; Pan, Chih-Huang; Wang, Sheng-Chang; Huang, Jow-Lay

    2011-01-01

    An Al-doped ZnO (AZO) seed layer is prepared on the back side of a porous alumina membrane (PAM) substrate by spin coating followed by annealing in a vacuum at 400 °C. Zinc oxide in ordered arrays mediated by a high aspect ratio and an ordered pore array of AZO/PAM is synthesized. The ZnO nanowire array is prepared via a 3-electrode electrochemical deposition process using ZnSO 4 and H 2 O 2 solutions at a potential of − 1 V (versus saturated calomel electrode) and temperatures of 65 and 80 °C. The microstructure and chemical composition of the AZO seed layer and ZnO/AZO/PAM nanowire arrays are characterized by field emission scanning electron microscopy (FE-SEM), high-resolution transmission electron microscopy (HR-TEM), and energy-dispersive X-ray spectroscopy (EDS). Results indicate that the ZnO/AZO/PAM nanowire arrays were assembled in the nanochannel of the porous alumina template with diameters of 110–140 nm. The crystallinity of the ZnO nanowires depends on the AZO seed layer during the annealing process. The nucleation and growth process of ZnO/AZO/PAM nanowires are interpreted by the seed-layer-assisted growth mechanism.

  19. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    Science.gov (United States)

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  20. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  1. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  2. Understanding Molecular Interactions within Chemically Selective Layered Polymer Assemblies

    Energy Technology Data Exchange (ETDEWEB)

    Gary J. Blanchard

    2009-06-30

    This work focuses on two broad issues. These are (1) the molecular origin of the chemical selectivity achieved with ultrathin polymer multilayers, and (2) how the viscoelastic properties of the polymer layers are affected by exposure to solvent and analytes. These issues are inter-related, and to understand them we need to design experiments that probe both the energetic and kinetic aspects of interfacial adsorption processes. This project focuses on controling the chemical structure, thickness, morphology and sequential ordering of polymer layers bound to interfaces using maleimide-vinyl ether and closely related alternating copolymerization chemistry and efficient covalent cross-linking reactions that allow for layer-by-layer polymer deposition. This chemistry has been developed during the funding cycle of this Grant. We have measure the equilibrium constants for interactions between specific layers within the polymer interfaces and size-controlled, surface-functionalized gold nanoparticles. The ability to control both size and functionality of gold nanoparticle model analytes allows us to evaluate the average “pore size” that characterizes our polymer films. We have measured the “bulk” viscosity and shear modulus of the ultrathin polymer films as a function of solvent overlayer identity using quartz crystal microbalance complex impedance measurements. We have measured microscopic viscosity at specific locations within the layered polymer interfaces with time-resolved fluorescence lifetime and depolarization techniques. We combine polymer, cross-linking and nanoparticle synthetic expertise with a host of characterization techniques, including QCM gravimetry and complex impedance analysis, steady state and time-resolved spectroscopies.

  3. Structure and chemical composition of layers adsorbed at interfaces with champagne.

    Science.gov (United States)

    Aguié-Béghin, V; Adriaensen, Y; Péron, N; Valade, M; Rouxhet, P; Douillard, R

    2009-11-11

    The structure and the chemical composition of the layer adsorbed at interfaces involving champagne have been investigated using native champagne, as well as ultrafiltrate (UFch) and ultraconcentrate (UCch) obtained by ultrafiltration with a 10(4) nominal molar mass cutoff. The layer adsorbed at the air/liquid interface was examined by surface tension and ellipsometry kinetic measurements. Brewster angle microscopy demonstrated that the layer formed on polystyrene by adsorption or drop evaporation was heterogeneous, with a domain structure presenting similarities with the layer adsorbed at the air/liquid interface. The surface chemical composition of polystyrene with the adlayer was determined by X-ray photoelectron spectroscopy (XPS). The contribution of champagne constituents varied according to the liquid (native, UFch, and UCch) and to the procedure of adlayer formation (evaporation, adsorption, and adsorption + rinsing). However, their chemical composition was not significantly influenced either by ultrafiltration or by the procedure of deposition on polystyrene. Modeling this composition in terms of classes of model compounds gave approximately 35% (w/w) of proteins and 65% (w/w) of polysaccharides. In the adlayer, the carboxyl groups or esters represent about 18% of carbon due to nonpolypeptidic compounds, indicating the presence of either uronic acids in the complex structure of pectic polysaccharides or of polyphenolic esters. This structural and chemical information and its relationship with the experimental procedures indicate that proteins alone cannot be used as a realistic model for the macromolecules forming the adsorption layer of champagne. Polysaccharides, the other major macromolecular components of champagne wine, are assembled with proteins at the interfaces, in agreement with the heterogeneous character of the adsorbed layer at interfaces.

  4. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    Science.gov (United States)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of plates> have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  5. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  6. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  7. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process—Uncoupling Material Synthesis and Layer Formation

    Directory of Open Access Journals (Sweden)

    Fabian Panzer

    2016-04-01

    Full Text Available We present the successful fabrication of CH3NH3PbI3 perovskite layers by the aerosol deposition method (ADM. The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  8. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  9. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  10. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  11. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  12. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  13. Laser detritiation and co-deposited layer characterisation for future ITER Installation

    International Nuclear Information System (INIS)

    Semerok, Alexandre; Brygo, Francois; Fomichev, Sergey V.; Champonnois, Francois; Weulersse, Jean-Marc; Thro, Pierre-Yves; Fichet, Pascal; Grisolia, Christian

    2006-01-01

    The experimental equipment in combination with pulsed Nd-YAG lasers was developed and applied to investigate co-deposited layer characterisation and ablation. Heating and ablation regimes were distinguished by ablation threshold fluence that was determined experimentally for graphite samples from TexTor (Germany) and TORE SUPRA (France) tokamaks. With 100 ns pulses, the ablation threshold for graphite substrate (2.5±0.5 J/cm 2 ) was much higher than the one for co-deposited layer (0.4±0.1 J cm -2 ). These threshold features are very promising to ensure self-controlled laser cleaning without substrate surface damage. The obtained optimal conditions (laser fluence F=1-2 J/cm 2 , 10-20 kHz repetition rate) were applied for co-deposited layer cleaning. The TexTor 50 μm thickness layer was almost completely removed after a single scanning without any damage of the graphite substrate. Cleaning rate of 0.2 m 2 /hour was demonstrated experimentally for 20 W mean laser power. A theoretical model of a complex surface heating (graphite or metal with a co-deposited layer) was developed to explain the experimental results and to obtain laser cleaning optimisation. A good agreement of the theoretical data with the experimental results was obtained. The studies on LIBS method for co-deposited layer characterisation have determined the analytical spectral lines for hydrogen, carbon, and other impurities (B, Fe, Si, and Cu) in TexTor graphite tile. The obtained results should be regarded optimistic for co-deposited layers characterisation by LIBS method. The development of certain laser methods and their application for in-situ detritiation and co-deposited layer characterisation are presented and discussed. (authors)

  14. M-type ferrites as template layers for the growth of oriented Y-type ferrites through chemical solution deposition method

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Uhrecký, Róbert; Kaščáková, Dorota; Slušná, Michaela; Dopita, M.; Kužel, R.

    2016-01-01

    Roč. 36, č. 13 (2016), s. 3173-3183 ISSN 0955-2219 R&D Projects: GA ČR(CZ) GA14-18392S Institutional support: RVO:61388980 Keywords : Chemical solution deposition * Hexagonal ferrites * Lattice misfit * Seed layer * Thin films Subject RIV: CA - Inorganic Chemistry Impact factor: 3.411, year: 2016

  15. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  16. The growth of mid-infrared emitting InAsSb/InAsP strained-layer superlattices using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Biefeld, R.M.; Allerman, A.A.; Kurtz, S.R.; Burkhart, J.H.

    1997-01-01

    We describe the metal-organic chemical vapor deposition os InAsSb/InAsP strained-layer superlattice (SLS) active regions for use in mid-infrared emitters. These SLSs were grown at 500 degrees C, and 200 torr in a horizontal quartz reactor using trimethylindium, triethylantimony, AsH 3 , and PH 3 . By changing the layer thickness and composition we have prepared structures with low temperature (≤20K) photoluminescence wavelengths ranging from 3.2 to 5.0 μm. Excellent performance was observed for an SLS light emitting diode (LED) and both optically pumped and electrically injected SLS layers. An InAsSb/InAsP SLS injection laser emitted at 3.3 μm at 80 K with peak power of 100 mW

  17. Fe3−δO4/MgO/Co magnetic tunnel junctions synthesized by full in situ atomic layer and chemical vapour deposition

    International Nuclear Information System (INIS)

    Mantovan, R; Vangelista, S; Kutrzeba-Kotowska, B; Lamperti, A; Fanciulli, M; Manca, N; Pellegrino, L

    2014-01-01

    Fe 3−δ O 4 /MgO/Co magnetic tunnel junctions (MTJs) are synthesized on top of ∼1 inch Si/SiO 2 substrates by conducting a full in situ chemical vapour and atomic layer deposition process with no vacuum break. Tunnel magnetoresistance up to 6% is measured at room temperature, increasing to 12.5% at 120 K. Our results demonstrate the possibility of using full-chemical processes to synthesize functional MTJs, and this could provide a path towards the use of cost-effective methods to produce magnetic devices on a large scale. (fast track communication)

  18. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2012-08-08

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new DSC record open-circuit potential of 1.1 V with state-of-the-art organic D-π-A sensitizer and cobalt redox mediator. After ALD of only a few angstroms of Ga 2O 3, the electron back reaction is reduced by more than an order of magnitude, while charge collection efficiency and fill factor are increased by 30% and 15%, respectively. The photogenerated exciton separation processes of electron injection into the TiO 2 conduction band and the hole injection into the electrolyte are characterized in detail. © 2012 American Chemical Society.

  19. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  20. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  1. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  2. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  3. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  4. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  5. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  6. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  7. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  8. The chemical composition and band gap of amorphous Si:C:N:H layers

    Energy Technology Data Exchange (ETDEWEB)

    Swatowska, Barbara, E-mail: swatow@agh.edu.pl [AGH University of Science and Technology, Department of Electronics, Mickiewicza Av. 30, 30-059 Krakow (Poland); Kluska, Stanislawa; Jurzecka-Szymacha, Maria [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, Mickiewicza Av. 30, 30-059 Krakow (Poland); Stapinski, Tomasz [AGH University of Science and Technology, Department of Electronics, Mickiewicza Av. 30, 30-059 Krakow (Poland); Tkacz-Smiech, Katarzyna [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, Mickiewicza Av. 30, 30-059 Krakow (Poland)

    2016-05-15

    Highlights: • Six type of amorphous hydrogenated films were obtained and analysed. • Investigated chemical bondings strongly influenced energy gap values. • Analysed layers could be applied as semiconductors and also as dielectrics. - Abstract: In this work we presented the correlation between the chemical composition of amorphous Si:C:N:H layers of various content of silicon, carbon and nitrogen, and their band gap. The series of amorphous Si:C:N:H layers were obtained by plasma assisted chemical vapour deposition method in which plasma was generated by RF (13.56 MHz, 300 W) and MW (2.45 GHz, 2 kW) onto monocrystalline silicon Si(001) and borosilicate glass. Structural studies were based on FTIR transmission spectrum registered within wavenumbers 400–4000 cm{sup −1}. The presence of Si−C, Si−N, C−N, C=N, C=C, C≡N, Si−H and C−H bonds was shown. The values band gap of the layers have been determined from spectrophotometric and ellipsometric measurements. The respective values are contained in the range between 1.64 eV – characteristic for typical semiconductor and 4.21 eV – for good dielectric, depending on the chemical composition and atomic structure of the layers.

  9. Electron beam deposition system causing little damage to organic layers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Minoru [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan); Matsumura, Michio, E-mail: matsu@chem.es.osaka-u.ac.jp [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Maeda, Yasuhiro [Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan)

    2011-07-29

    Conditions for deposition of an aluminum (Al) layer on an organic light-emitting layer with an electron beam (EB) deposition system were optimized with respect to deposition rate and damage to organic layers. The damage to the organic layers was found to be mostly caused by X-rays emitted from a target bombarded with accelerated electrons. In order to decrease the X-ray intensity while maintaining a high deposition rate, we used an EB source which emits high-density EB at low acceleration voltage. In addition, we inserted a heat reflector and a sintered-carbon liner between the Al target and copper crucible to improve heat insulation. As a result, the voltage needed for the deposition of Al electrodes at a rate of about 8 nm/s was lowered from normal voltages of 2.0 kV or higher to as low as 1.5 kV. To reduce the number of electrons hitting the substrate, we set pole pieces near the target and an electron trap in the chamber. The devices on which Al electrodes were deposited with the EB system showed almost the same properties as those of devices on which the Al electrodes were deposited by a resistive-heating method.

  10. High performance diamond-like carbon layers obtained by pulsed laser deposition for conductive electrode applications

    Science.gov (United States)

    Stock, F.; Antoni, F.; Le Normand, F.; Muller, D.; Abdesselam, M.; Boubiche, N.; Komissarov, I.

    2017-09-01

    For the future, one of the biggest challenge faced to the technologies of flat panel display and various optoelectronic and photovoltaic devices is to find an alternative to the use of transparent conducting oxides like ITO. In this new approach, the objective is to grow high conductive thin-layer graphene (TLG) on the top of diamond-like carbon (DLC) layers presenting high performance. DLC prepared by pulsed laser deposition (PLD) have attracted special interest due to a unique combination of their properties, close to those of monocrystalline diamond, like its transparency, hardness and chemical inertia, very low roughness, hydrogen-free and thus high thermal stability up to 1000 K. In our future work, we plane to explore the synthesis of conductive TLG on top of insulating DLC thin films. The feasibility and obtained performances of the multi-layered structure will be explored in great details in the short future to develop an alternative to ITO with comparable performance (conductivity of transparency). To select the best DLC candidate for this purpose, we focus this work on the physicochemical properties of the DLC thin films deposited by PLD from a pure graphite target at two wavelengths (193 and 248 nm) at various laser fluences. A surface graphenization process, as well as the required efficiency of the complete structure (TLG/DLC) will clearly be related to the DLC properties, especially to the initial sp3/sp2 hybridization ratio. Thus, an exhaustive description of the physicochemical properties of the DLC layers is a fundamental step in the research of comparable performance to ITO.

  11. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  12. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  13. Effect of p-layer properties on nanocrystalline absorber layer and thin film silicon solar cells

    International Nuclear Information System (INIS)

    Chowdhury, Amartya; Adhikary, Koel; Mukhopadhyay, Sumita; Ray, Swati

    2008-01-01

    The influence of the p-layer on the crystallinity of the absorber layer and nanocrystalline silicon thin film solar cells has been studied. Boron doped Si : H p-layers of different crystallinities have been prepared under different power pressure conditions using the plasma enhanced chemical vapour deposition method. The crystalline volume fraction of p-layers increases with the increase in deposition power. Optical absorption of the p-layer reduces as the crystalline volume fraction increases. Structural studies at the p/i interface have been done by Raman scattering studies. The crystalline volume fraction of the i-layer increases as that of the p-layer increases, the effect being more prominent near the p/i interface. Grain sizes of the absorber layer decrease from 9.2 to 7.2 nm and the density of crystallites increases as the crystalline volume fraction of the p-layer increases and its grain size decreases. With increasing crystalline volume fraction of the p-layer solar cell efficiency increases

  14. Changes in wetting and energetic properties of glass caused by deposition of different lipid layers

    Energy Technology Data Exchange (ETDEWEB)

    Golabek, Monika [Department of Physical Chemistry - Interfacial Phenomena, Faculty of Chemistry, Maria-Curie Sklodowska University, 20-031 Lublin (Poland); Holysz, Lucyna, E-mail: lucyna.holysz@poczta.umcs.lublin.pl [Department of Physical Chemistry - Interfacial Phenomena, Faculty of Chemistry, Maria-Curie Sklodowska University, 20-031 Lublin (Poland)

    2010-06-15

    An investigation of wetting and energetic properties of different lipid layers deposited on the glass surface was carried out by contact angles measurements and determination of the apparent surface free energy. The topography of the lipid layers was also determined with the help of atomic force microscopy (AFM). Two synthetic phospholipids were chosen for these studies, having the same phosphatidylcholine headgroup bound to the apolar part composed either by two saturated chains (1,2-dipalmitoyl-sn-glycero-3-phospshocholine - DPPC) or two unsaturated chains (1,2-dioleoyl-sn-glycero-3-phosphocholine - DOPC) and one lipid (1,2,3-trihexadecanoyl-sn-glycerol - tripalmitoylglycerol - TPG). The lipid layers, from the 1st to the 5th statistical monolayer, were deposited on the glass surface from chloroform solutions by spreading. The apparent surface free energy of the deposited layers was determined by contact angles measurements (advancing and receding) for three probe liquids (diiodomethane, water, and formamide), and then two concepts of interfacial interactions were applied. In the contact angle hysteresis approach (CAH) the apparent total surface free energy was calculated from the advancing and receding contact angles and surface tension of probe liquids. In the Lifshitz-van der Waals/acid-base approach (LWAB) the total surface free energy was calculated from the determined components of the energy, which were obtained from the advancing contact angles of the probe liquids only. Comparison of the results obtained by two approaches provided more information about the changes in the hydrophobicity/hydrophilicity of the layers depending on the number of monolayers and kind of the lipid deposited on the glass surface. It was found that the most visible changes in the surface free energy took place for the first two statistical monolayers irrespectively of the kind of the lipid used. Additionally, in all cases periodic oscillations from layer-to-layer in the lipid

  15. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Young-Soon, E-mail: kyscjb@i-sunam.com; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-15

    Highlights: • Economical method for crack-free amorphous yttria layer deposition by dip coating. • Simpler process for planar yttria film as a diffusion barrier and nucleation layer. • Easy control over the film properties with better characteristics. • Easy control over the thickness of the deposited films. • A feasible process that can be easily adopted by HTSCC industries. - Abstract: Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y{sub 2}O{sub 3} dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm{sup 2} area. After Y{sub 2}O{sub 3} deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO{sub 3} (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y{sub 2}O{sub 3} and GdBCO/LMO/MgO/Y{sub 2}O{sub 3} stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y{sub 2}O{sub 3} multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  16. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  17. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  18. Plasma-assisted atomic layer deposition of TiO2 compact layers for flexible mesostructured perovskite solar cells

    NARCIS (Netherlands)

    Zardetto, V.; Di Giacomo, F.; Lucarelli, G.; Kessels, W.M.M.; Brown, T.M.; Creatore, M.

    2017-01-01

    In mesostructured perovskite solar cell devices, charge recombination processes at the interface between the transparent conductive oxide, perovskite and hole transport layer are suppressed by depositing an efficient compact TiO2 blocking layer. In this contribution we investigate the role of the

  19. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  20. Chemical bath deposited rutile TiO{sub 2} compact layer toward efficient planar heterojunction perovskite solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Chao, E-mail: lc401997413@qq.com [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Wu, Zhenhua, E-mail: 80116243@qq.com [Henan Information Engineering School, Zhengzhou 450000 (China); Li, Pengwei, E-mail: pengweili001@126.com [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Fan, Jiajie, E-mail: fanjiajie@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Zhang, Yiqiang, E-mail: yqzhang@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Shao, Guosheng, E-mail: gsshao@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China)

    2017-01-01

    Highlights: • Rutile TiO{sub 2} thin film can be grown on FTO substrate below 100 °C. • 200 mM TiCl{sub 4} precursor solution results in the best PSC performance. • UV/O{sub 3} treatment can reduce the carrier recombination effectively. • Over 12% power conversion efficiency can be achieved for PSCs. - Abstract: TiO{sub 2} is a best choice of electron transport layers in perovskite solar cells, due to its high electron mobility and stability. However, traditional TiO{sub 2} processing method requires rather high annealing temperature (>500 °C), preventing it from application to flexible devices. Here, we show that TiO{sub 2} thin films can be synthesized via chemical bath deposition below 100 °C. Typically, a compact layer of rutile TiO{sub 2} is deposited onto fluorine-doped tin oxide (FTO) coated substrates, in an aqueous TiCl{sub 4} solution at 70 °C. Through the optimization of precursor concentration and ultraviolet-ozone surface modification, over 12% power conversion efficiency can be achieved for CH{sub 3}NH{sub 3}PbI{sub 3} based perovskite solar cells. These findings offer a potential low-temperature technical solution in using TiO{sub 2} thin film as an effective transport layer for flexible perovskite solar cells.

  1. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  2. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  3. Automated setup for spray assisted layer-by-layer deposition.

    Science.gov (United States)

    Mundra, Paul; Otto, Tobias; Gaponik, Nikolai; Eychmüller, Alexander

    2013-07-01

    The design for a setup allowing the layer-by-layer (LbL) assembly of thin films consisting of various colloidal materials is presented. The proposed system utilizes the spray-assisted LbL approach and is capable of autonomously producing films. It provides advantages to existing LbL procedures in terms of process speed and applicability. The setup offers several features that are advantageous for routine operation like an actuated sample holder, stainless steel spraying nozzles, or an optical liquid detection system. The applicability is demonstrated by the preparation of films containing semiconductor nanoparticles, namely, CdSe∕CdS quantum dots and a polyelectolyte. The films of this type are of potential interest for applications in optoelectronic devices such as light-emitting diodes or solar cells.

  4. Inorganic-Organic Coating via Molecular Layer Deposition Enables Long Life Sodium Metal Anode.

    Science.gov (United States)

    Zhao, Yang; Goncharova, Lyudmila V; Zhang, Qian; Kaghazchi, Payam; Sun, Qian; Lushington, Andrew; Wang, Biqiong; Li, Ruying; Sun, Xueliang

    2017-09-13

    Metallic Na anode is considered as a promising alternative candidate for Na ion batteries (NIBs) and Na metal batteries (NMBs) due to its high specific capacity, and low potential. However, the unstable solid electrolyte interphase layer caused by serious corrosion and reaction in electrolyte will lead to big challenges, including dendrite growth, low Coulombic efficiency and even safety issues. In this paper, we first demonstrate the inorganic-organic coating via advanced molecular layer deposition (alucone) as a protective layer for metallic Na anode. By protecting Na anode with controllable alucone layer, the dendrites and mossy Na formation have been effectively suppressed and the lifetime has been significantly improved. Moreover, the molecular layer deposition alucone coating shows better performances than the atomic layer deposition Al 2 O 3 coating. The novel design of molecular layer deposition protected Na metal anode may bring in new opportunities to the realization of the next-generation high energy-density NIBs and NMBs.

  5. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  6. Determination of chemical state of Al doping element in ZnO layer

    International Nuclear Information System (INIS)

    Csik, A.; Toth, J.; Lovics, R.; Takats, V.; Hakl, J.; Vad, K.

    2011-01-01

    Complete text of publication follows. Transparent and conducting oxides (TCO) thin films are very important from the scientific and technological point of view. The coexistence of electrical conductivity and optical transparency in these materials makes it possible to use them in modern technologies: transparent electrodes for flat panel displays and photovoltaic cells, low emissivity windows, transparent thin films transistors, light emitting diodes. One of the important TCO semiconductors is the impurity-doped zinc-oxide (ZnO) layer, for example aluminium doped zinc-oxide layer (AZO), due to its unique physical and chemical properties. It has wide band gap (3.44 eV) and large exciton binding energy (60 meV). ZnO thin layers have a great interest for potential applications in optical and optoelectronic devices. Furthermore, high quality single crystal ZnO wafers has already been available as a result of new developments in ZnO growth technologies with the capability to scale up wafer size, which is an important factor for increasing efficiency of solar cells. Nonetheless, in order to enable the use of ZnO layers with enhanced electrical properties, higher conductivities can be obtained by doping with donor elements such as aluminium, gallium, indium, boron or fluorine. Investigation of p-type doping possibilities, diffusion processes and thermal stability of these layers are in the focus of interest in the interpretation of their optical and electrical properties, and the prediction of their lifetime. In our SNMS/SIMS-XPS laboratory, experiments on TCO layered structures were carried on. Depth profile and chemical state analyses of ZnO/AlO/ZnO layered structures were performed by Secondary Neutral Mass Spectrometry (SNMS) and X-ray photoelectron spectroscopy (XPS). The samples were produced by atomic layer deposition technique with the following layered structure: between a few hundred atomic layers of ZnO was an AlO atomic layer. The SNMS was used for depth

  7. Relative influence of deposition and diagenesis on carbonate reservoir layering

    Energy Technology Data Exchange (ETDEWEB)

    Poli, Emmanuelle [Total E and P, Courbevoie (France); Javaux, Catherine [Total E and P, Pointe Noire (Congo)

    2008-07-01

    The architecture heterogeneities and petrophysical properties of carbonate reservoirs result from a combination of platform morphology, related depositional environments, relative sea level changes and diagenetic events. The reservoir layering built for static and dynamic modelling purposes should reflect the key heterogeneities (depositional or diagenetic) which govern the fluid flow patterns. The layering needs to be adapted to the goal of the modelling, ranging from full field computations of hydrocarbon volumes, to sector-based fine-scale simulations to test the recovery improvement. This paper illustrates various reservoir layering types, including schemes dominated by depositional architecture, and those more driven by the diagenetic overprint. The examples include carbonate platform reservoirs from different stratigraphic settings (Tertiary, Cretaceous, Jurassic and Permian) and different regions (Europe, Africa and Middle East areas). This review shows how significant stratigraphic surfaces (such as sequence boundaries or maximum flooding) with their associated facies shifts, can be often considered as key markers to constrain the reservoir layering. Conversely, how diagenesis (dolomitization and karst development), resulting in units with particular poroperm characteristics, may significantly overprint the primary reservoir architecture by generating flow units which cross-cut depositional sequences. To demonstrate how diagenetic processes can create reservoir bodies with geometries that cross-cut the depositional fabric, different types of dolomitization and karst development are illustrated. (author)

  8. Coating and functionalization of high density ion track structures by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mättö, Laura [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Szilágyi, Imre M., E-mail: imre.szilagyi@mail.bme.hu [Department of Inorganic and Analytical Chemistry, Budapest University of Technology and Economics, Szent Gellért tér 4, Budapest H-1111 (Hungary); MTA-BME Technical Analytical Research Group, Szent Gellért tér 4, Budapest H-1111 (Hungary); Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Laitinen, Mikko [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland)

    2016-10-01

    In this study flexible TiO{sub 2} coated porous Kapton membranes are presented having electron multiplication properties. 800 nm crossing pores were fabricated into 50 μm thick Kapton membranes using ion track technology and chemical etching. Consecutively, 50 nm TiO{sub 2} films were deposited into the pores of the Kapton membranes by atomic layer deposition using Ti({sup i}OPr){sub 4} and water as precursors at 250 °C. The TiO{sub 2} films and coated membranes were studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and X-ray reflectometry (XRR). Au metal electrode fabrication onto both sides of the coated foils was achieved by electron beam evaporation. The electron multipliers were obtained by joining two coated membranes separated by a conductive spacer. The results show that electron multiplication can be achieved using ALD-coated flexible ion track polymer foils. - Highlights: • Porous Kapton membranes were obtained by ion track technology and chemical etching. • TiO{sub 2} films were deposited by ALD into the pores of the Kapton membranes. • TiO{sub 2} nanotube array was prepared by removing the polymer core. • MCP structures were obtained from the coated membranes. • Electron multiplication was achieved using the ALD-coated Kapton foils.

  9. Stratigraphy and erosional landforms of layered deposits in Valles Marineris, Mars

    Science.gov (United States)

    Komatsu, G.; Geissler, P. E.; Strom, R. G.; Singer, R. B.

    1993-01-01

    Satellite imagery is used to identify stratigraphy and erosional landforms of 13 layered deposits in the Valles Marineris region of Mars (occurring, specifically, in Gangis, Juventae, Hebes, Ophir-Candor, Melas, and Capri-Eos Chasmata), based on albedo and erosional styles. Results of stratigraphic correlations show that the stratigraphy of layered deposits in the Hebes, Juventae, and Gangis Chasmata are not well correlated, indicating that at least these chasmata had isolated depositional environments resulting in different stratigraphic sequences. On the other hand, the layered deposits in Ophir-Candor and Melas Chasmata appear to have been connected in each chasma. Some of the layered deposits display complexities which indicate changes in space and time in the dominant source materials.

  10. Chemically deposited In2S3–Ag2S layers to obtain AgInS2 thin films by thermal annealing

    International Nuclear Information System (INIS)

    Lugo, S.; Peña, Y.; Calixto-Rodriguez, M.; López-Mata, C.; Ramón, M.L.; Gómez, I.; Acosta, A.

    2012-01-01

    Highlights: ► We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In 2 S 3 –Ag 2 S films. ► According to XRD chalcopyrite structure of AgInS 2 was obtained. ► AgInS 2 thin film has a band gap of 1.86 eV and a conductivity value of 1.2 × 10 −3 (Ω cm) −1 . - Abstract: AgInS 2 thin films were obtained by the annealing of chemical bath deposited In 2 S 3 –Ag 2 S layers at 400 °C in N 2 for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS 2 has been obtained. These films have an optical band gap, E g , of 1.86 eV and an electrical conductivity value of 1.2 × 10 −3 (Ω cm) −1 .

  11. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  12. Protective coatings of hafnium dioxide by atomic layer deposition for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Berdova, Maria, E-mail: maria.berdova@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland); Wiemer, Claudia; Lamperti, Alessio; Tallarida, Grazia; Cianci, Elena [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Lamagna, Luca; Losa, Stefano; Rossini, Silvia; Somaschini, Roberto; Gioveni, Salvatore [STMicroelectronics, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Fanciulli, Marco [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Università degli studi di Milano Bicocca, Dipartimento di Scienza dei Materiali, 20126, Milano (Italy); Franssila, Sami, E-mail: sami.franssila@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland)

    2016-04-15

    Graphical abstract: - Highlights: • Atomic layer deposition of HfO{sub 2} from (CpMe){sub 2}Hf(OMe)Me or Hf(NMeEt){sub 4} and ozone for potential applications in microelectromechanical systems. • ALD HfO{sub 2} protects aluminum substrates from degradation in moist environment and at the same time retains good reflectance properties of the underlying material. • The resistance of hafnium dioxide to moist environment is independent of chosen precursors. - Abstract: This work presents the investigation of HfO{sub 2} deposited by atomic layer deposition (ALD) from either HfD-CO4 or TEMAHf and ozone for microelectromechanical systems (MEMS) applications, in particular, for environmental protection of aluminum micromirrors. This work shows that HfO{sub 2} films successfully protect aluminum in moist environment and at the same time retain good reflectance properties of underlying material. In our experimental work, the chemical composition, crystal structure, electronic density and roughness of HfO{sub 2} films remained the same after one week of humidity treatment (relative humidity of 85%, 85 °C). The reflectance properties underwent only minor changes. The observed shift in reflectance was only from 80–90% to 76–85% in 400–800 nm spectral range when coated with ALD HfO{sub 2} films grown with Hf(NMeEt){sub 4} and no shift (remained in the range of 68–83%) for films grown from (CpMe){sub 2}Hf(OMe)Me.

  13. Microfabrication, separations, and detection by mass spectrometry on ultrathin-layer chromatography plates prepared via the low-pressure chemical vapor deposition of silicon nitride onto carbon nanotube templates.

    Science.gov (United States)

    Kanyal, Supriya S; Häbe, Tim T; Cushman, Cody V; Dhunna, Manan; Roychowdhury, Tuhin; Farnsworth, Paul B; Morlock, Gertrud E; Linford, Matthew R

    2015-07-24

    Microfabrication of ultrathin-layer chromatography (UTLC) plates via conformal deposition of silicon nitride by low-pressure chemical vapor deposition onto patterned carbon nanotube (CNT) scaffolds was demonstrated. After removal of the CNTs and hydroxylation, the resulting UTLC phase showed no expansion or distortion of their microfeatures and the absence/reduction of remaining nitrogenic species. Developing time of a mixture of lipophilic dyes on this UTLC plates was 86% shorter than on high-performance thin-layer chromatography (HPTLC) plates. A water-soluble food dye mixture was also separated resulting in low band broadening and reduced developing time compared to HPTLC. For the latter example, mobile phase optimization on a single UTLC plate consisted of 14 developments with different mobile phases, each preceded by a plate prewashing step. The same plate was again reused for additional 11 separations under varying conditions resulting in a development procedure with a mean separation efficiency of 233,000theoretical plates/m and a reduced mobile phase consumption of only 400μL. This repeated use proved the physical robustness of the ultrathin layer and its resistance to damage. The layer was highly suited for hyphenation to ambient mass spectrometry, including desorption electrospray ionization (DESI) mass spectrometry imaging and direct analysis in real time (DART) mass spectrometry. Copyright © 2015 Elsevier B.V. All rights reserved.

  14. Functional Micrococcus lysodeikticus layers deposited by laser technique for the optical sensing of lysozyme.

    Science.gov (United States)

    Dinca, Valentina; Zaharie-Butucel, Diana; Stanica, Luciana; Brajnicov, Simona; Marascu, Valentina; Bonciu, Anca; Cristocea, Andra; Gaman, Laura; Gheorghiu, Mihaela; Astilean, Simion; Vasilescu, Alina

    2018-02-01

    Whole cell optical biosensors, made by immobilizing whole algal, bacterial or mammalian cells on various supports have found applications in several fields, from ecology and ecotoxicity testing to biopharmaceutical production or medical diagnostics. We hereby report the deposition of functional bacterial layers of Micrococcus lysodeikticus (ML) via Matrix-Assisted Pulsed Laser Evaporation (MAPLE) on poly(diallyldimethylamonium) (PDDA)-coated-glass slides and their application as an optical biosensor for the detection of lysozyme in serum. Lysozyme is an enzyme upregulated in inflammatory diseases and ML is an enzymatic substrate for this enzyme. The MAPLE-deposited bacterial interfaces were characterised by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Fourier-Transformed Infrared Spectroscopy (FTIR), Raman and optical microscopy and were compared with control interfaces deposited via layer-by-layer on the same substrate. After MAPLE deposition and coating with graphene oxide (GO), ML-modified interfaces retained their functionality and sensitivity to lysozyme's lytic action. The optical biosensor detected lysozyme in undiluted serum in the clinically relevant range up to 10μgmL -1 , in a fast and simple manner. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  16. New method for fabrication of loaded micro- and nanocontainers: emulsion encapsulation by polyelectrolyte layer-by-layer deposition on the liquid core.

    Science.gov (United States)

    Grigoriev, D O; Bukreeva, T; Möhwald, H; Shchukin, D G

    2008-02-05

    A novel approach to the emulsion encapsulation was developed by combining the advantages of direct encapsulation of a liquid colloidal core with the accuracy and multifunctionality of layer-by-layer polyelectrolyte deposition. Experimental data obtained for the model oil-in-water emulsion confirm unambiguously the alternating PE assembly in the capsule shell as well as the maintenance of the liquid colloidal core. Two different mechanisms of capsule destruction upon interaction with the solid substrate were observed and qualitatively explained. The proposed method can be easily generalized to the preparation of oil-filled capsules in various oil/water/polyelectrolyte systems important in the field of pharmacy, medicine, and food industry.

  17. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  18. Layer-by-Layer Method for the Synthesis and Growth of Surface Mounted Metal-Organic Frameworks (SURMOFs

    Directory of Open Access Journals (Sweden)

    Osama Shekhah

    2010-02-01

    Full Text Available A layer-by-layer method has been developed for the synthesis of metal-organic frameworks (MOFs and their deposition on functionalized organic surfaces. The approach is based on the sequential immersion of functionalized organic surfaces into solutions of the building blocks of the MOF, i.e., the organic ligand and the inorganic unit. The synthesis and growth of different types of MOFs on substrates with different functionalization, like COOH, OH and pyridine terminated surfaces, were studied and characterized with different surface characterization techniques. A controlled and highly oriented growth of very homogenous films was obtained using this method. The layer-by-layer method offered also the possibility to study the kinetics of film formation in more detail using surface plasmon resonance and quartz crystal microbalance. In addition, this method demonstrates the potential to synthesize new classes of MOFs not accessible by conventional methods. Finally, the controlled growth of MOF thin films is important for many applications like chemical sensors, membranes and related electrodes.

  19. Layer-by-layer films for biomedical applications

    CERN Document Server

    Picart, Catherine; Voegel, Jean-Claude

    2015-01-01

    The layer-by-layer (LbL) deposition technique is a versatile approach for preparing nanoscale multimaterial films: the fabrication of multicomposite films by the LbL procedure allows the combination of literally hundreds of different materials with nanometer thickness in a single device to obtain novel or superior performance. In the last 15 years the LbL technique has seen considerable developments and has now reached a point where it is beginning to find applications in bioengineering and biomedical engineering. The book gives a thorough overview of applications of the LbL technique in the c

  20. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Chung, S J; Lee, Y S; Suh, E-K; Senthil Kumar, M; An, M H

    2010-01-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  1. Deuterium trapping in the carbon-silicon co-deposition layers prepared by RF sputtering in D2 atmosphere

    Science.gov (United States)

    Zhang, Hongliang; Zhang, Weiyuan; Su, Ranran; Tu, Hanjun; Shi, Liqun; Hu, Jiansheng

    2018-04-01

    Deuterated carbon-silicon layers co-deposited on graphite and silicon substrates by radio frequency magnetron sputtering in pure D2 plasma were produced to study deuterium trapping and characteristics of the C-Si layers. The C-Si co-deposited layers were examined by ion beam analysis (IBA), Raman spectroscopy (RS), infrared absorption (IR) spectroscopy, thermal desorption spectroscopy (TDS) and scanning electron microscopy (SEM). It was found that the growth rate of the C-Si co-deposition layer decreased with increasing temperature from 350 K to 800 K, the D concentration and C/Si ratios increased differently on graphite and silicon substrates. TDS shows that D desorption is mainly as D2, HD, HDO, CD4, and C2D4 and release peaks occurred at temperatures of less than 900 K. RS and IR analysis reveal that the structure of the C-Si layers became more disordered with increasing temperatures. Rounded areas of peeling with 1-2 μm diameters were observed on the surface.

  2. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  3. Deposits of the Peruvian Pisco Formation compared to layered deposits on Mars

    Science.gov (United States)

    Sowe, M.; Bishop, J. L.; Gross, C.; Walter, S.

    2013-09-01

    Deposits of the Peruvian Pisco Formation are morphologically similar to the mounds of Juventae Chasma at the equatorial region on Mars (Fig. 1). By analyzing these deposits, we hope to gain information about the environmental conditions that prevailed during sediment deposition and erosion, hence conditions that might be applicable to the Martian layered and hydrated deposits. Mariner 9 data of the Martian mid-latitudes have already shown evidence of the wind-sculptured landforms that display the powerful prevailing eolian regime [1]. In addition, [2] reported on similarities between Martian erosional landforms and those of the rainless coastal desert of central Peru from the Paracas peninsula to the Rio Ica. As indicated by similar erosional patterns, hyper-arid conditions and unidirectional winds must have dominated at least after deposition of the sediments, which are intermixed volcaniclastic materials and evaporate minerals at both locations. Likewise, variations in composition are displayed by alternating layers of different competence. The Pisco formation bears yardangs on siltstones, sandstones and clays with volcaniclastic admixtures [3] whereas the presence of sulphate minerals and the omnipresent mafic mineralogy has been reported for the layered mounds of Juventae Chasma equally [4]. Likewise, a volcanic airfall deposition and lacustrine formation have been proposed for the sulphate-rich deposits of Juventae Chasma [5,6]. In order to find out about potential spectral similarities, we performed a detailed spectral analysis of the surface by using LANDSAT and Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) VNIR/ SWIR data (visible to near-infrared and shortwave infrared region).

  4. On the physical and chemical details of alumina atomic layer deposition: A combined experimental and numerical approach

    International Nuclear Information System (INIS)

    Pan, Dongqing; Ma, Lulu; Xie, Yuanyuan; Yuan, Chris; Jen, Tien Chien

    2015-01-01

    Alumina thin film is typically studied as a model atomic layer deposition (ALD) process due to its high dielectric constant, high thermal stability, and good adhesion on various wafer surfaces. Despite extensive applications of alumina ALD in microelectronics industries, details on the physical and chemical processes are not yet well understood. ALD experiments are not able to shed adequate light on the detailed information regarding the transient ALD process. Most of current numerical approaches lack detailed surface reaction mechanisms, and their results are not well correlated with experimental observations. In this paper, the authors present a combined experimental and numerical study on the details of flow and surface reactions in alumina ALD using trimethylaluminum and water as precursors. Results obtained from experiments and simulations are compared and correlated. By experiments, growth rate on five samples under different deposition conditions is characterized. The deposition rate from numerical simulation agrees well with the experimental results. Details of precursor distributions in a full cycle of ALD are studied numerically to bridge between experimental observations and simulations. The 3D transient numerical model adopts surface reaction kinetics and mechanisms based on atomic-level studies to investigate the surface deposition process. Surface deposition is shown as a strictly self-limited process in our numerical studies. ALD is a complex strong-coupled fluid, thermal and chemical process, which is not only heavily dependent on the chemical kinetics and surface conditions but also on the flow and material distributions

  5. Chemical-bath ZnO buffer layer for CuInS{sub 2} thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Weber, M.; Scheer, R.; Lewerenz, H.J. [Hahn-Meitner-Institut, Abt. Grenzflaechen, Bereich Physikalische Chemie, Glienicker Strasse 100, D-14109 Berlin (Germany)

    1998-07-13

    ZnO buffer layers were grown by a chemical-bath deposition (CBD) in order to improve the interface quality in p-CuInS{sub 2} based solar cells, to improve the light transmission in the blue wavelength region, but also as an alternative to eliminate the toxic cadmium. The process consists of immersion of different substrates (glass, CIS) in a dilute solution of tetraamminezinc II, [Zn(NH{sub 2}){sub 4}]{sup 2+}, complex at 60-95C. During the growth process, a homogeneous growth mechanism which proceeds by the sedimentation of a mixture of ZnO and Zn(OH){sub 2} clusters formed in solution, competes with the heterogeneous growth mechanism. The mechanism consists of specific adsorption of a complex Zn(II) followed by a chemical reaction. The last process of growth results in thin, hard, adherent and specularly reflecting films. The characterization of the deposited CBD-ZnO layers was performed by X-ray diffraction (XRD), optical transmittance, scanning electron microscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). The as-deposited films on glass show hexagonal zincite structure with two preferred orientations (1 0 0) and (1 0 1). High optical transmittance up to 80% in the near-infrared and part of the visible region was observed. The low growth rate of the films on CIS suggests an atomic layer-by-layer growth process.The device parameters and performance are compared to heterojunction with a standard CdS buffer layer

  6. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  7. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  8. Influence of Roughness on Quality Molybdenum Deposit Layer by Thermal Spraying

    Directory of Open Access Journals (Sweden)

    Marián Bujna

    2016-01-01

    Full Text Available In this paper we deal with the impact of roughness on the quality of molybdenum layer. Insufficient cleaning may result in a poor quality of the sprayed layer. Our aim is to analyze the influence of surface roughness on the quality of molybdenum layer thickness applied by thermal spraying. Thermal spraying influence several physical and chemical properties of the coating surface. The most important ones include: hardness, density, porosity, corrosion resistance and adhesion. This technology of surface treatment of material is often used for its high degree of hardness. Hardness and erosion resistance are the parameters that need to be achieved particularly in working conditions where there is excessive depreciation of a component.

  9. Multiscale Engineered Si/SiO x Nanocomposite Electrodes for Lithium-Ion Batteries Using Layer-by-Layer Spray Deposition.

    Science.gov (United States)

    Huang, Chun; Kim, Ayoung; Chung, Dong Jae; Park, Eunjun; Young, Neil P; Jurkschat, Kerstin; Kim, Hansu; Grant, Patrick S

    2018-05-09

    Si-based high-capacity materials have gained much attention as an alternative to graphite in Li-ion battery anodes. Although Si additions to graphite anodes are now commercialized, the fraction of Si that can be usefully exploited is restricted due to its poor cyclability arising from the large volume changes during charge/discharge. Si/SiO x nanocomposites have also shown promising behavior, such as better capacity retention than Si alone because the amorphous SiO x helps to accommodate the volume changes of the Si. Here, we demonstrate a new electrode architecture for further advancing the performance of Si/SiO x nanocomposite anodes using a scalable layer-by-layer atomization spray deposition technique. We show that particulate C interlayers between the current collector and the Si/SiO x layer and between the separator and the Si/SiO x layer improved electrical contact and reduced irreversible pulverization of the Si/SiO x significantly. Overall, the multiscale approach based on microstructuring at the electrode level combined with nanoengineering at the material level improved the capacity, rate capability, and cycling stability compared to that of an anode comprising a random mixture of the same materials.

  10. Diluent changes the physicochemical and electrochemical properties of the electrophoretically-deposited layers of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Benko, Aleksandra, E-mail: akbenko@gmail.com [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Nocuń, Marek [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Berent, Katarzyna; Gajewska, Marta [AGH University of Science and Technology, Academic Centre for Materials and Nanotechnology, A. Mickiewicza 30 Ave, 30-059, Krakow (Poland); Klita, Łukasz; Wyrwa, Jan; Błażewicz, Marta [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland)

    2017-05-01

    Highlights: • Different properties of the EPD-deposited CNTs layers may be altered by changing the applied solvent. • More conductive solvents guarantee higher values of the recorded current densities, increasing kinetics of the deposition and yielding layers of higher thicknesses. • In a less conductive, organic medium, mobility of the particles is reduced, allowing for optimal packing and densification of the CNTs layer. • Proper solvent selection in the EPD of CNTs may lead to obtainment of CNTs—substrate materials with conductivity that is superior to an unmodified substrate. - Abstract: Coating the material of choice with a layer of well-adhered carbon nanotubes is a subject of interest in many fields of materials science and industry. Electrophoretic deposition is one of the methods to handle this challenging task. In this process, careful designing of the deposition parameters is crucial in obtaining the product of strictly desired properties. This study was aimed to identify the influence of the diluent on the physicochemical ad electrochemical qualities of the final product. By analyzing the properties of the suspensions being used, we were able to hypothesize on the mechanisms of carbon nanotubes—liquid interactions and their outcome on the thickness, homogeneity, chemical and structural composition and electrical conductivity of the metal substrate covered with a layer of carbon nanotubes. We obtained a materials, composed of metal and a layer of CNTs, with conductivity that is superior to an unmodified metal. This types of materials may find numerous applications in fabrication of novel electronic devices, including the implantable electrodes for biomedicine—as reported in our previous studies, these types of coating are biocompatible.

  11. Influence of the processing conditions on the structural properties of ZnO layers obtained by PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Institute of Optical Materials and Technology ' Acad. J. Malinowski' , Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl.109, 1113 Sofia (Bulgaria)

    2010-11-01

    The plasma enhanced chemical vapor deposition (PECVD) is a powerful and flexible instrument for depositing thin layers, nanocomposites or nanostructures. In this work ZnO layers have been grown by metal-organic PECVD (RF - 13.56 MHz) on Si wafers. Zn acetylacetonate has been used as a precursor and oxygen as oxidant. The influence of the oxygen content in gas mixture, the total pressure, substrate temperature and ZnO seed layer on the structural properties of the layers deposited on Si wafers has been studied. ZnO layer properties were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD data have shown that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along c-axis direction perpendicular to the substrate surfaces. The results obtained indicate that by controlling the oxygen content in gas mixture, the total pressure and substrate temperature during the film growth one can control the formation of c-axis phase and the crystallite grain size. Nanorods with good alignment, vertically orientated to the substrate surface can be observed in the layers deposited at low content of O{sub 2} in plasma at substrate temperature of 400 {sup o}C. Due to their structural characteristics these layers are potential materials for preparing chemical- and biosensors where inherently large surface to volume ratio of structured materials are important prerequisite for enhanced sensitivity.

  12. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  13. Adhesion-enhanced thick copper film deposition on aluminum oxide by an ion-beam-mixed Al seed layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jin; Park, Jae-Won

    2012-01-01

    We report a highly-adherent 30-μm Cu conductive-path coating on an aluminum-oxide layer anodized on an aluminum-alloy substrate for a metal-printed circuit-board application. A 50-nm Al layer was first coated with an e-beam evaporative deposition method on the anodized oxide, followed by ion bombardment to mix the interfacial region. Subsequently, a Cu coating was deposited onto the mixed seed layer to the designed thickness. Adhesions of the interface were tested by using tape adhesion test, and pull-off tests and showed commercially acceptable adhesions for such thick coating layers. The ion beam mixing (IBM) plays the role of fastening the thin seed coating layer to the substrate and enhancing the adhesion of the Cu conductive path on the anodized aluminum surface.

  14. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  15. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  16. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  17. Impact of post-deposition annealing on interfacial chemical bonding states between AlGaN and ZrO2 grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    The effect of post-deposition annealing on chemical bonding states at interface between Al 0.5 Ga 0.5 N and ZrO 2 grown by atomic layer deposition (ALD) is studied by angle-resolved x-ray photoelectron spectroscopy and high-resolution transmission electron microscopy. It has been found that both of Al-O/Al 2p and Ga-O/Ga 3d area ratio decrease at annealing temperatures lower than 500 °C, which could be attributed to “clean up” effect of ALD-ZrO 2 on AlGaN. Compared to Ga spectra, a much larger decrease in Al-O/Al 2p ratio at a smaller take-off angle θ is observed, which indicates higher effectiveness of the passivation of Al-O bond than Ga-O bond through “clean up” effect near the interface. However, degradation of ZrO 2 /AlGaN interface quality due to re-oxidation at higher annealing temperature (>500 °C) is also found. The XPS spectra clearly reveal that Al atoms at ZrO 2 /AlGaN interface are easier to get oxidized as compared with Ga atoms

  18. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  19. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  20. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  1. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  2. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  3. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  4. Role of field-effect on c-Si surface passivation by ultrathin (2-20 nm) atomic layer deposited Al2O3

    NARCIS (Netherlands)

    Terlinden, N.M.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    Al2O3 synthesized by plasma-assisted atomic layer deposition yields excellent surface passivation of crystalline silicon (c-Si) for films down to ~ 5 nm in thickness. Optical second-harmonic generation was employed to distinguish between the influence of field-effect passivation and chemical

  5. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  6. Investigation of the electrochemical deposition of thick layers of cadmium telluride

    International Nuclear Information System (INIS)

    Rousset, J.

    2007-04-01

    This research thesis deals with the problem of electrochemical deposition of thick layers of cadmium telluride (CdTe) meeting the requirements of high energy radiation detection. The author first recalls the physicochemical properties of CdTe and the basic principles of radiology. He details the different criteria which define a material for X ray detection. He describes the experimental conditions, the nature and preparation of substrates, and the different electrochemical systems used in this research. He studies the impact of the applied potential on the material properties, and compares previously obtained results available in the literature with those obtained in the chosen pool conditions. He discusses the synthesis of CdTe thick layers for which different methods are tested: static in potential, static in intensity, pulsed. The coatings obtained with a given potential and then with a given current are investigated. Finally, the influence of a thermal treatment in presence or absence of a sintering agent on the morphology, the chemical composition, and the crystalline and electric properties of the deposited material is discussed, and the results of the behaviour under X rays of a electrodeposited layer are presented

  7. Barium diffusion in metallo-organic solution deposited barrier layers and Y1Ba2Cu3O7-x films

    International Nuclear Information System (INIS)

    Lipeles, R.A.; Leung, M.S.; Thiede, D.A.

    1990-01-01

    This paper reports on barium silicate and barium aluminate films that were studied for use as chemical reaction and diffusion barrier layers for Y 1 Ba 2 Cu 3 O 7-x (YBC) deposited on sapphire and fused silica substrates by the sol-gel technique. Depth profiling by secondary ion mass spectrometry (SIMS) was used to characterize the abruptness of the interfaces between the barrier layer and the YBC film as well as the barrier layer and the substrate. The authors found that barium aluminate films reacted with fused silica substrates forming a coarse-grained barium silicate phase. Barium silicate, BaSiO 3 , also reacted with silica substrates forming a broad, amorphous reaction zone containing some BaSi 2 O 5 . Although barium silicate and barium aluminate deposited on sapphire formed a BaAl 12 O 19 phase, they provided a barrier to barium diffusion from sol-gel deposited YBC. Crystalline barium aluminate grown on c-cut sapphire was the most effective barrier layer for the growth of YBC films; compositionally uniform YBC films were made similar to that grown on strontium titanate substrates. These data show that chemically stable, crystalline films are more effective barrier layers than amorphous films

  8. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Science.gov (United States)

    Lei, Hao; Wang, Meihan; Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka

    2013-11-01

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  9. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Hao, E-mail: haolei@imr.ac.cn [State Key Laboratory for Corrosion and Protection, Division of Surface Engineering of Materials, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Wang, Meihan [College of Mechanical Engineering, Shenyang University, Shenyang 110044 (China); Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka [Center for Hyper Media Research, Tokyo Polytechnic University, 1583 Iiyama, Atsugi, Kanagawa 243-0297 (Japan)

    2013-11-15

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  10. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  11. Layer-by-layer assembly of thin film oxygen barrier

    International Nuclear Information System (INIS)

    Jang, Woo-Sik; Rawson, Ian; Grunlan, Jaime C.

    2008-01-01

    Thin films of sodium montmorillonite clay and cationic polyacrylamide were grown on a polyethylene terephthalate film using layer-by-layer assembly. After 30 clay-polymer layers are deposited, with a thickness of 571 nm, the resulting transparent film has an oxygen transmission rate (OTR) below the detection limit of commercial instrumentation ( 2 /day/atm). This low OTR, which is unprecedented for a clay-filled polymer composite, is believed to be due to a brick wall nanostructure comprised of completely exfoliated clay in polymeric mortar. With an optical transparency greater than 90% and potential for microwaveability, this thin composite is a good candidate for foil replacement in food packaging and may also be useful for flexible electronics packaging

  12. The Effect of High Temperature Annealing on the Grain Characteristics of a Thin Chemical Vapor Deposition Silicon Carbide Layer.

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen; Philippus M van Rooyen; Mary Lou Dunzik-Gougar

    2013-08-01

    The unique combination of thermo-mechanical and physiochemical properties of silicon carbide (SiC) provides interest and opportunity for its use in nuclear applications. One of the applications of SiC is as a very thin layer in the TRi-ISOtropic (TRISO) coated fuel particles for high temperature gas reactors (HTGRs). This SiC layer, produced by chemical vapor deposition (CVD), is designed to withstand the pressures of fission and transmutation product gases in a high temperature, radiation environment. Various researchers have demonstrated that macroscopic properties can be affected by changes in the distribution of grain boundary plane orientations and misorientations [1 - 3]. Additionally, various researchers have attributed the release behavior of Ag through the SiC layer as a grain boundary diffusion phenomenon [4 - 6]; further highlighting the importance of understanding the actual grain characteristics of the SiC layer. Both historic HTGR fission product release studies and recent experiments at Idaho National Laboratory (INL) [7] have shown that the release of Ag-110m is strongly temperature dependent. Although the maximum normal operating fuel temperature of a HTGR design is in the range of 1000-1250°C, the temperature may reach 1600°C under postulated accident conditions. The aim of this specific study is therefore to determine the magnitude of temperature dependence on SiC grain characteristics, expanding upon initial studies by Van Rooyen et al, [8; 9].

  13. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  14. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  15. Growth and characterization of ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)

    2016-09-01

    Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.

  16. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  17. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  18. Layer-by-layer buildup of polysaccharide-containing films: Physico-chemical properties and mesenchymal stem cells adhesion.

    Science.gov (United States)

    Kulikouskaya, Viktoryia I; Pinchuk, Sergei V; Hileuskaya, Kseniya S; Kraskouski, Aliaksandr N; Vasilevich, Irina B; Matievski, Kirill A; Agabekov, Vladimir E; Volotovski, Igor D

    2018-03-22

    Layer-by-Layer assembled polyelectrolyte films offer the opportunity to control cell attachment and behavior on solid surfaces. In the present study, multilayer films based on negatively charged biopolymers (pectin, dextran sulfate, carboxymethylcellulose) and positively charged polysaccharide chitosan or synthetic polyelectrolyte polyethyleneimine has been prepared and evaluated. Physico-chemical properties of the formed multilayer films, including their growth, morphology, wettability, stability, and mechanical properties, have been studied. We demonstrated that chitosan-containing films are characterized by the linear growth, the defect-free surface, and predominantly viscoelastic properties. When chitosan is substituted for the polyethyleneimine in the multilayer system, the properties of the formed films are significantly altered: the rigidity and surface roughness increases, the film growth acquires the exponential character. The multilayer films were subsequently used for culturing mesenchymal stem cells. It has been determined that stem cells effectively adhered to chitosan-containing films and formed on them the monolayer culture of fibroblast-like cells with high viability. Our results show that cell attachment is a complex process which is not only governed by the surface functionality because one of the key parameter effects on cell adhesion is the stiffness of polyelectrolyte multilayer films. We therefore propose our Layer-by-Layer films for applications in tissue engineering. © 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2018. © 2018 Wiley Periodicals, Inc.

  19. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  20. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  1. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  2. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  3. Effect of layer-by-layer polyelectrolyte method on encapsulation of vanillin.

    Science.gov (United States)

    Noshad, Mohammad; Mohebbi, Mohebbat; Shahidi, Fakhri; Koocheki, Arash

    2015-11-01

    The objective of this work was to microencapsulate vanillin by multilayer emulsion followed by spray drying, aiming to protect it and control its release. An electrostatic layer-by-layer deposition method was used to create the multilayered interfacial membranes around microcapsules with different compositions: (i) one-layer (soy protein isolate); (ii) two-layer (soy protein isolate - OSA starch); (iii) three-layer (soy protein isolate - OSA starch - Chitosan). The morphology of the microcapsules was analyzed by scanning electronic microscopy. The hygroscopicity, solubility, particle size, encapsulation efficiency, Fourier transform infrared spectroscopy and release into water (37°C and 80°C) were also examined. FTIR confirmed the interaction between the wall materials. All microcapsules were not very water-soluble or hygroscopic while three-layer microcapsules compared to one and two layer microcapsules have lower moisture content and predominantly shriveled surfaces. The results indicated it was possible to encapsulate vanillin with the techniques employed and that these protected the vanillin even at 80°C. The reduced solubility and low release rates indicated the enormous potential of the vehicle developed in controlling the release of the vanillin into the food and pharmaceuticals. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  5. Recent Development of Advanced Electrode Materials by Atomic Layer Deposition for Electrochemical Energy Storage.

    Science.gov (United States)

    Guan, Cao; Wang, John

    2016-10-01

    Electrode materials play a decisive role in almost all electrochemical energy storage devices, determining their overall performance. Proper selection, design and fabrication of electrode materials have thus been regarded as one of the most critical steps in achieving high electrochemical energy storage performance. As an advanced nanotechnology for thin films and surfaces with conformal interfacial features and well controllable deposition thickness, atomic layer deposition (ALD) has been successfully developed for deposition and surface modification of electrode materials, where there are considerable issues of interfacial and surface chemistry at atomic and nanometer scale. In addition, ALD has shown great potential in construction of novel nanostructured active materials that otherwise can be hardly obtained by other processing techniques, such as those solution-based processing and chemical vapor deposition (CVD) techniques. This review focuses on the recent development of ALD for the design and delivery of advanced electrode materials in electrochemical energy storage devices, where typical examples will be highlighted and analyzed, and the merits and challenges of ALD for applications in energy storage will also be discussed.

  6. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bhartiya, S. [Laser Materials Development & Devices Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Gupta, M. [UGC-DAE Consortium for Scientific Research, Indore 452 017 (India)

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. On the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.

  7. Improvement of carrier transport and luminous efficiency of organic light emitting diodes by introducing a co-deposited active layer

    Energy Technology Data Exchange (ETDEWEB)

    Ohtani, Naoki; Murata, Masaya; Kashiwabara, Keiichiro; Kurata, Kazunori, E-mail: ohtani@mail.doshisha.ac.j [Department of Electronics, Doshisha University, 3-1 Tatara-Miyakodani, Kyotanabe-shi, Kyoto 610-0321 (Japan)

    2009-11-15

    We evaluated carrier transport and luminous efficiency of organic light-emitting diodes (OLEDs) whose active regions consist of a single co-deposited layer. One organic material is a hole transport material N,N'-Bis(3-methylphenyl)-N,N'-diphenylbenzidine (TPD), while the other is an electron transport/emissive material Tris(8-hydroxyquinolinato)-aluminum (Alq3). It was found that the luminous efficiency strongly depends on the thickness and the ratio of the TPD:Alq3 co-deposited layer. This indicates that the carrier balance in the active region can be improved by changing the co-deposited layers. In addition, we performed the dye-doping method to clarify the recombination region. As a result, we found that the radiative recombination is caused in the whole TPD:Alq3 co-deposited layer.

  8. A novel and efficient oxidative functionalization of lignin by layer-by-layer immobilised Horseradish peroxidase.

    Science.gov (United States)

    Perazzini, Raffaella; Saladino, Raffaele; Guazzaroni, Melissa; Crestini, Claudia

    2011-01-01

    Horseradish peroxidase (HRP) was chemically immobilised onto alumina particles and coated by polyelectrolytes layers, using the layer-by-layer technique. The reactivity of the immobilised enzyme was studied in the oxidative functionalisation of softwood milled wood and residual kraft lignins and found higher than the free enzyme. In order to investigate the chemical modifications in the lignin structure, quantitative (31)P NMR was used. The immobilised HRP showed a higher reactivity with respect to the native enzyme yielding extensive depolymerisation of lignin. Copyright © 2010 Elsevier Ltd. All rights reserved.

  9. Quantum chemical study of the elementary reactions in zirconium oxide atomic layer deposition

    International Nuclear Information System (INIS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-01-01

    Elementary reactions in atomic layer deposition of zirconia using zirconium tetrachloride and water are investigated using the density functional theory. The atomistic mechanisms of the two deposition half cycles on the Zr-OH and Zr-Cl surface sites are investigated. Both half reactions proceed through the formation of stable intermediates, resulting in high barriers for HCl formation. We find that the intermediate stability is lowered as the surface temperature is raised. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors

  10. Simulating Porous Magnetite Layer Deposited on Alloy 690TT Steam Generator Tubes.

    Science.gov (United States)

    Jeon, Soon-Hyeok; Son, Yeong-Ho; Choi, Won-Ik; Song, Geun Dong; Hur, Do Haeng

    2018-01-02

    In nuclear power plants, the main corrosion product that is deposited on the outside of steam generator tubes is porous magnetite. The objective of this study was to simulate porous magnetite that is deposited on thermally treated (TT) Alloy 690 steam generator tubes. A magnetite layer was electrodeposited on an Alloy 690TT substrate in an Fe(III)-triethanolamine solution. After electrodeposition, the dense magnetite layer was immersed to simulate porous magnetite deposits in alkaline solution for 50 days at room temperature. The dense morphology of the magnetite layer was changed to a porous structure by reductive dissolution reaction. The simulated porous magnetite layer was compared with flakes of steam generator tubes, which were collected from the secondary water system of a real nuclear power plant during sludge lancing. Possible nuclear research applications using simulated porous magnetite specimens are also proposed.

  11. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  12. Vertically aligned carbon nanotubes/diamond double-layered structure for improved field electron emission stability

    Energy Technology Data Exchange (ETDEWEB)

    Yang, L., E-mail: qiaoqin.yang@mail.usask.ca; Yang, Q.; Zhang, C.; Li, Y.S.

    2013-12-31

    A double-layered nanostructure consisting of a layer of vertically aligned Carbon Nanotubes (CNTs) and a layer of diamond beneath has been synthesized on silicon substrate by Hot Filament Chemical Vapor Deposition. The synthesis was achieved by first depositing a layer of diamond on silicon and then depositing a top layer of vertically aligned CNTs by applying a negative bias on the substrate holder. The growth of CNTs was catalyzed by a thin layer of spin-coated iron nitride. The surface morphology and structure of the CNTs/diamond double-layered structure were characterized by Scanning Electron Microscope, Energy Dispersive X-ray spectrum, and Raman Spectroscopy. Their field electron emission (FEE) properties were measured by KEITHLEY 237 high voltage measurement unit, showing much higher FEE current stability than single layered CNTs. - Highlights: • A new double-layered nanostructure consisting of a layer of vertically aligned CNTs and a layer of diamond beneath has been synthesized by hot filament chemical vapor deposition. • This double-layered structure exhibits superior field electron emission stability. • The improvement of emission stability is due to the combination of the unique properties of diamond and CNTs.

  13. Suppression of Mg propagation into subsequent layers grown by MOCVD

    Science.gov (United States)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  14. Resistive switching memory properties of layer-by-layer assembled enzyme multilayers

    International Nuclear Information System (INIS)

    Baek, Hyunhee; Cho, Jinhan; Lee, Chanwoo; Lim, Kwang-il

    2012-01-01

    The properties of enzymes, which can cause reversible changes in currents through redox reactions in solution, are of fundamental and practical importance in bio-electrochemical applications. These redox properties of enzymes are often associated with their charge-trap sites. Here, we demonstrate that reversible changes in resistance in dried lysozyme (LYS) films can be generated by an externally applied voltage as a result of charge trap/release. Based on such changes, LYS can be used as resistive switching active material for nonvolatile memory devices. In this study, cationic LYS and anionic poly(styrene sulfonate) (PSS) layers were alternately deposited onto Pt-coated silicon substrates using a layer-by-layer assembly method. Then, top electrodes were deposited onto the top of LYS/PSS multilayers to complete the fabrication of the memory-like device. The LYS/PSS multilayer devices exhibited typical resistive switching characteristics with an ON/OFF current ratio above 10 2 , a fast switching speed of 100 ns and stable performance. Furthermore, the insertion of insulating polyelectrolytes (PEs) between the respective LYS layers significantly enhanced the memory performance of the devices showing a high ON/OFF current ratio of ∼10 6 and low levels of power consumption. (paper)

  15. Tritium recovery from co-deposited layers using 193-nm laser

    Science.gov (United States)

    Shu, W. M.; Kawakubo, Y.; Nishi, M. F.

    Recovery of tritium from co-deposited layers formed in deuterium-tritium plasma operations of the TFTR (Tokamak Fusion Test Reactor) was investigated by the use of an ArF excimer laser operating at the wavelength of 193 nm. At the laser energy density of 0.1 J/cm2, a transient spike of the tritium-release rate was observed at initial irradiation. Hydrogen isotopes were released in the form of hydrogen-isotope molecules during the laser irradiation in vacuum, suggesting that tritium can be recovered readily from the released gases. In a second experiment, hydrogen (tritium) recovery from the co-deposited layers on JT-60 tiles that had experienced hydrogen-plasma operations was investigated by laser ablation with a focused beam of the excimer laser. The removal rate of the co-deposited layers was quite low when the laser energy density was smaller than the ablation threshold (1.0 J/cm2), but reached 1.1 μm/pulse at the laser energy density of 7.6 J/cm2. The effective absorption coefficient in the co-deposited layers at the laser wavelength was determined to be 1.9 μm-1. The temperature of the surface during the irradiation at the laser energy density of 0.5 J/cm2 was measured on the basis of Planck's law of radiation, and the maximum temperature during the irradiation decreased from 3570 K at the initial irradiation to 2550 K at the 1000th pulse of the irradiation.

  16. MAPLE deposition of polypyrrole-based composite layers for bone regeneration

    Energy Technology Data Exchange (ETDEWEB)

    Paun, Irina Alexandra, E-mail: irina.paun@physics.pub.ro [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042 (Romania); National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Acasandrei, Adriana Maria [Horia Hulubei National Institute for Physics and Nuclear Engineering IFIN-HH, Magurele, Bucharest RO-077125 (Romania); Luculescu, Catalin Romeo, E-mail: catalin.luculescu@inflpr.ro [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Mustaciosu, Cosmin Catalin [Horia Hulubei National Institute for Physics and Nuclear Engineering IFIN-HH, Magurele, Bucharest RO-077125 (Romania); Ion, Valentin [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Mihailescu, Mona; Vasile, Eugenia [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042 (Romania); Dinescu, Maria, E-mail: dinescum@nipne.ro [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania)

    2015-12-01

    Highlights: • PPy-based composite layers for bone regeneration were produced by MAPLE. • Conductive PPy nanograins were embedded in insulating PLGA and PU matrices. • PLGA was chosen for providing biodegradability and PU for toughness and elasticity. • The layers conductivities reached 10{sup −2} S/cm for PPy loadings of 1:10 weight ratios. • The layers promoted osteoblast viability, proliferation and mineralization. - Abstract: We report on biocompatible, electrically conductive layers of polypyrrole (PPy)-based composites obtained by Matrix Assisted Pulsed Laser Evaporation (MAPLE) for envisioned bone regeneration. In order to preserve the conductivity of the PPy while overcoming its lack of biodegradability and low mechanical resilience, conductive PPy nanograins were embedded in two biocompatible, insulating polymeric matrices, i.e. poly(lactic-co-glycolic)acid (PLGA) and polyurethane (PU). PLGA offers the advantage of full biodegradability into non-toxic products, while PU provides toughness and elasticity. The PPy nanograins formed micro-domains and networks within the PLGA and PU matrices, in a compact spatial arrangement favorable for electrical percolation. The proposed approach allowed us to obtain PPy-based composite layers with biologically meaningful conductivities up to 10{sup −2} S/cm for PPy loadings as low as 1:10 weight ratios. Fluorescent staining and viability assays showed that the MG63 osteoblast-like cells cultured on the PPy-based layers deposited by MAPLE were viable and retained their capacity to proliferate. The performance of the proposed method was demonstrated by quantitative evaluation of the calcium phosphate deposits from the cultured cells, as indicative for cell mineralization. Electrical stimulation using 200 μA currents passing through the PPy-based layers, during a time interval of 4 h, enhanced the osteogenesis in the cultured cells. Despite their lowest conductivity, the PPy/PU layers showed the best

  17. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  18. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  19. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  20. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  1. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  2. Fabrication of three-dimensional polymer quadratic nonlinear grating structures by layer-by-layer direct laser writing technique

    Science.gov (United States)

    Bich Do, Danh; Lin, Jian Hung; Diep Lai, Ngoc; Kan, Hung-Chih; Hsu, Chia Chen

    2011-08-01

    We demonstrate the fabrication of a three-dimensional (3D) polymer quadratic nonlinear (χ(2)) grating structure. By performing layer-by-layer direct laser writing (DLW) and spin-coating approaches, desired photobleached grating patterns were embedded in the guest--host dispersed-red-1/poly(methylmethacrylate) (DR1/PMMA) active layers of an active-passive alternative multilayer structure through photobleaching of DR1 molecules. Polyvinyl-alcohol and SU8 thin films were deposited between DR1/PMMA layers serving as a passive layer to separate DR1/PMMA active layers. After applying the corona electric field poling to the multilayer structure, nonbleached DR1 molecules in the active layers formed polar distribution, and a 3D χ(2) grating structure was obtained. The χ(2) grating structures at different DR1/PMMA nonlinear layers were mapped by laser scanning second harmonic (SH) microscopy, and no cross talk was observed between SH images obtained from neighboring nonlinear layers. The layer-by-layer DLW technique is favorable to fabricating hierarchical 3D polymer nonlinear structures for optoelectronic applications with flexible structural design.

  3. A Comparative Study on Structural and Optical Properties of ZnO Micro-Nanorod Arrays Grown on Seed Layers Using Chemical Bath Deposition and Spin Coating Methods

    Directory of Open Access Journals (Sweden)

    Sibel MORKOÇ KARADENİZ

    2016-11-01

    Full Text Available In this study, Zinc Oxide (ZnO seed layers were prepared on Indium Tin Oxide (ITO substrates by using Chemical Bath Deposition (CBD method and Sol-gel Spin Coating (SC method. ZnO micro-nanorod arrays were grown on ZnO seed layers by using Hydrothermal Synthesis method. Seed layer effects of structural and optical properties of ZnO arrays were characterized. X-ray diffractometer (XRD, Scanning Electron Microscopy (SEM and Ultraviolet Visible (UV-Vis Spectrometer were used for analyses. ZnO micro-nanorod arrays consisted of a single crystalline wurtzite ZnO structure for each seed layer. Besides, ZnO rod arrays were grown smoothly and vertically on SC seed layer, while ZnO rod arrays were grown randomly and flower like structures on CBD seed layer. The optical absorbance peaks found at 422 nm wavelength in the visible region for both ZnO arrays. Optical bandgap values were determined by using UV-Vis measurements at 3.12 and 3.15 eV for ZnO micro-nanorod arrays on CBD seed layer and for ZnO micro-nanorod arrays on SC-seed layer respectively.DOI: http://dx.doi.org/10.5755/j01.ms.22.4.13443

  4. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  5. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  6. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  7. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  8. Enhanced Column Filtration for Arsenic Removal from Water: Polymer-Templated Iron Oxide Nanoparticles Immobilized on Sand via Layer-by-Layer Deposition

    Science.gov (United States)

    Cheng, Calvin Chia-Hung

    Arsenic is ubiquitous in water sources around the world and is highly toxic. While precipitation and membrane filtration techniques are successfully implemented in developed cities, they are unsuitable for rural and low-resource settings lacking centralized facilities. This thesis presents the use of ultra-small iron oxide (Fe2O3) nanoparticles functionalized on sand granules for use as a house-hold scale adsorption filter. Water-stable alpha-Fe2O3 (hematite) nanoparticles (arsenic adsorption, with 147 +/- 2 mg As(III) per g Fe2O3 and 91 +/- 10 mg As(V) per g Fe2O3. The platform was also used to synthesize iron-based composites, including magnetite (Fe 3O4) and Fe-Cu oxide nanoparticles. For use as a column filter, Fe2O3-PAA nanoparticles were functionalized on sand granules using a layer-by-layer deposition method, with the nanoparticles embedded in the negative layer. The removal of As(III) by the Fe2O 3-PAA functionalized column was described by reversible 1st order kinetics where the forward and reverse rate constants were 0.31 hr -1 and 0.097 hr-1, respectively. Implemented as a passive water filter with 30 x 30 x 50 cm3 dimensions, the filter has an expected lifetime in the order of many years. By controlling the flow rate of the column depending on contamination levels, the filter effectively removes arsenic down to the safety limit of 0.01 mg/L. In a parallel project, the layer-by-layer deposition of Poly(diallydimethyl ammonium chloride) (PDDA) and poly(sodium 5-styrenesulfonate) (PSS) was exploited for a highly practical synthesis of discrete gradient surfaces. By independently controlling the concentration of NaCl in PDDA and PSS deposition solutions, a 2-dimensional matrix of surfaces was created in 96-well microtiter plates. Distinct non-monotonic dye adsorption patterns on the gradient surfaces was observed. Practical knowledge from this project was also used to enhance the nanoparticle surface functionalization described above. In all, a practical

  9. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  10. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Craciun, V.; Singh, R. K.

    2000-01-01

    Ba 0.5 Sr 0.5 TiO 3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (∼1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO 3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer. (c) 2000 American Institute of Physics

  11. Characteristics of the surface layer of barium strontium titanate thin films deposited by laser ablation

    Science.gov (United States)

    Craciun, V.; Singh, R. K.

    2000-04-01

    Ba0.5Sr0.5TiO3 (BST) thin films grown on Si by an in situ ultraviolet-assisted pulsed laser deposition (UVPLD) technique exhibited significantly higher dielectric constant and refractive index values and lower leakage current densities than films grown by conventional PLD under similar conditions. X-ray photoelectron spectroscopy (XPS) investigations have shown that the surface layer of the grown films contained, besides the usual BST perovskite phase, an additional phase with Ba atoms in a different chemical state. PLD grown films always exhibited larger amounts of this phase, which was homogeneously mixed with the BST phase up to several nm depth, while UVPLD grown films exhibited a much thinner (˜1 nm) and continuous layer. The relative fraction of this phase was not correlated with the amount of C atoms present on the surface. Fourier transform infrared spectroscopy did not find any BaCO3 contamination layer, which was believed to be related to this new phase. X-ray diffraction measurement showed that although PLD grown films contained less oxygen atoms, the lattice parameter was closer to the bulk value than that of UVPLD grown films. After 4 keV Ar ion sputtering for 6 min, XPS analysis revealed a small suboxide Ba peak for the PLD grown films. This finding indicates that the average Ba-O bonds are weaker in these films, likely due to the presence of oxygen vacancies. It is suggested here that this new Ba phase corresponds to a relaxed BST surface layer.

  12. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  13. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    Science.gov (United States)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  14. Silver-doped layers of implants prepared by pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Kocourek, Tomáš; Jelínek, Miroslav; Mikšovský, Jan; Jurek, Karel; Čejka, Z.; Kopeček, Jaromír

    2013-01-01

    Roč. 1, č. 7 (2013), s. 59-61 ISSN 2327-5219 R&D Projects: GA AV ČR KAN300100801 Institutional support: RVO:68378271 Keywords : thin layer * silver * titanium alloy * steel * pulsed laser deposition * adhesion * implant Subject RIV: BM - Solid Matter Physics ; Magnetism http://www.scirp.org/journal/PaperInformation.aspx?paperID=40308#.UvECAfu5dHA

  15. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  16. Chemical effect on ozone deposition over seawater

    Science.gov (United States)

    Surface layer resistance plays an important role in determining ozone deposition velocity over seawater. Recent studies suggest that surface layer resistance over sea-water is influenced by wind-speed and chemical interaction at the air-water interface. Here, we investigate the e...

  17. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  18. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  19. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  20. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  1. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  2. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  3. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  4. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  5. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  6. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  7. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  8. Monitoring of Soft Deposition Layers in Liquid-Filled Tubes with Guided Acoustic Waves Excited by Clamp-on Transducers.

    Science.gov (United States)

    Tietze, Sabrina; Singer, Ferdinand; Lasota, Sandra; Ebert, Sandra; Landskron, Johannes; Schwuchow, Katrin; Drese, Klaus Stefan; Lindner, Gerhard

    2018-02-09

    The monitoring of liquid-filled tubes with respect to the formation of soft deposition layers such as biofilms on the inner walls calls for non-invasive and long-term stable sensors, which can be attached to existing pipe structures. For this task a method is developed, which uses an ultrasonic clamp-on device. This method is based on the impact of such deposition layers on the propagation of circumferential guided waves on the pipe wall. Such waves are partly converted into longitudinal compressional waves in the liquid, which are back-converted to guided waves in a circular cross section of the pipe. Validating this approach, laboratory experiments with gelatin deposition layers on steel tubes exhibited a distinguishable sensitivity of both wave branches with respect to the thickness of such layers. This allows the monitoring of the layer growth.

  9. Comparative study of layer-by-layer deposition techniques for poly(sodium phosphate) and poly(allylamine hydrochloride).

    Science.gov (United States)

    Elosua, Cesar; Lopez-Torres, Diego; Hernaez, Miguel; Matias, Ignacio R; Arregui, Francisco J

    2013-12-20

    An inorganic short chain polymer, poly(sodium phosphate), PSP, together with poly(allylamine hydrochloride), PAH, is used to fabricate layer-by-layer (LbL) films. The thickness, roughness, contact angle, and optical transmittance of these films are studied depending on three parameters: the precursor solution concentrations (10-3 and 10-4 M), the number of bilayers deposited (20, 40, 60, 80, and 100 bilayers), and the specific technique used for the LbL fabrication (dipping or spraying). In most cases of this experimental study, the roughness of the nanofilms increases with the number of bilayers. This contradicts the basic observations made in standard LbL assemblies where the roughness decreases for thicker coatings. In fact, a wide range of thickness and roughness was achieved by means of adjusting the three parameters mentioned above. For instance, a roughness of 1.23 or 205 nm root mean square was measured for 100 bilayer coatings. Contact angles close to 0 were observed. Moreover, high optical transmittance is also reported, above 90%, for 80 bilayer films fabricated with the 10-4 M solutions. Therefore, these multilayer structures can be used to obtain transparent superhydrophilic surfaces.

  10. Properties of deposited layer formed by interaction with Be seeded D–He mixture plasma and tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Tokunaga, K., E-mail: tokunaga@riam.kyushu-u.ac.jp [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Baldwin, M.J.; Nishijima, D.; Doerner, R.P. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States); Nagata, S. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Tsuchiya, B. [Department of General Education, Faculty of Science and Technology, Meiji University, 1-501 Shiogamaguchi, Tempaku-ku, Nagoya, 468-8502 (Japan); Kurishita, H. [International Research Center for Nuclear Materials Science, IMR, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Fujiwara, T.; Araki, K.; Miyamoto, Y. [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Ohno, N. [School of Engineering, Nagoya University, Nagoya 464-8603 (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan)

    2013-11-15

    Be-seeded, high-flux, deuterium/helium mixture plasma exposure experiments on tungsten target materials have been performed to simulate ITER all tungsten divertor erosion/modification and deposition phenomena. The exposure conditions are kept fixed at a typical low-ion-energy of 60 eV and a flux of 3–6 × 10{sup 22}/m{sup 2}/s. Sample temperature is 1123 K and plasma exposure times spanning 1050–10,100 s are explored. The typical ratio of He/D ions is 0.2 and Be content is 0.2%. A He-induced nanostructure layer is formed on the exposure surfaces of tungsten materials and the surface of the nanostructure is covered by a thin layer of Be and O. A fraction of the re-eroded Be from the target is deposited on a glassy carbon plate with line of sight to the tungsten target. Rutherford backscattering spectrometry analyses show that the Be redeposit layer is in the form of laminae. Small amounts of Mo, W and C are also found in the redeposited Be layer. Elastic recoil detection analyses show that D, He and H are also included in the redeposited Be layer.

  11. Development of Functional Thin Polymer Films Using a Layer-by-Layer Deposition Technique.

    Science.gov (United States)

    Yoshida, Kentaro

    2017-01-01

    Functional thin films containing insulin were prepared using layer-by-layer (LbL) deposition of insulin and negatively- or positively-charged polymers on the surface of solid substrates. LbL films composed of insulin and negatively-charged polymers such as poly(acrylic acid) (PAA), poly(vinylsulfate) (PVS), and dextran sulfate (DS) were prepared through electrostatic affinity between the materials. The insulin/PAA, insulin/PVS, and insulin/DS films were stable in acidic solutions, whereas they decomposed under physiological conditions as a result of a change in the net electric charge of insulin from positive to negative. Interestingly, the insulin-containing LbL films were stable even in the presence of a digestive-enzyme (pepcin) at pH 1.4 (stomach pH). In contrast, LbL films consisting of insulin and positively-charged polymers such as poly(allylamine hydrochloride) (PAH) decomposed in acidic solutions due to the positive charges of insulin generated in acidic media. The insulin-containing LbL films can be prepared not only on the surface of flat substrates, such as quartz slides, but also on the surface of microparticles, such as poly(lactic acid) (PLA) microbeads. Thus, insulin-containing LbL film-coated PLA microbeads can be handled as a powder. In addition, insulin-containing microcapsules were prepared by coating LbL films on the surface of insulin-doped calcium carbonate (CaCO 3 ) microparticles, followed by dissolution of the CaCO 3 core. The release of insulin from the microcapsules was accelerated at pH 7.4, whereas it was suppressed in acidic solutions. These results suggest the potential use of insulin-containing microcapsules in the development of oral formulations of insulin.

  12. Boosting water oxidation layer-by-layer.

    Science.gov (United States)

    Hidalgo-Acosta, Jonnathan C; Scanlon, Micheál D; Méndez, Manuel A; Amstutz, Véronique; Vrubel, Heron; Opallo, Marcin; Girault, Hubert H

    2016-04-07

    Electrocatalysis of water oxidation was achieved using fluorinated tin oxide (FTO) electrodes modified with layer-by-layer deposited films consisting of bilayers of negatively charged citrate-stabilized IrO2 NPs and positively charged poly(diallyldimethylammonium chloride) (PDDA) polymer. The IrO2 NP surface coverage can be fine-tuned by controlling the number of bilayers. The IrO2 NP films were amorphous, with the NPs therein being well-dispersed and retaining their as-synthesized shape and sizes. UV/vis spectroscopic and spectro-electrochemical studies confirmed that the total surface coverage and electrochemically addressable surface coverage of IrO2 NPs increased linearly with the number of bilayers up to 10 bilayers. The voltammetry of the modified electrode was that of hydrous iridium oxide films (HIROFs) with an observed super-Nernstian pH response of the Ir(III)/Ir(IV) and Ir(IV)-Ir(IV)/Ir(IV)-Ir(V) redox transitions and Nernstian shift of the oxygen evolution onset potential. The overpotential of the oxygen evolution reaction (OER) was essentially pH independent, varying only from 0.22 V to 0.28 V (at a current density of 0.1 mA cm(-2)), moving from acidic to alkaline conditions. Bulk electrolysis experiments revealed that the IrO2/PDDA films were stable and adherent under acidic and neutral conditions but degraded in alkaline solutions. Oxygen was evolved with Faradaic efficiencies approaching 100% under acidic (pH 1) and neutral (pH 7) conditions, and 88% in alkaline solutions (pH 13). This layer-by-layer approach forms the basis of future large-scale OER electrode development using ink-jet printing technology.

  13. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  14. Layer-by-Layer Assembled Nanotubes as Biomimetic Nanoreactors for Calcium Carbonate Deposition.

    Science.gov (United States)

    He, Qiang; Möhwald, Helmuth; Li, Junbai

    2009-09-17

    Enzyme-loaded magnetic polyelectrolyte multilayer nanotubes prepared by layer-by-layer assembly combined with the porous template could be used as biomimetic nanoreactors. It is demonstrated that calcium carbonate can be biomimetically synthesized inside the cavities of the polyelectrolyte nanotubes by the catalysis of urease, and the size of the calcium carbonate precipitates was controlled by the cavity dimensions. The metastable structure of the calcium carbonate precipitates inside the nanotubes was protected by the outer shell of the polyelectrolyte multilayers. These features may allow polyelectrolyte nanotubes to be applied in the fields of nanomaterials synthesis, controlled release, and drug delivery. Copyright © 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Scanning electron microscopy characterisation of carbon deposited layers in Tore Supra

    International Nuclear Information System (INIS)

    Delchambre, E.; Brosset, C.; Reichle, R.; Devynck, P.; Guirlet, R.; Tsitrone, E.; Saikali, W.; Dominici, C.; Charai, A.

    2003-01-01

    For long discharges in Tore-Supra, an infra-red safety system has been installed to survey surface temperature of the target plates located below the toroidal pump limiter. A shift in temperature is attributed to the growth of a carbon layer at the surface of the neutralizer and has been estimated to a temperature increase of 400 Celsius degrees between virgin and layered surfaces. For temperature safety analysis, target plates have been cleaned and carbon layers were sampled for scanning electronic microscopy (SEM) study. SEM micrographs have allowed to measure the deposited layer thickness and to study the specific fractal and stratified structure. Energy dispersive X-ray spectroscopy analysis has permitted to distinguish carbon layers corresponding to boronization and then to deduce an average growth rate of about 20 nm/s. The growth rate is not constant and is likely to depend on plasma operation parameters. These analyses completed by time of flight secondary ions mass spectrometry (ToF-SIMS) have shown a beneficial effect of the boronization on metallic contamination of the plasma, confirming the in situ optical spectroscopic measurements. These analyses have also shown an increase of hydrogen storage in carbon layer due to boronization. Although the measurements performed on deposited layer are very local, the results reflect the history of the 2002 campaign. (A.C.)

  16. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  17. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  18. Surface modification of upconverting nanoparticles by layer-by-layer assembled polyelectrolytes and metal ions.

    Science.gov (United States)

    Palo, Emilia; Salomäki, Mikko; Lastusaari, Mika

    2017-12-15

    Modificating and protecting the upconversion luminescence nanoparticles is important for their potential in various applications. In this work we demonstrate successful coating of the nanoparticles by a simple layer-by-layer method using negatively charged polyelectrolytes and neodymium ions. The layer fabrication conditions such as number of the bilayers, solution concentrations and selected polyelectrolytes were studied to find the most suitable conditions for the process. The bilayers were characterized and the presence of the desired components was studied and confirmed by various methods. In addition, the upconversion luminescence of the bilayered nanoparticles was studied to see the effect of the surface modification on the overall intensity. It was observed that with selected deposition concentrations the bilayer successfully shielded the particle resulting in stronger upconversion luminescence. The layer-by-layer method offers multiple possibilities to control the bilayer growth even further and thus gives promises that the use of upconverting nanoparticles in applications could become even easier with less modification steps in the future. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ohtsuki, T., E-mail: ohtsuki@spring8.or.jp; Kotsugi, M.; Ohkochi, T. [Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1 Koto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); Kojima, T.; Mizuguchi, M.; Takanashi, K. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-01-28

    FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu{sub 3}Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that the FeCo thin films show fourfold in-plane magnetic anisotropy along 〈110〉 direction, and that the magnetic domain structure is composed only of 90∘ wall.

  20. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  1. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H.

    2007-03-01

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis

  2. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H. [KAIST, Daejeon (Korea, Republic of)

    2007-03-15

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis.

  3. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  4. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  5. Microstructure analysis of zirconium carbide layer on pyrocarbon-coated particles prepared by zirconium chloride vapor method

    International Nuclear Information System (INIS)

    Zhao Hongsheng; Liu Bing; Zhang Kaihong; Tang Chunhe

    2012-01-01

    Zirconium carbide (ZrC) layer on pyrocarbon-coated particles was successfully prepared in a fluidized bed coater furnace by chemical vapor deposition using a zirconium chloride (ZrCl 4 ) vapor method and quantitative controlling of the Zr-source through a ZrCl 4 powder feeder. The crystal phase, microstructure and chemical composition of ZrC-coating layer were analyzed using X-ray diffraction (XRD), optical metallographical microscope, scanning electron microscope (SEM), transmission electron microscope (TEM), high-resolution transmission electron microscope (HR-TEM) and X-ray photoelectron spectroscopy (XPS). The results show that the deposited ZrC-coating layer has smooth and compact surface, no obvious holes, clear interface with dense pyrocarbon layer, and a thickness of 35 μm. The main phase of ZrC-coating layer is fcc-ZrC crystal, which is composed of small grains with the size of 20–50 nm. The grain size increases monotonously with the deposition temperature increasing. The main elements of ZrC-coating layer are Zr and C, and the Zr/C molar ratio is close to 1:1. The analysis of composition and crystal structure suggest that a stoichiometric fcc-ZrC crystal was obtained and no obvious preferred orientation of the grains was found.

  6. OES control of a low-pressure DC arc at TiN layer deposition

    International Nuclear Information System (INIS)

    Andreev, M.A.; Maksimenko, V.N.; Ershov-Pavlov, E.A.

    1995-01-01

    Results are presented of a low-pressure DC arc study as applied for a deposition of TiN wear-resistant coatings in a commercial plant. Plasma parameters of the arc have been measured by optical emission spectroscopy. The plasma emission spectra have been recorded using a grating spectrometer equipped with an on line computer. Changes in the resulting layers due to a difference in working conditions have been determined by metallography and X-ray analysis giving composition, microstructure and thickness of the resulting layers. Using the data, a correlation between emission spectra of the arc and the TiN layer characteristics has been found. The results allow monitoring parameters of the deposition process to obtain necessary quality of the layer and to increase the process efficiency

  7. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  8. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  9. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  10. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  11. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  12. Efficient hole-transporting layer MoO_3:CuI deposited by co-evaporation in organic photovoltaic cells

    International Nuclear Information System (INIS)

    Barkat, L.; Khelil, A.; Hssein, M.; El Jouad, Z.; Cattin, L.; Louarn, G.; Stephant, N.; Ghamnia, M.; Addou, M.; Morsli, M.; Bernede, J.C.

    2017-01-01

    In order to improve hole collection at the interface anode/electron donor in organic photovoltaic cells, it is necessary to insert a hole-transporting layer. CuI was shown to be a very efficient hole-transporting layer. However, its tendency to be quite rough tends to induce leakage currents and it is necessary to use a very slow deposition rate for CuI to avoid such negative effect. Herein, we show that the co-deposition of MoO_3 and CuI avoids this difficulty and allows deposition of a homogeneous efficient hole-collecting layer at an acceptable deposition rate. Via an XPS study, we show that blending MoO_3:CuI improves the hole collection efficiency through an increase of the gap state density. This increase is due to the formation of Mo"5"+ following interaction between MoO_3 and CuI. Not only does the co-evaporation process allow for decreasing significantly the deposition time of the hole-transporting layer, but also it increases the efficiency of the device based on the planar heterojunction, CuPc/C_6_0. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  14. Bi-layer sandwich film for antibacterial catheters.

    Science.gov (United States)

    Franz, Gerhard; Schamberger, Florian; Zare, Hamideh Heidari; Bröskamp, Sara Felicitas; Jocham, Dieter

    2017-01-01

    Background: Approximately one quarter of all nosocomial infections can be attributed to the urinary tract. The infections are supposed to be mainly caused by implantations of urethral catheters and stents. A new catheter design is introduced with the aim to lower the high number of nosocomial urethral infections. In order to avoid limitations to use, the design is first applied to conventional commercially available balloon catheters. Results: The main feature of the design is a sandwich layer on both sides of the catheter wall, which is composed of a fragmented base layer of silver capped by a thin film of poly( p -xylylene). This top layer is mainly designed to release a controlled amount of Ag + ions, which is bactericidal, but not toxic to humans. Simultaneously, the lifetime is prolonged to at least one year. The base layer is electrolessly deposited applying Tollens' reagens, the cap layer is deposited by using chemical vapor deposition. Conclusion: The three main problems of this process, electroless deposition of a fragmented silver film on the surface of an electrically insulating organic polymer, irreproducible evaporation during heating of the precursor, and exponential decrease of the layer thickness along the capillary, have been solved trough the application of a simple electrochemical reaction and two standard principles of physics: Papin's pot and the principle of Le Chatelier.

  15. Bi-layer sandwich film for antibacterial catheters

    Directory of Open Access Journals (Sweden)

    Gerhard Franz

    2017-09-01

    Full Text Available Background: Approximately one quarter of all nosocomial infections can be attributed to the urinary tract. The infections are supposed to be mainly caused by implantations of urethral catheters and stents. A new catheter design is introduced with the aim to lower the high number of nosocomial urethral infections. In order to avoid limitations to use, the design is first applied to conventional commercially available balloon catheters.Results: The main feature of the design is a sandwich layer on both sides of the catheter wall, which is composed of a fragmented base layer of silver capped by a thin film of poly(p-xylylene. This top layer is mainly designed to release a controlled amount of Ag+ ions, which is bactericidal, but not toxic to humans. Simultaneously, the lifetime is prolonged to at least one year. The base layer is electrolessly deposited applying Tollens’ reagens, the cap layer is deposited by using chemical vapor deposition.Conclusion: The three main problems of this process, electroless deposition of a fragmented silver film on the surface of an electrically insulating organic polymer, irreproducible evaporation during heating of the precursor, and exponential decrease of the layer thickness along the capillary, have been solved trough the application of a simple electrochemical reaction and two standard principles of physics: Papin’s pot and the principle of Le Chatelier.

  16. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  17. Titanium modified with layer-by-layer sol-gel tantalum oxide and an organodiphosphonic acid: a coating for hydroxyapatite growth.

    Science.gov (United States)

    Arnould, C; Volcke, C; Lamarque, C; Thiry, P A; Delhalle, J; Mekhalif, Z

    2009-08-15

    Titanium and its alloys are widely used in surgical implants due to their appropriate properties like corrosion resistance, biocompatibility, and load bearing. Unfortunately when metals are used for orthopedic and dental implants there is the possibility of loosening over a long period of time. Surface modification is a good way to counter this problem. A thin tantalum oxide layer obtained by layer-by-layer (LBL) sol-gel deposition on top of a titanium surface is expected to improve biocorrosion resistance in the body fluid, biocompatibility, and radio-opacity. This elaboration step is followed by a modification of the tantalum oxide surface with an organodiphosphonic acid self-assembled monolayer, capable of chemically binding to the oxide surface, and also improving hydroxyapatite growth. The different steps of this proposed process are characterized by surfaces techniques like contact angle, X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM).

  18. Controlling the Growth of Staphylococcus epidermidis by Layer-By-Layer Encapsulation.

    Science.gov (United States)

    Jonas, Alain M; Glinel, Karine; Behrens, Adam; Anselmo, Aaron C; Langer, Robert S; Jaklenec, Ana

    2018-05-16

    Commensal skin bacteria such as Staphylococcus epidermidis are currently being considered as possible components in skin-care and skin-health products. However, considering the potentially adverse effects of commensal skin bacteria if left free to proliferate, it is crucial to develop methodologies that are capable of maintaining bacteria viability while controlling their proliferation. Here, we encapsulate S. epidermidis in shells of increasing thickness using layer-by-layer assembly, with either a pair of synthetic polyelectrolytes or a pair of oppositely charged polysaccharides. We study the viability of the cells and their delay of growth depending on the composition of the shell, its thickness, the charge of the last deposited layer, and the degree of aggregation of the bacteria which is varied using different coating procedures-among which is a new scalable process that easily leads to large amounts of nonaggregated bacteria. We demonstrate that the growth of bacteria is not controlled by the mechanical properties of the shell but by the bacteriostatic effect of the polyelectrolyte complex, which depends on the shell thickness and charge of its outmost layer, and involves the diffusion of unpaired amine sites through the shell. The lag times of growth are sufficient to prevent proliferation for daily topical applications.

  19. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  20. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  1. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  2. Analysis of chemical bond states and electrical properties of stacked AlON/HfO{sub 2} gate oxides formed by using a layer-by-layer technique

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Wonjoon; Lee, Jonghyun; Yang, Jungyup; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh; Byun, Byungsub; Kim, Moseok [Hanyang University, Seoul (Korea, Republic of)

    2006-06-15

    Stacked AlON/HfO{sub 2} thin films for gate oxides in metal-oxide-semiconductor devices are successfully prepared on Si substrates by utilizing a layer-by-layer technique integrated with an off-axis RF remote plasma sputtering process at room temperature. This off-axis structure is designed to improve the uniformity and the quality of gate oxide films. Also, a layer-by-layer technique is used to control the interface layer between the gate oxide and the Si substrate. The electrical properties of our stacked films are characterized by using capacitance versus voltage and leakage current versus voltage measurements. The stacked AlON/HfO{sub 2} gate oxide exhibits a low leakage current of about 10{sup -6} A/cm{sup 2} and a high dielectric constant value of 14.26 by effectively suppressing the interface layer between gate oxide and Si substrate. In addition, the chemical bond states and the optimum thickness of each AlON and HfO{sub 2} thin film are analyzed using X-ray photoemission spectroscopy and transmission electron microscopy measurement.

  3. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  4. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  5. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  6. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  7. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  8. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  9. Photosensitive Layer-by-Layer Assemblies Containing Azobenzene Groups: Synthesis and Biomedical Applications

    Directory of Open Access Journals (Sweden)

    Uichi Akiba

    2017-10-01

    Full Text Available This review provides an overview of the syntheses of photosensitive layer-by-layer (LbL films and microcapsules modified with azobenzene derivatives and their biomedical applications. Photosensitive LbL films and microcapsules can be prepared by alternate deposition of azobenzene-bearing polymers and counter polymers on the surface of flat substrates and microparticles, respectively. Azobenzene residues in the films and microcapsules exhibit trans-to-cis photoisomerization under UV light, which causes changes in the physical or chemical properties of the LbL assemblies. Therefore, azobenzene-functionalized LbL films and microcapsules have been used for the construction of photosensitive biomedical devices. For instance, cell adhesion on the surface of a solid can be controlled by UV light irradiation by coating the surface with azobenzene-containing LbL films. In another example, the ion permeability of porous materials coated with LbL films can be regulated by UV light irradiation. Furthermore, azobenzene-containing LbL films and microcapsules have been used as carriers for drug delivery systems sensitive to light. UV light irradiation triggers permeability changes in the LbL films and/or decomposition of the microcapsules, which results in the release of encapsulated drugs and proteins.

  10. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Science.gov (United States)

    Kim, Young-Soon; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-01

    Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y2O3 dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm2 area. After Y2O3 deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO3 (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y2O3 and GdBCO/LMO/MgO/Y2O3 stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y2O3 multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  11. Superconducting structure with layers of niobium nitride and aluminum nitride

    International Nuclear Information System (INIS)

    Murduck, J.M.; Lepetre, Y.J.; Schuller, I.K.; Ketterson, J.B.

    1989-01-01

    A superconducting structure is formed by depositing alternate layers of aluminum nitride and niobium nitride on a substrate. Deposition methods include dc magnetron reactive sputtering, rf magnetron reactive sputtering, thin-film diffusion, chemical vapor deposition, and ion-beam deposition. Structures have been built with layers of niobium nitride and aluminum nitride having thicknesses in a range of 20 to 350 Angstroms. Best results have been achieved with films of niobium nitride deposited to a thickness of approximately 70 Angstroms and aluminum nitride deposited to a thickness of approximately 20 Angstroms. Such films of niobium nitride separated by a single layer of aluminum nitride are useful in forming Josephson junctions. Structures of 30 or more alternating layers of niobium nitride and aluminum nitride are useful when deposited on fixed substrates or flexible strips to form bulk superconductors for carrying electric current. They are also adaptable as voltage-controlled microwave energy sources. 8 figs

  12. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  13. Sims Characterisation of ZnO Layer Prepared By Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Andrej Vincze

    2005-01-01

    Full Text Available New material development requires new technologies to create and prepare basic material for semiconductor industry and device applications. Materials have given properties, which exhibit particulary small tolerances. One of the most important and promising material is recently ZnO. ZnO has specific properties for near UV emission and absorption optical devices. The pulsed laser deposition (PLD is one of the methods to prepare this type of material. The aim of this paper is to compare properties of ZnO layers deposited from pure Zn target in oxygen atmosphere and the analysis of their surface properties by secondary ion mass spectroscopy (SIMS, atomic force microscopy (AFM and scanning electron microscopy (SEM.

  14. Chemically deposed layer sytems for the realization of YBa2Cu3O7-δ band conductors

    International Nuclear Information System (INIS)

    Engel, Sebastian

    2009-01-01

    The aim of this thesis was to produce new buffer-layer systems for biaxially texturated Ni5at%W substrates by means of chemical processes. As very promising materials for the buffer layers CaTiO 3 and SrTiO 3 were chosen. The production of the single layers pursued from the organometallic prestage by means of dip coating and subsequent head treatment. During the work first the single precursor solutions were to be developed. A main component of the theses forms the understanding of the texture development during the heat treatment of precursor layers on biaxially texturated metallic substrates. Based on this the growth of thick buffer layers is studied and by means of YBCO layers, which were deposed by beans of a pulsed laser, the functionality of the synthesized buffer layers proved. A further component of this thesis formes the influence of nanoscaling precipitations in thew YBCO on its superconducting properties. The YBCO deposition pursued via a variation of the TFA process, as substrate (001)-oriented SrTiO 3 monocrystals were applied

  15. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Science.gov (United States)

    Wallenhorst, L. M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-07-01

    In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  16. Scalable synthesis of palladium nanoparticle catalysts by atomic layer deposition

    International Nuclear Information System (INIS)

    Liang Xinhua; Lyon, Lauren B.; Jiang Yingbing; Weimer, Alan W.

    2012-01-01

    Atomic layer deposition (ALD) was used to produce Pd/Al 2 O 3 catalysts using sequential exposures of Pd(II) hexafluoroacetylacetonate and formalin at 200 °C in a fluidized bed reactor. The ALD-prepared Pd/alumina catalysts were characterized by various methods including hydrogen chemisorption, XPS, and TEM, and compared with a commercially available 1 wt% Pd/alumina catalyst, which was also characterized. The content of Pd on alumina support and the size of Pd nanoparticles can be controlled by the number of ALD-coating cycles and the dose time of the Pd precursor. One layer of organic component from the Pd precursor remained on the Pd particle surface. The ALD 0.9 wt% Pd/alumina had greater active metal surface area and percent metal dispersion than the commercial 1 wt% Pd/alumina catalyst. The ALD and commercial catalysts were subjected to catalytic testing to determine their relative activities for glucose oxidation to gluconic acid in aqueous solution. The ALD 0.9 wt% Pd/alumina catalyst had comparable activity as compared to the commercial 1 wt% Pd catalyst. No noticeable amount of Pd leaching was observed for the ALD-prepared catalysts during the vigorously stirred reaction.

  17. PTX-loaded three-layer PLGA/CS/ALG nanoparticle based on layer-by-layer method for cancer therapy.

    Science.gov (United States)

    Wang, Fang; Yuan, Jian; Zhang, Qian; Yang, Siqian; Jiang, Shaohua; Huang, Chaobo

    2018-05-17

    Poly (lactic-co-glycolic acid) (PLGA) nanoparticles are an ideal paclitaxel (PTX)-carrying system due to its biocompatibility and biodegradability. But it possessed disadvantage of drug burst release. In this research, a layer-by-layer deposition of chitosan (CS) and sodium alginate (ALG) was applied to modify the PLGA nanoparticles. The surface charges and morphology of the PLGA, PLGA/CS and PLGA/CS/ALG particles was measured by capillary electrophoresis and SEM and TEM, respectively. The drug encapsulation and loading efficiency were confirmed by ultraviolet spectrophotometer. The nanoparticles were stable and exhibited controlled drug release performance, with good cytotoxicity to human lung carcinoma cells (HepG 2). Cumulatively, our research suggests that this kind of three-layer nanoparticle with LbL-coated shield has great properties to act as a novel drug-loaded system.

  18. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    Science.gov (United States)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  19. Surface engineering of zirconium particles by molecular layer deposition: Significantly enhanced electrostatic safety at minimum loss of the energy density

    Science.gov (United States)

    Qin, Lijun; Yan, Ning; Hao, Haixia; An, Ting; Zhao, Fengqi; Feng, Hao

    2018-04-01

    Because of its high volumetric heat of oxidation, Zr powder is a promising high energy fuel/additive for rocket propellants. However, the application of Zr powder is restricted by its ultra-high electrostatic discharge sensitivity, which poses great hazards for handling, transportation and utilization of this material. By performing molecular layer deposition of polyimide using 1,2,4,5-benzenetetracarboxylic anhydride and ethylenediamine as the precursors, Zr particles can be uniformly encapsulated by thin layers of the polymer. The thicknesses of the encapsulation layers can be precisely controlled by adjusting the number of deposition cycle. High temperature annealing converts the polymer layer into a carbon coating. Results of thermal analyses reveal that the polymer or carbon coatings have little negative effect on the energy release process of the Zr powder. By varying the thickness of the polyimide or carbon coating, electrostatic discharge sensitivity of the Zr powder can be tuned in a wide range and its uncontrolled ignition hazard can be virtually eliminated. This research demonstrates the great potential of molecular layer deposition in effectively modifying the surface properties of highly reactive metal based energetic materials with minimum sacrifices of their energy densities.

  20. Deposition of silica protected luminescent layers of Eu:GdVO_4 nanoparticles assisted by atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Moretti, Elisa; Pizzol, Giorgia; Fantin, Marina; Enrichi, Francesco; Scopece, Paolo; Nuñez, Nuria O.; Ocaña, Manuel; Benedetti, Alvise; Polizzi, Stefano

    2016-01-01

    Eu:GdVO_4 nanophosphors with an average size of 60 nm, synthesized by a facile solvothermal method, were deposited on monocrystalline silicon wafers by a spray-coating technique with artworks anti-counterfeiting applications in mind. Atmospheric pressure plasma jet (APPJ) was used to deposit a silica-based layer on top of the nanometric luminescent layer, in order to improve its adhesion to the substrate and to protect it from the environment. The nanophosphors were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Coating composition was investigated by Fourier transform infrared spectroscopy (FT-IR) and its morphology was characterized by scanning electron microscopy (FEG-SEM). The film thickness was evaluated by means of ellipsometry and adhesion was estimated by a peeling test. Luminescent properties of the nanophosphors deposited and fixed on silicon wafers were also measured. The whole layer resulted well-adhered to the silicon substrate, transparent and undetectable in the presence of visible light, but easily activated by UV light source. - Highlights: • Luminescent films were obtained by spray deposition of Eu:GdVO_4 nanophosphors. • Plasma jet deposition of SiO_2 fixed the nanophosphors on the substrate. • Optical properties of nanophosphors were preserved after deposition-fixing process. • Films well-adhered to the substrate, even after a scotch tape peeling test and a scratch test.

  1. Chemically deposited In{sub 2}S{sub 3}-Ag{sub 2}S layers to obtain AgInS{sub 2} thin films by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S. [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico); Pena, Y., E-mail: yolapm@gmail.com [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Lopez-Mata, C. [Instituto Tecnologico de Chetumal, Av. Insurgentes No. 330, C.P. 77013, Col. David Gustavo Gtz., Chetumal, Quintana Roo (Mexico); Ramon, M.L. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Gomez, I.; Acosta, A. [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In{sub 2}S{sub 3}-Ag{sub 2}S films. Black-Right-Pointing-Pointer According to XRD chalcopyrite structure of AgInS{sub 2} was obtained. Black-Right-Pointing-Pointer AgInS{sub 2} thin film has a band gap of 1.86 eV and a conductivity value of 1.2 Multiplication-Sign 10{sup -3} ({Omega} cm){sup -1}. - Abstract: AgInS{sub 2} thin films were obtained by the annealing of chemical bath deposited In{sub 2}S{sub 3}-Ag{sub 2}S layers at 400 Degree-Sign C in N{sub 2} for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS{sub 2} has been obtained. These films have an optical band gap, E{sub g}, of 1.86 eV and an electrical conductivity value of 1.2 Multiplication-Sign 10{sup -3} ({Omega} cm){sup -1}.

  2. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  3. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  4. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  5. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  6. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Interface control of atomic layer deposited oxide coatings by filtered cathodic arc deposited sublayers for improved corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, Emma, E-mail: emma.harkonen@helsinki.fi [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Tervakangas, Sanna; Kolehmainen, Jukka [DIARC-Technology Inc., Espoo (Finland); Díaz, Belén; Światowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe [Laboratoire de Physico-Chimie des Surfaces, CNRS (UMR 7075) – Chimie ParisTech (ENSCP), F-75005 Paris (France); Fenker, Martin [FEM Research Institute, Precious Metals and Metals Chemistry, D-73525 Schwäbisch Gmünd (Germany); Tóth, Lajos; Radnóczi, György [Research Centre for Natural Sciences HAS, (MTA TKK), Budapest (Hungary); Ritala, Mikko [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland)

    2014-10-15

    Sublayers grown with filtered cathodic arc deposition (FCAD) were added under atomic layer deposited (ALD) oxide coatings for interface control and improved corrosion protection of low alloy steel. The FCAD sublayer was either Ta:O or Cr:O–Ta:O nanolaminate, and the ALD layer was Al{sub 2}O{sub 3}–Ta{sub 2}O{sub 5} nanolaminate, Al{sub x}Ta{sub y}O{sub z} mixture or graded mixture. The total thicknesses of the FCAD/ALD duplex coatings were between 65 and 120 nm. Thorough analysis of the coatings was conducted to gain insight into the influence of the FCAD sublayer on the overall coating performance. Similar characteristics as with single FCAD and ALD coatings on steel were found in the morphology and composition of the duplex coatings. However, the FCAD process allowed better control of the interface with the steel by reducing the native oxide and preventing its regrowth during the initial stages of the ALD process. Residual hydrocarbon impurities were buried in the interface between the FCAD layer and steel. This enabled growth of ALD layers with improved electrochemical sealing properties, inhibiting the development of localized corrosion by pitting during immersion in acidic NaCl and enhancing durability in neutral salt spray testing. - Highlights: • Corrosion protection properties of ALD coatings were improved by FCAD sublayers. • The FCAD sublayer enabled control of the coating-substrate interface. • The duplex coatings offered improved sealing properties and durability in NSS. • The protective properties were maintained during immersion in a corrosive solution. • The improvements were due to a more ideal ALD growth on the homogeneous FCAD oxide.

  8. Transformation and Deposition of Sulphur and Nitrogen Compounds in the Marine Boundary Layer

    Energy Technology Data Exchange (ETDEWEB)

    Hertel, O

    1995-10-01

    In this thesis the author performs a model study of the transformation and deposition of sulphur and nitrogen compounds in the marine boundary layer, including source-receptor relationships. The central part of the study is the development and testing of a variable scale trajectory model for Europe, with special emphasis on modelling the concentrations of gases and aerosols in the marine atmosphere and the deposition to sea. A one-dimensional version of the model was developed to model the chemical degradation of dimethyl sulphide (DMS) in the marine boundary layer. Although the model reproduces the observed levels of DMS and methane sulphonic acid (MSA) well, the calculated DMS concentration is not always in phase with observed levels, probably because of a local coastal emission that is correlated with the shifting tide. Another version of the trajectory model, Atmospheric Chemistry and Deposition model (ACDEP), was developed to study the deposition of nitrogen compounds to the Danish sea waters. This model uses a new numerical scheme, the Eulerian Backward Iterative method. The model is able to reproduce observations of air concentrations and wet deposition fairly well; data for dry deposition were not available. The model was also used for calculation of deposition of nitrogen compounds to the Kattegat. Finally, a sensitivity study was performed on the model. 175 refs., 87 figs., 32 tabs.

  9. Stacking layered structure of polymer light emitting diodes prepared by evaporative spray deposition using ultradilute solution for improving carrier balance

    International Nuclear Information System (INIS)

    Aoki, Youichi; Shakutsui, Masato; Fujita, Katsuhiko

    2009-01-01

    Polymer light-emitting diodes (PLEDs) with staking layered structures are prepared by the evaporative spray deposition using ultradilute solution (ESDUS) method, which has enabled forming a polymer layer onto another polymer layer even if both polymers are soluble in a solvent used for the preparation. By this method, polymers having various HOMO and LUMO levels can be stacked as a hole transport layer, an emitting layer and an electron transport layer as commonly employed in small molecule-based organic light emitting diodes. Here we demonstrated that a PLED having a tri-layer structure using three kinds of polymers showed significant improvement in quantum efficiency compared with those having a single or bi-layer structure of corresponding polymers.

  10. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  11. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  12. Surface Modification of Titanium with Heparin-Chitosan Multilayers via Layer-by-Layer Self-Assembly Technique

    International Nuclear Information System (INIS)

    Shu, Y.; Zou, J.; Ou, G.; Wang, L.; Li, Q.

    2011-01-01

    Extracellular matrix (ECM), like biomimetic surface modification of titanium implants, is a promising method for improving its biocompatibility. In this paper chitosan (Chi) and heparin (Hep) multilayer was coated on pure titanium using a layer-by-layer (LbL) self-assembly technique. The Hep-Chi multilayer growth was carried out by first depositing a single layer of positively charged poly-L-lysine (PLL) on the NaOH-treated titanium substrate (negatively charged surface), followed by alternate deposition of negatively charged Hep and positively charged Chi, and terminated by an outermost layer of Chi. The multilayer was characterized by DR-FTIR, SEM, and AFM, and osteoblasts were cocultured with the modified titanium and untreated titanium surfaces, respectively, to evaluate their cytocompatibility in vitro. The results confirmed that Hep-Chi multilayer was fabricated gradually on the titanium surface. The Hep-Chi multilayer-coated titanium improved the adhesion, proliferation and differentiation of osteoblasts. Thus, the approach described here may provide a basis for the preparation of modified titanium surfaces for use in dental or orthopedic implants

  13. Layer-by-Layer Assembly of Polyelectrolyte Multilayer onto PET Fabric for Highly Tunable Dyeing with Water Soluble Dyestuffs

    Directory of Open Access Journals (Sweden)

    Shili Xiao

    2017-12-01

    Full Text Available Poly(ethyleneterephthalate (PET is a multi-purpose and widely used synthetic polymer in many industrial fields because of its remarkable advantages such as low cost, light weight, high toughness and resistance to chemicals, and high abrasion resistance. However, PET suffers from poor dyeability due to its non-polar nature, benzene ring structure as well as high crystallinity. In this study, PET fabrics were firstly treated with an alkaline solution to produce carboxylic acid functional groups on the surface of the PET fabric, and then was modified by polyelectrolyte polymer through the electrostatic layer-by-layer self-assembly technology. The polyelectrolyte multilayer-deposited PET fabric was characterized using scanning electron microscopy SEM, contact angle, Fourier transform infrared (FTIR and X-ray photoelectron spectroscopy (XPS. The dyeability of PET fabrics before and after surface modification was systematically investigated. It showed that the dye-uptake of the polyelectrolyte multilayer-deposited PET fabric has been enhanced compared to that of the pristine PET fabric. In addition, its dyeability is strongly dependent on the surface property of the polyelectrolyte multilayer-deposited PET fabric and the properties of dyestuffs.

  14. A numerical model for chemical reaction on slag layer surface and slag layer behavior in entrained-flow gasifier

    Directory of Open Access Journals (Sweden)

    Liu Sheng

    2013-01-01

    Full Text Available The paper concerns with slag layer accumulation, chemical reaction on slag layer surface, and slag layer flow, heat and mass transfer on the wall of entrained-flow coal gasifier. A slag layer model is developed to simulate slag layer behaviors in the coal gasifier. This 3-D model can predict temperature, slag particle disposition rate, disposition particle composition, and syngas distribution in the gasifier hearth. The model is used to evaluate the effects of O2/coal ratio on slag layer behaviors.

  15. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Wallenhorst, L.M.; Loewenthal, L.; Avramidis, G.; Gerhard, C.; Militz, H.; Ohms, G.; Viöl, W.

    2017-01-01

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  16. Topographic, optical and chemical properties of zinc particle coatings deposited by means of atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Wallenhorst, L.M., E-mail: lena.wallenhorst@hawk-hhg.de [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Loewenthal, L.; Avramidis, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Gerhard, C. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany); Militz, H. [Wood Biology and Wood Products, Burckhardt Institute, Georg-August-University Göttingen, Büsgenweg 4, 37077 Göttingen (Germany); Ohms, G. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Viöl, W. [University of Applied Sciences and Arts, Laboratory of Laser and Plasma Technologies, Von-Ossietzky-Str. 99, 37085 Göttingen (Germany); Fraunhofer Institute for Surface Engineering and Thin Films, Application Center for Plasma and Photonics, Von-Ossietzky-Str. 100, 37085 Göttingen (Germany)

    2017-07-15

    Highlights: • Zn/ZnO mixed systems were deposited from elemental zinc by a cold plasma-spray process. • Oxidation was confirmed by XPS. • The coatings exhibited a strong absorption in the UV spectral range, thus being suitable as protective layers, e.g. on thermosensitive materials. - Abstract: In this research, topographic, optical and chemical properties of zinc oxide layers deposited by a cold plasma-spray process were measured. Here, zinc micro particles were fed to the afterglow of a plasma spark discharge whereas the substrates were placed in a quite cold zone of the effluent plasma jet. In this vein, almost closed layers were realised on different samples. As ascertained by laser scanning and atomic force microscopic measurements the particle size of the basic layer is in the nanometre scale. Additionally, larger particles and agglomerates were found on its top. The results indicate a partial plasma-induced diminishment of the initial particles, most probably due to melting or vaporisation. It is further shown that the plasma gives rise to an increased oxidation of such particles as confirmed by X-ray photoelectron spectroscopy. Quantitative analysis of the resulting mixed layer was performed. It is shown that the deposited layers consist of zinc oxide and elemental zinc in approximately equal shares. In addition, the layer's band gap energy was determined by spectroscopic analysis. Here, considerable UV blocking properties of the deposited layers were observed. Possible underlying effects as well as potential applications are presented.

  17. Double-ceramic-layer thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/La{sub 2}Ce{sub 2}O{sub 7} deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Z.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); He, L.M., E-mail: he_limin@yahoo.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Mu, R.D.; He, S.M.; Huang, G.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao, X.Q., E-mail: xcao@ciac.jl.cn [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-03-15

    Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and La{sub 2}Ce{sub 2}O{sub 7} (LC) were deposited by electron beam-physical vapor deposition (EB-PVD). The composition, interdiffusion, surface and cross-sectional morphologies, cyclic oxidation behavior of DCL coating were studied. Energy dispersive spectroscopy and X-ray diffraction analyses indicate that both LZ7C3 and LC coatings are effectively fabricated by a single LZ7C3 ingot with properly controlling the deposition energy. The chemical compatibility of LC coating and thermally grown oxide (TGO) layer is unstable. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} which is the main composition of TGO layer. Additionally, the thermal cycling behavior of DCL coating is influenced by the interdiffusion of Zr and Ce between LZ7C3 and LC coatings. The failure of DCL coating is a result of the sintering of LZ7C3 coating surface, the chemical incompatibility of LC coating and TGO layer and the abnormal oxidation of bond coat. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL coating is an important development direction of TBCs.

  18. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  19. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  20. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  1. Origin of the outer layer of martian low-aspect ratio layered ejecta craters

    Science.gov (United States)

    Boyce, Joseph M.; Wilson, Lionel; Barlow, Nadine G.

    2015-01-01

    Low-aspect ratio layered ejecta (LARLE) craters are one of the most enigmatic types of martian layered ejecta craters. We propose that the extensive outer layer of these craters is produced through the same base surge mechanism as that which produced the base surge deposits generated by near-surface, buried nuclear and high-explosive detonations. However, the LARLE layers have higher aspect ratios compared with base surge deposits from explosion craters, a result of differences in thicknesses of these layers. This characteristics is probably caused by the addition of large amounts of small particles of dust and ice derived from climate-related mantles of snow, ice and dust in the areas where LARLE craters form. These deposits are likely to be quickly stabilized (order of a few days to a few years) from eolian erosion by formation of duricrust produced by diffusion of water vapor out of the deposits.

  2. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  3. Influence of co-deposited active layers on carrier transport and luminescent properties in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Murata, Masaya; Yamamoto, Takayuki; Haishi, Motoki; Ohtani, Naoki [Department of Electronics, Doshisha University, Tatara-Miyakodani, Kyotanabe-shi, Kyoto (Japan); Ando, Taro [Central Research Laboratory, Hamamatsu Photonics, Hirakuchi, Hamakita-ku, Hamamatsu-shi, Shizuoka (Japan)

    2009-01-15

    We have investigated the influence of a co-deposited active layer in organic light-emitting diodes (OLEDs) on carrier transport and optical properties to improve radiative characteristics of OLEDs. The co-deposited layer consists of two organic materials; one is a hole transport material (TPD) and the other is an electron transport/emissive material (Alq3). We evaluated current-voltage characteristics and electroluminescence (EL) properties of various samples in which the thicknesses and compound ratios of the co-deposited layers are different. The results indicate that the devices consisting of TPD:Alq3 co-deposited layer sandwiched between TPD and Alq3 layers exhibit lower starting voltages for the light emission than the sample of simple TPD/Alq3 heterojunction structure. In addition, the starting voltage is independent of the thickness of TPD:Alq3 co-deposited layer. These samples have two interfaces at both surfaces of TPD:Alq3 co-deposited layer. Thus, we estimated the radiative recombination occurs at the interfaces. Nevertheless, we found that the radiative recombination occurs only at the interface of TPD:Alq3 co-deposited layer and Alq3 layer. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  5. Efficient hole-transporting layer MoO{sub 3}:CuI deposited by co-evaporation in organic photovoltaic cells

    Energy Technology Data Exchange (ETDEWEB)

    Barkat, L.; Khelil, A. [Universite d' Oran 1 - Ahmed Ben Bella, LPCM2E, Oran (Algeria); Hssein, M. [Universite de Nantes, Institut des Materiaux Jean Rouxel (IMN), CNRS, UMR 6502, Nantes (France); Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); El Jouad, Z. [Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); Universite de Nantes, MOLTECH-Anjou, CNRS, UMR 6200, Nantes (France); Cattin, L.; Louarn, G.; Stephant, N. [Universite de Nantes, Institut des Materiaux Jean Rouxel (IMN), CNRS, UMR 6502, Nantes (France); Ghamnia, M. [Universite d' Oran 1 - Ahmed Ben Bella, Laboratoire des Sciences de la Matiere Condensee (LSMC), Oran (Algeria); Addou, M. [Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); Morsli, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Nantes (France); Bernede, J.C. [Universite de Nantes, MOLTECH-Anjou, CNRS, UMR 6200, Nantes (France)

    2017-01-15

    In order to improve hole collection at the interface anode/electron donor in organic photovoltaic cells, it is necessary to insert a hole-transporting layer. CuI was shown to be a very efficient hole-transporting layer. However, its tendency to be quite rough tends to induce leakage currents and it is necessary to use a very slow deposition rate for CuI to avoid such negative effect. Herein, we show that the co-deposition of MoO{sub 3} and CuI avoids this difficulty and allows deposition of a homogeneous efficient hole-collecting layer at an acceptable deposition rate. Via an XPS study, we show that blending MoO{sub 3}:CuI improves the hole collection efficiency through an increase of the gap state density. This increase is due to the formation of Mo{sup 5+} following interaction between MoO{sub 3} and CuI. Not only does the co-evaporation process allow for decreasing significantly the deposition time of the hole-transporting layer, but also it increases the efficiency of the device based on the planar heterojunction, CuPc/C{sub 60}. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Inkjet-Printed Chemical Solution Y2O3 Layers for Planarization of Technical Substrates

    Directory of Open Access Journals (Sweden)

    Marta Vilardell

    2017-12-01

    Full Text Available The implementation of the Chemical Solution Deposition (CSD methodology with the Drop on Demand (DoD inkjet printing (IJP technology has been successfully employed to develop a Solution Deposition Planarization (SDP method. We have used nanocrystalline yttrium oxide (Y2O3 to decrease the roughness of technical metallic substrates by filling the surface imperfections and thus avoiding costly polishing steps. This alternative process represents an outstanding methodology to reduce the final cost of the second-generation coated conductors manufacturing. Two Y2O3 metalorganic precursor ink formulations were successfully developed and tested to obtain surfaces as smooth as possible with adequate mechanical properties to hold the internal stress developed during the growth of the subsequent layers. By using these inks as precursors for IJP and after a proper tuning of the rheological and wetting parameters, we firstly obtained centimeter length uniform 100 nm-thick SDP-Y2O3 films on unpolished stainless-steel substrate from Bruker HTS. The scalability of the roll to roll (R2R-IJP process to 100 m is then demonstrated on metallic substrates as well. A complete characterization of the prepared SDP-Y2O3 inkjet-printed layers was carried out using optical microscopy, FIB-SEM (Focus Ion Beam coupled to Scanning Electron Microscopy, XRD (X-ray Diffraction, AFM (Atomic Force Microscopy, reflectometry and nanoindentation techniques. Then, the morphology, thickness, crystallinity and mechanical properties were evaluated, together with the surface roughness in order to assess the resulting layer planarity. The impact of planarity was additionally studied via growth of biaxially textured buffer layers as well as further functional layers. 1.1 µm-thick YSZ layers with in-plane textures better than the stainless steel (SS polished reference were successfully deposited on top of 100 nm SDP-Y2O3 films yielding 50% of Ic in contrast to the standard SS reference.

  7. Study of Sb/SnO{sub 2} bi-layer films prepared by ion beam sputtering deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chun-Min [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Huang, Chun-Chieh [Department of Electrical Engineering, Cheng Shiu University, No. 840, Chengcing Road, Niaosong Township, Kaohsiung 833, Taiwan, ROC (China); Kuo, Jui-Chao [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Huang, Jow-Lay, E-mail: jlh888@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    In the present work, bi-layer thin films of Sb/SnO{sub 2} were produced on unheated glass substrates using ion beam sputtering (IBS) technique without post annealing treatment. The thickness of Sb layers was varied from 2 to 10 nm and the Sb layers were deposited on SnO{sub 2} layers having thicknesses of 40 nm to 115 nm. The effect of thickness was studied on the morphological, electrical and optical properties. The Sb/SnO{sub 2} bi-layer resulted in lowering the electrical resistivity as well as reducing the optical transmittance. However, the optical and electrical properties of the bi-layer films were mainly influenced by the thickness of Sb layers due to progressive transfer in structures from aggregate to continuous films. The bi-layer films show the electrical resistivity of 1.4 × 10{sup −3} Ω cm and an optical transmittance of 26% for Sb film having 10 nm thickness. - Highlights: • Bi-layer Sb/SnO{sub 2} structures were synthesized by ion beam sputtering (IBS) technique. • The 6 nm-thick Sb film is a transition region in this study. • The conductivity of the bi-layer films is increased as Sb thickness increases. • The transmittance of the bi-layer films is decreased as Sb thickness increases.

  8. Spin-Assisted Layer-by-Layer Assembly: Variation of Stratification as Studied with Neutron Reflectivity

    International Nuclear Information System (INIS)

    Kharlampieva, Eugenia; Kozlovskaya, Veronika; Chan, Jennifer; Ankner, John Francis; Tsukruk, Vladimir V.

    2009-01-01

    We apply neutron reflectivity to probe the internal structure of spin-assisted layer-by-layer (LbL) films composed of electrostatically assembled polyelectrolytes. We find that the level of stratification and the degree of layer intermixing can be controlled by varying the type and concentration of salt during LbL assembly. We observe well-defined layer structure in spin-assisted LbL films when deposited from salt-free solutions. These films feature 2-nm-thick bilayers, which are ∼3-fold thicker than those in dipped LbL films assembled under similar conditions. Addition of a 10mM phosphate buffer promotes progressive layer inter-diffusion with increasing distance from the substrate. However, adding 0.1M NaCl to the phosphate buffer solution restores the layer stratification. We also find that spin-assisted LbL films obtained from buffer solutions are more highly stratified as compared to the highly intermixed layers seen in dipped LbL films assembled from buffer. Our results yield new insight into the mechanism of spin-assisted LbL assembly that should prove useful for biotechnological applications.

  9. Anti corrosion layer for stainless steel in molten carbonate fuel cell - comprises phase vapour deposition of titanium nitride, aluminium nitride or chromium nitride layer then oxidising layer in molten carbonate electrolyte

    DEFF Research Database (Denmark)

    2000-01-01

    Forming an anticorrosion protective layer on a stainless steel surface used in a molten carbonate fuel cell (MCFC) - comprises the phase vapour deposition (PVD) of a layer comprising at least one of titanium nitride, aluminium nitride or chromium nitride and then forming a protective layer in situ...

  10. Characterization of redeposited carbon layers on TEXTOR limiter by Laser Raman spectroscopy

    International Nuclear Information System (INIS)

    Egashira, K.; Tanabe, T.; Yoshida, M.; Nakazato, H.; Philipps, V.; Brezinsek, S.; Kreter, A.

    2011-01-01

    Highlights: ► Laser Raman technique has applied to analyze the deposited carbon layers on TEXTOR test limiters of C and W. ► The carbon deposited layers showed the Raman spectra composed of G-peak and D-peak. ► For W limiter, hydrogen concentrations in the deposited carbon layers and their thicknesses correlated to the two peaks. ► The Laser Raman spectroscopy is a promising tool for in situ analysis of carbon redeposit layers on plasma facing W materials. - Abstract: Laser Raman spectroscopy is quite sensitive to detect the changes of graphite structure. In this study, the Laser Raman technique was applied to analyze the deposited carbon layers on TEXTOR test limiters of carbon (C) and tungsten (W) produced by intentional carbon deposition experiments by methane gas puffing. The carbon deposited layers showed the Raman spectra composed of two broad peaks, G-peak and D-peak, centered at around 1580 and 1355 cm −1 respectively. For W limiter, the G-peak position and the integrated intensity of the two peaks well correlate to hydrogen concentrations in the deposited carbon layers and their thicknesses, respectively. Hence Laser Raman spectroscopy is a promising tool for the in situ analysis of carbon redeposit layers on plasma facing W materials and probably on Be materials.

  11. Giant magneto-impedance effect on nanocrystalline microwires with conductive layer deposit

    International Nuclear Information System (INIS)

    Wang, R.L.; Zhao, Z.J.; Liu, L.P.; Yuan, W.Z.; Yang, X.L.

    2005-01-01

    In this study, the giant magneto-impedance effect on Fe-based glass-coated nanocrystalline microwires with and without an additional outer copper layer was investigated. Experiment results showed that the magneto-impedance ratio of the wires with a layer of deposited copper is higher at low frequencies and lower at high frequencies (above 50 MHz), as compared to that of the microwires without an outer copper layer. The peak MI magnetic field, corresponding to the maximum of the magneto-impedance ratio shifts towards higher field values with increasing coating thickness of copper layer. The results are explained in terms of electro-magnetic interactions between the conductive layer and the ferromagnetic core

  12. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  13. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  14. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  15. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  16. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  17. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Development of ZnO:Al-based transparent contacts deposited at low-temperature by RF-sputtering on InN layers

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain); Naranjo, F.B.; Valdueza-Felip, S. [Grupo de Ingenieria Fotonica, Departamento de Electronica, Escuela Politecnica Superior, Universidad de Alcala Campus Universitario, 28871 Alcala de Henares, Madrid (Spain); Abril, O. de [ISOM and Departamento de Fisica Aplicada, Escuela Tecnica Superior de Ingenieros de Telecomunicacion, Universidad Politenica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain)

    2012-03-15

    Nitride semiconductors (Al,Ga,In)N attain material properties that make them suitable for photovoltaic and optoelectronics devices to be used in hard environments. These properties include an energy gap continuously tuneable within the energy range of the solar spectrum, a high radiation resistance and thermal stability. The developing of efficient devices requires contacts with low resistivity and high transmittance in visible region. ZnO:Al (AZO) emerges as a feasible candidate for transparent contact to nitride semiconductors, taking advantage of its low resistivity, high transparency in visible wavelengths and a very low lattice mismatch with respect to nitride semiconductors. This work presents a study of the applications of AZO films deposited at low-temperature by RF magnetron sputtering as transparent contact for InN layers. The optimization of AZO conditions deposition lead to the obtaining of contacts which shows an ohmic behaviour for the as-deposited layer, regardless the thickness of the ZnO:Al contact layer. Specific contact resistances of 1.6 {omega}.cm{sup 2} were achieved for the contact with 90 nm thick ZnO:Al layer without any post-deposition treatment (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Morphology, microstructure, and hardness of titanium (Ti-6Al-4V) blocks deposited by wire-feed additive layer manufacturing (ALM)

    International Nuclear Information System (INIS)

    Brandl, Erhard; Schoberth, Achim; Leyens, Christoph

    2012-01-01

    Highlights: ► The microstructure and hardness of deposited Ti-6Al-4V blocks are investigated. ► Hardness is influenced by post heat treatment rather than by process parameters. ► Microstructure within the prior β-grains varies to some extent from grain to grain. ► A 600 °C/4 h treatment significantly increased the average hardness. - Abstract: Additive layer manufacturing offers a potential for time and cost savings, especially for aerospace components made from costly titanium alloys. In this paper, the morphology, microstructure, chemical composition, and hardness of additive manufactured Ti-6Al-4V blocks are investigated and discussed. Blocks (7 beads wide, 7 layers high) were deposited using Ti-6Al-4V wire and a Nd:YAG laser. Two different sets of parameters are used and three different post heat treatment conditions (as-built, 600 °C/4 h, 1200 °C/2 h) are investigated. The experiments reveal elementary properties of additive manufactured Ti-6Al-4V material in correlation to process parameters and heat treatments, which are discussed comprehensively.

  20. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  1. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  2. Dark material in the polar layered deposits and dunes on Mars

    Science.gov (United States)

    Herkenhoff, Ken E.; Vasavada, Ashwin R.

    1999-07-01

    Viking infrared thermal mapping and bistatic radar data suggest that the bulk density of the north polar erg material is much lower than that of the average Martian surface or of dark dunes at lower latitudes. We have derived a thermal inertia of 245-280Jm-2s-1/2K-1(5.9-6.7×10-3calcm-2s-1/2K-1) for the Proctor dune field and 25-150Jm-2s-1/2K-1(0.6-3.6×10-3calcm-2s-1/2K-1) for the north polar erg. The uniqueness of the thermophysical properties of the north polar erg material may be due to a unique polar process that has created them. The visible and near-infrared spectral reflectance of the erg suggests that the dark material may be composed of basalt or ferrous clays. These data are consistent with the dark material being composed of basaltic ash or filamentary sublimate residue (FSR) particles derived from erosion of the layered deposits. Dark dust may be preferentially concentrated at the surface of the layered deposits by the formation of FSR particles upon sublimation of water ice. Further weathering and erosion of these areas of exposed layered deposits may form the dark, saltating material that is found in both polar regions. Dark FSR particles may saltate for great distances before eventually breaking down into dust grains, re-mixing with the global dust reservoir, and being recycled into the polar layered deposits via atmospheric suspension.

  3. Low-Temperature Crystalline Titanium Dioxide by Atomic Layer Deposition for Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-04-24

    Low-temperature processing of dye-sensitized solar cells (DSCs) is crucial to enable commercialization with low-cost, plastic substrates. Prior studies have focused on mechanical compression of premade particles on plastic or glass substrates; however, this did not yield sufficient interconnections for good carrier transport. Furthermore, such compression can lead to more heterogeneous porosity. To circumvent these problems, we have developed a low-temperature processing route for photoanodes where crystalline TiO2 is deposited onto well-defined, mesoporous templates. The TiO2 is grown by atomic layer deposition (ALD), and the crystalline films are achieved at a growth temperature of 200 C. The ALD TiO2 thickness was systematically studied in terms of charge transport and performance to lead to optimized photovoltaic performance. We found that a 15 nm TiO2 overlayer on an 8 μm thick SiO2 film leads to a high power conversion efficiency of 7.1% with the state-of-the-art zinc porphyrin sensitizer and cobalt bipyridine redox mediator. © 2013 American Chemical Society.

  4. Graphene crystal growth by thermal precipitation of focused ion beam induced deposition of carbon precursor via patterned-iron thin layers

    Directory of Open Access Journals (Sweden)

    Rius Gemma

    2014-01-01

    Full Text Available Recently, relevant advances on graphene as a building block of integrated circuits (ICs have been demonstrated. Graphene growth and device fabrication related processing has been steadily and intensively powered due to commercial interest; however, there are many challenges associated with the incorporation of graphene into commercial applications which includes challenges associated with the synthesis of this material. Specifically, the controlled deposition of single layer large single crystal graphene on arbitrary supports, is particularly challenging. Previously, we have reported the first demonstration of the transformation of focused ion beam induced deposition of carbon (FIBID-C into patterned graphitic layers by metal-assisted thermal treatment (Ni foils. In this present work, we continue exploiting the FIBID-C approach as a route for graphene deposition. Here, thin patterned Fe layers are used for the catalysis of graphenization and graphitization. We demonstrate the formation of high quality single and few layer graphene, which evidences, the possibility of using Fe as a catalyst for graphene deposition. The mechanism is understood as the minute precipitation of atomic carbon after supersaturation of some iron carbides formed under a high temperature treatment. As a consequence of the complete wetting of FIBID-C and patterned Fe layers, which enable graphene growth, the as-deposited patterns do not preserve their original shape after the thermal treatment

  5. Chemical solution deposited BaPbO3 buffer layers for lead zirconate titanate ferroelectric films

    International Nuclear Information System (INIS)

    Tseng, T.-K.; Wu, J.-M.

    2005-01-01

    Conductive perovskite BaPbO 3 (BPO) films have been prepared successfully by chemical solution deposition method through spin-coating on Pt/Ti/SiO 2 /Si substrates. The choice of baking temperature is a key factor on the development of conducting BPO perovskite phase. When the baking temperature is higher than 350 deg. C, the BPO films contain a high content of BaCO 3 phase after annealing at temperatures higher than 500 deg. C. If the baking temperature is chosen lower than 300 deg. C, such as 200 deg. C, the annealed BPO films consist mostly of perovskite with only traces of BaCO 3 . Choosing 200 deg. C as the baking temperature, the BPO films developed single perovskite phase at temperatures as low as 550 deg. C. The perovskite BPO phase is stable in the range of 550-650 deg. C and the measured sheet resistance of the BPO films is about 2-3 Ω/square. The perovskite BPO film as a buffer layer provides improvement in electric properties of lead zirconate titanate films

  6. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  7. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  8. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  9. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  10. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  11. Silica nanoparticles for the layer-by-layer assembly of fully electro-active cytochrome c multilayers

    Directory of Open Access Journals (Sweden)

    Feifel Sven C

    2011-12-01

    Full Text Available Abstract Background For bioanalytical systems sensitivity and biomolecule activity are critical issues. The immobilization of proteins into multilayer systems by the layer-by-layer deposition has become one of the favorite methods with this respect. Moreover, the combination of nanoparticles with biomolecules on electrodes is a matter of particular interest since several examples with high activities and direct electron transfer have been found. Our study describes the investigation on silica nanoparticles and the redox protein cytochrome c for the construction of electro-active multilayer architectures, and the electron transfer within such systems. The novelty of this work is the construction of such artificial architectures with a non-conducting building block. Furthermore a detailed study of the size influence of silica nanoparticles is performed with regard to formation and electrochemical behavior of these systems. Results We report on interprotein electron transfer (IET reaction cascades of cytochrome c (cyt c immobilized by the use of modified silica nanoparticles (SiNPs to act as an artificial matrix. The layer-by-layer deposition technique has been used for the formation of silica particles/cytochrome c multilayer assemblies on electrodes. The silica particles are characterized by dynamic light scattering (DLS, Fourier transformed infrared spectroscopy (FT-IR, Zeta-potential and transmission electron microscopy (TEM. The modified particles have been studied with respect to act as an artificial network for cytochrome c and to allow efficient interprotein electron transfer reactions. We demonstrate that it is possible to form electro-active assemblies with these non-conducting particles. The electrochemical response is increasing linearly with the number of layers deposited, reaching a cyt c surface concentration of about 80 pmol/cm2 with a 5 layer architecture. The interprotein electron transfer through the layer system and the

  12. Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition

    Science.gov (United States)

    Puyoo, E.; Malhaire, C.; Thomas, D.; Rafaël, R.; R'Mili, M.; Malchère, A.; Roiban, L.; Koneti, S.; Bugnet, M.; Sabac, A.; Le Berre, M.

    2017-03-01

    Platinum nanoparticle-based strain gauges are elaborated by means of atomic layer deposition on flexible polyimide substrates. Their electro-mechanical response is tested under mechanical bending in both buckling and conformational contact configurations. A maximum gauge factor of 70 is reached at a strain level of 0.5%. Although the exponential dependence of the gauge resistance on strain is attributed to the tunneling effect, it is shown that the majority of the junctions between adjacent Pt nanoparticles are in a short circuit state. Finally, we demonstrate the feasibility of an all-plastic pressure sensor integrating Pt nanoparticle-based strain gauges in a Wheatstone bridge configuration.

  13. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  14. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  15. Field emission from vertically aligned few-layer graphene

    International Nuclear Information System (INIS)

    Malesevic, Alexander; Kemps, Raymond; Vanhulsel, Annick; Chowdhury, Manish Pal; Volodin, Alexander; Van Haesendonck, Chris

    2008-01-01

    The electric field emission behavior of vertically aligned few-layer graphene was studied in a parallel plate-type setup. Few-layer graphene was synthesized in the absence of any metallic catalyst by microwave plasma enhanced chemical vapor deposition with gas mixtures of methane and hydrogen. The deposit consists of nanostructures that are several micrometers wide, highly crystalline stacks of four to six atomic layers of graphene, aligned vertically to the substrate surface in a high density network. The few-layer graphene is found to be a good field emitter, characterized by turn-on fields as low as 1 V/μm and field amplification factors up to several thousands. We observe a clear dependence of the few-layer graphene field emission behavior on the synthesis parameters: Hydrogen is identified as an efficient etchant to improve field emission, and samples grown on titanium show lower turn-on field values and higher amplification factors when compared to samples grown on silicon

  16. Hard Surface Layers by Pack Boriding and Gaseous Thermo-Reactive Deposition and Diffusion Treatments

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lundin; Bottoli, Federico; Dahl, Kristian Vinter

    2017-01-01

    ) layers with hardnesses up to 1800 HV. Titanizing of ARNE tool steel results in a surface layer consisting of TiC with a hardness of approximately 4000 HV. Duplex treatments, where boriding is combined with subsequent (TRD) titanizing, result in formation of hard TiB2 on top of a thick layer of Fe......Thermo-reactive deposition and diffusion (TRD) and boriding are thermochemical processes that result in very high surface hardness by conversion of the surface into carbides/nitrides and borides, respectively. These treatments offer significant advantages in terms of hardness, adhesion, tribo...... subjected to TRD (chromizing and titanizing) and boriding treatments. For the steels with low carbon content, chromizing results in surface alloying with chromium, i.e., formation of a (soft) “stainless” surface zone. Steels containing higher levels of carbon form chromium carbide (viz. Cr23C6, Cr7C3...

  17. Polar layered deposits on Mars: Inner structure and relation to the climate record

    Science.gov (United States)

    Kreslavsky, M.; Head, J.

    Martian polar layered deposits (PLD) have long been thought to contain a record of the past climate. Roles of deposition, ablation and flow in PLD are a subject of discussion and controversy. Understanding of these roles is critical for reading the climate record. We show that simple mechanism including latitude-dependent deposition and ablation, albedo feedback and role of slopes explains many essential features of the PLD. We consider the present-day PLD is a result of a history of H2O ice deposition and sublimation during some recent period of the geological history. The deposition - ablation balance is a function of latitude. Typically, net deposition occurs in the polar area inside some boundary latitude of zero balance, and net ablation occurs outside. This dividing latitude shifts back and forth due to climate change caused by (1) the change of the spin/orbit parameters ("astronomical forcing"), (2) availability of the water vapor source at lower latitudes (tropical mountain glaciers, high-latitude icy mantles, the opposite polar cap, groundwater discharge events), (3) internal climate instabilities. The outermost position of the ablation/deposition boundary was well outside the present margins of the PLD; in the opposite extremes, the area of the positive balance disappeared, and the whole polar cap underwent ablation. Through time such oscillations produced a dome-shaped stack of deposits with a possible thin layer of deposits outside the dome and with a number of unconformities inside. These unconformities will have an east-west oriented strike and a very shallow dip. There is a positive feedback between the deposition/ablation balance and albedo: high albedo favors deposition, and fresh deposits have high albedo. With this feedback, when the climate system goes through oscillations, the boundary latitude between positive and negative balance will stay for some periods of time at its outermost and innermost positions. This will result in steps in the

  18. Ultra-fine structures of Pd-Ag-HAp nanoparticle deposition on protruded TiO2 barrier layer for dental implant

    Science.gov (United States)

    Jang, Jae-Myung; Kim, Seung-Dai; Park, Tae-Eon; Choe, Han-Cheol

    2018-02-01

    The biocompatibility structure of an implant surface is of great importance to the formation of new bone tissue around the dental implant and also has a significant chemical reaction in the osseointegration process. Thus, ultra-fine Pd-Ag-HAp nanoparticles have been electrodeposited on protruded TiO2 barrier layer in mixed electrolyte solutions. Unusual protrusions patterns, which are assigned to Pd-Ag-HAp nanoparticles, can be clearly differentiated from a TiO2 nanotube oxide layer formed by an anodizing process. In the chemical bonding state, the surface characteristics of Pd/Ag/HAp compounds have been investigated by FE-SEM, EDS mapping analysis, and XPS analysis. The mapping dots of the elements including Ti, Ca, Pd, Ag, and P showed a homogeneous distribution throughout the entire surface when deposited onto the protruded TiO2 barrier layer. The XPS spectra of Ti-2p, O-1S, Pd-3d, and Ag-3d have been investigated, with the major XPS peak indicating Pd-3d. The Ag-3d level was clearly observed with further scanning of the Ca-2p region. Based on the results of the chemical states, the structural properties of the protrusion patterns were also examined after being deposited onto the barrier oxide film, resulting in the representative protrusion patterns being mainly composed of Pd-Ag-HAp compounds. The results of the soaking evaluation showed that the protrusion patterns and the protruded TiO2 barrier layer were all effective in regards to biocompatibility.

  19. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  20. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  1. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  2. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    Science.gov (United States)

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Carbon and tungsten effect on characteristics of sputtered and re-deposited beryllium target layers under deuteron bombardment

    International Nuclear Information System (INIS)

    Danelyan, L.S.; Gureev, V.M.; Elistratov, N.G.

    2004-01-01

    The behavior of the plasma facing Be-elements in the International Thermonuclear Experimental Reactor ITER will be affected by the re-deposition of other eroded plasma facing materials. The effect of carbon- and tungsten-additions on the microstructure, chemical composition and hydrogen isotope accumulation in the sputtered and re-deposited layers of beryllium TGP-56 at its interaction with 200 - 300 eV hydrogen isotope ions was studied in the MAGRAS facility equipped with a magnetron sputtering system. (author)

  4. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  5. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  6. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  7. Development of Nitride Coating Using Atomic Layer Deposition for Low-Enriched Uranium Fuel Powder

    Science.gov (United States)

    Bhattacharya, Sumit

    metal organic chemical precursors tetrakis dimethylamido zirconium (TDMAZr) and ammonia( NH3) for succesful deposition of ZrN coating. Nitrogen (N2) gas carried the chemicals to a hot wall reactor maintained at a temperature range of 235 to 245 °C. The ALD system design evolved over the course of this research as the process variables were steadily improved. The conditions found deemed for attaining best coating were at a temperature of 245 °C, with pulse time of 0.8 seconds for TDMAZr and 0.1 seconds for NH3 along with 15 seconds of purge time in-between each cycle. The ALD system was successful in making 1-micrometer (um) ZrN with low levels of chemical impurities over U-Mo powder batches. The deposited coatings were characterized using scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), electron energy loss spectroscopy (EELS) and Transmission electron microscope (TEM). This document describes the establishment of the Savannah 200 ALD system, precursor surface reaction procedures and finally the nature of the coating achieved, including characterization of the coating at the different stages of deposition. It was found that an interlayer of alumina in between ZrN and the U-Mo surface was required to reduce the residual stress generated during the ALD procedure. The alumina not only removed the risk of cracking and spallation of the ZrN coating but also provided adequate strength for the barrier layer to withstand the fuel plate rolling conditions. The ZrN coating was nano crystalline in nature, with grain size varying from 5-10 nm, the deposited layer was found to be dense consisting of a layered structure. The coating could retain its crystallinity and maintain its phase when irradiated with 1 MeV single charged ion Kr to produce a damage of 10 displacement per atom (DPA) at intermediate voltage electron microscopy (IVEM).

  8. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    Science.gov (United States)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  9. Layer-by-layer assembled TiO2 films with high ultraviolet light-shielding property

    International Nuclear Information System (INIS)

    Li, Xiaozhou; Wang, Lin; Pei, Yuxin; Jiang, Jinqiang

    2014-01-01

    Ultraviolet (UV) B is hazardous to human, plants and animals. With the rapid growth of ozone holes over the earth, the exploration of optical materials that can cut off harmful UV radiation is important. In this work, fusiform TiO 2 nanoparticles were synthesized by a hydrothermal synthesis method. The thin films assembled with TiO 2 nanoparticles and oppositely charged polyelectrolytes were fabricated via a layer-by-layer assembly method. The fabrication of poly(ethylene imine) (PEI)/TiO 2 multilayer films was verified by ultraviolet–visible spectra measurements, scanning electron microscopy and atomic force microscopy. The as-prepared PEI/TiO 2 multilayer films can effectively absorb harmful UVB light and filter off visible light. Most importantly, the PEI/TiO 2 films can be deposited directly on various kinds of hydrophilic substrates such as quartz, glass, silicon and hydrophobic substrates such as polystyrene, polypropylene, polyethylene and polymethyl methacrylate when the hydrophilic substrates were modified to obtain a hydrophilic surface. - Highlights: • PEI/TiO 2 films were fabricated via a layer-by-layer self-assembly method. • The films could effectively absorb harmful UVB light and filter off visible light. • The films could deposit directly on either hydrophilic or hydrophobic substrates

  10. Deposition of silica protected luminescent layers of Eu:GdVO{sub 4} nanoparticles assisted by atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Moretti, Elisa, E-mail: elisa.moretti@unive.it [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Pizzol, Giorgia [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Fantin, Marina; Enrichi, Francesco; Scopece, Paolo [Nanofab-Veneto Nanotech, Via delle Industrie 5, 30175 Marghera, Venezia (Italy); Nuñez, Nuria O.; Ocaña, Manuel [Instituto de Ciencia de Materiales de Sevilla, CSIC-US, Americo Vespucio 49, 41092, Isla de la Cartuja, Sevilla (Spain); Benedetti, Alvise [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Polizzi, Stefano [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Centro di Microscopia Elettronica “Giovanni Stevanato”, Università Ca' Foscari Venezia, Via Torino 155/B, 30172 Mestre, Venezia (Italy)

    2016-01-01

    Eu:GdVO{sub 4} nanophosphors with an average size of 60 nm, synthesized by a facile solvothermal method, were deposited on monocrystalline silicon wafers by a spray-coating technique with artworks anti-counterfeiting applications in mind. Atmospheric pressure plasma jet (APPJ) was used to deposit a silica-based layer on top of the nanometric luminescent layer, in order to improve its adhesion to the substrate and to protect it from the environment. The nanophosphors were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Coating composition was investigated by Fourier transform infrared spectroscopy (FT-IR) and its morphology was characterized by scanning electron microscopy (FEG-SEM). The film thickness was evaluated by means of ellipsometry and adhesion was estimated by a peeling test. Luminescent properties of the nanophosphors deposited and fixed on silicon wafers were also measured. The whole layer resulted well-adhered to the silicon substrate, transparent and undetectable in the presence of visible light, but easily activated by UV light source. - Highlights: • Luminescent films were obtained by spray deposition of Eu:GdVO{sub 4} nanophosphors. • Plasma jet deposition of SiO{sub 2} fixed the nanophosphors on the substrate. • Optical properties of nanophosphors were preserved after deposition-fixing process. • Films well-adhered to the substrate, even after a scotch tape peeling test and a scratch test.

  11. Layer-by-layer assembled PVA/Laponite multilayer free-standing films and their mechanical and thermal properties

    International Nuclear Information System (INIS)

    Patro, T Umasankar; Wagner, H Daniel

    2011-01-01

    Structural arrangements of nanoplatelets in a polymer matrix play an important role in determining their properties. In the present study, multilayered composite films of poly(vinyl alcohol) (PVA) with Laponite clay are assembled by layer-by-layer (LBL) deposition. The LBL films are found to be hydrated, flexible and transparent. A facile and solvent-free method—by depositing self-assembled monolayers (SMA) of a functional silane on substrates—is demonstrated for preparing free-standing LBL films. Evolution of nanostructures in LBL films is correlated with thermal and mechanical properties. A well-dispersed solvent-cast PVA/Laponite composite film is also studied for comparison. We found that structurally ordered LBL films with an intercalated nanoclay system exhibits tensile strength, modulus and toughness, which are significantly higher than that of the conventional nanocomposites with well-dispersed clay particles and that of pure PVA. This indicates that clay platelets are oriented in the applied stress direction, leading to efficient interfacial stress transfer. In addition, various grades of composite LBL films are prepared by chemical crosslinking and their mechanical properties are assessed. On account of these excellent properties, the LBL films may find potential use as optical and structural elements, and as humidity sensors.

  12. Layer-by-layer assembled PVA/Laponite multilayer free-standing films and their mechanical and thermal properties.

    Science.gov (United States)

    Patro, T Umasankar; Wagner, H Daniel

    2011-11-11

    Structural arrangements of nanoplatelets in a polymer matrix play an important role in determining their properties. In the present study, multilayered composite films of poly(vinyl alcohol) (PVA) with Laponite clay are assembled by layer-by-layer (LBL) deposition. The LBL films are found to be hydrated, flexible and transparent. A facile and solvent-free method-by depositing self-assembled monolayers (SMA) of a functional silane on substrates-is demonstrated for preparing free-standing LBL films. Evolution of nanostructures in LBL films is correlated with thermal and mechanical properties. A well-dispersed solvent-cast PVA/Laponite composite film is also studied for comparison. We found that structurally ordered LBL films with an intercalated nanoclay system exhibits tensile strength, modulus and toughness, which are significantly higher than that of the conventional nanocomposites with well-dispersed clay particles and that of pure PVA. This indicates that clay platelets are oriented in the applied stress direction, leading to efficient interfacial stress transfer. In addition, various grades of composite LBL films are prepared by chemical crosslinking and their mechanical properties are assessed. On account of these excellent properties, the LBL films may find potential use as optical and structural elements, and as humidity sensors.

  13. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    Science.gov (United States)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  14. Strength and Deformability of Light-toned Layered Deposits Observed by MER Opportunity: Eagle to Erebus Craters

    Science.gov (United States)

    Okubo, C. H.; Schultz, R. A.; Nahm, A. L.

    2007-07-01

    The strength and deformability of light-toned layered deposits are estimated based on measurements of porosity from Microscopic Imager data acquired by MER Opportunity during its traverse from Eagle Crater to Erebus Crater.

  15. P-6 : Impact of buffer layers on the self-aligned top-gate a-IGZO TFT characteristics

    NARCIS (Netherlands)

    Nag, M.; en de rest

    2015-01-01

    In this work we present the impact of buffer layers deposited by various techniques such as plasma enhanced chemical deposition (PECVD), physical vapor deposition (PVD) and atomic layer deposition (ALD) techniques on self-aligned (SA) top gate amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) TFT

  16. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  17. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  18. A Chemical-Adsorption Strategy to Enhance the Reaction Kinetics of Lithium-Rich Layered Cathodes via Double-Shell Surface Modification.

    Science.gov (United States)

    Guo, Lichao; Li, Jiajun; Cao, Tingting; Wang, Huayu; Zhao, Naiqin; He, Fang; Shi, Chunsheng; He, Chunnian; Liu, Enzuo

    2016-09-21

    Sluggish surface reaction kinetics hinders the power density of Li-ion battery. Thus, various surface modification techniques have been applied to enhance the electronic/ionic transfer kinetics. However, it is challenging to obtain a continuous and uniform surface modification layer on the prime particles with structure integration at the interface. Instead of classic physical-adsorption/deposition techniques, we propose a novel chemical-adsorption strategy to synthesize double-shell modified lithium-rich layered cathodes with enhanced mass transfer kinetics. On the basis of experimental measurement and first-principles calculation, MoO2S2 ions are proved to joint the layered phase via chemical bonding. Specifically, the Mo-O or Mo-S bonds can flexibly rotate to bond with the cations in the layered phase, leading to the good compatibility between the thiomolybdate adsorption layer and layered cathode. Followed by annealing treatment, the lithium-excess-spinel inner shell forms under the thiomolybdate adsorption layer and functions as favorable pathways for lithium and electron. Meanwhile, the nanothick MoO3-x(SO4)x outer shell protects the transition metal from dissolution and restrains electrolyte decomposition. The double-shell modified sample delivers an enhanced discharge capacity almost twice as much as that of the unmodified one at 1 A g(-1) after 100 cycles, demonstrating the superiority of the surface modification based on chemical adsorption.

  19. XPS and TEM study of W-DLC/DLC double-layered film

    International Nuclear Information System (INIS)

    Takeno, Takanori; Komiyama, Takao; Miki, Hiroyuki; Takagi, Toshiyuki; Aoyama, Takashi

    2009-01-01

    A double-layered film of tungsten-containing diamond-like carbon (W-DLC) and DLC, (W-DLC)/DLC, was investigated. A film of 1.6 μm in thickness was deposited onto silicon substrate. The investigate double-layered coating was deposited by using the combination of PECVD and co-sputtering of tungsten metal target. Structure, interface and chemical bonding state of the investigated film were analyzed by Transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). From the results of the analyses, the structure of double-layered film is that amorphous phase of carbon is continued from DLC to W-DLC and tungsten metal clusters are dispersed in W-DLC layer.

  20. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  1. Interfacial reactions between indium tin oxide and triphenylamine tetramer layers induced by photoirradiation

    International Nuclear Information System (INIS)

    Satoh, Toshikazu; Fujikawa, Hisayoshi; Yamamoto, Ichiro; Murasaki, Takanori; Kato, Yoshifumi

    2008-01-01

    The effects of photoirradiation on the interfacial chemical reactions between indium tin oxide (ITO) films and layers of triphenylamine tetramer (TPTE) were investigated by using in situ x-ray photoelectron spectroscopy (XPS). Thin TPTE layers deposited onto sputter-deposited ITO films were irradiated with violet light-emitting diodes (peak wavelength: 380 nm). Shifts in the peak positions of spectral components that originated in the organic layer toward the higher binding-energy side were observed in the XPS profiles during the early stages of irradiation. No further peak shifts were observed after additional irradiation. An increase in the ratio of the organic component in the O 1s spectra was also observed during the photoirradiation. The ratio of the organic component increased in proportion to the cube root of the irradiation time. These results suggest that photoirradiation induces an increase in the height of the carrier injection barrier at the interface between TPTE and ITO in the early stages of the irradiation, possibly due to the rapid diffusion controlled formation and growth of an oxidized TPTE layer, which is considered to act as a high resistance layer

  2. Activity and lifetime of urease immobilized using layer-by-layer nano self-assembly on silicon microchannels.

    Science.gov (United States)

    Forrest, Scott R; Elmore, Bill B; Palmer, James D

    2005-01-01

    Urease has been immobilized and layered onto the walls of manufactured silicon microchannels. Enzyme immobilization was performed using layer-by-layer nano self-assembly. Alternating layers of oppositely charged polyelectrolytes, with enzyme layers "encased" between them, were deposited onto the walls of the silicon microchannels. The polycations used were polyethylenimine (PEI), polydiallyldimethylammonium (PDDA), and polyallylamine (PAH). The polyanions used were polystyrenesulfonate (PSS) and polyvinylsulfate (PVS). The activity of the immobilized enzyme was tested by pumping a 1 g/L urea solution through the microchannels at various flow rates. Effluent concentration was measured using an ultraviolet/visible spectrometer by monitoring the absorbance of a pH sensitive dye. The architecture of PEI/PSS/PEI/urease/PEI with single and multiple layers of enzyme demonstrated superior performance over the PDDA and PAH architectures. The precursor layer of PEI/PSS demonstrably improved the performance of the reactor. Conversion rates of 70% were achieved at a residence time of 26 s, on d 1 of operation, and >50% at 51 s, on d 15 with a six-layer PEI/urease architecture.

  3. Layer-by-Layer Alginate and Fungal Chitosan Based Edible Coatings Applied to Fruit Bars.

    Science.gov (United States)

    Bilbao-Sainz, Cristina; Chiou, Bor-Sen; Punotai, Kaylin; Olson, Donald; Williams, Tina; Wood, Delilah; Rodov, Victor; Poverenov, Elena; McHugh, Tara

    2018-05-30

    Food waste is currently being generated at an increasing rate. One proposed solution would be to convert it to biopolymers for industrial applications. We recovered chitin from mushroom waste and converted it to chitosan to produce edible coatings. We then used layer-by-layer (LbL) electrostatic deposition of the polycation chitosan and the polyanion alginate to coat fruit bars enriched with ascorbic acid. The performance of the LbL coatings was compared with those containing single layers of fungal chitosan, animal origin chitosan and alginate. Bars containing alginate-chitosan LbL coatings showed increased ascorbic acid content, antioxidant capacity, firmness and fungal growth prevention during storage. Also, the origin of the chitosan did not affect the properties of the coatings. Mushroom stalk bases could be an alternative source for isolating chitosan with similar properties to animal-based chitosan. Also, layer-by-layer assembly is a cheap, simple method that can improve the quality and safety of fruit bars. © 2018 Institute of Food Technologists®.

  4. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  5. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  6. Environmental sensing with optical fiber sensors processed with focused ion beam and atomic layer deposition

    Science.gov (United States)

    Flores, Raquel; Janeiro, Ricardo; Dahlem, Marcus; Viegas, Jaime

    2015-03-01

    We report an optical fiber chemical sensor based on a focused ion beam processed optical fiber. The demonstrated sensor is based on a cavity formed onto a standard 1550 nm single-mode fiber by either chemical etching, focused ion beam milling (FIB) or femtosecond laser ablation, on which side channels are drilled by either ion beam milling or femtosecond laser irradiation. The encapsulation of the cavity is achieved by optimized fusion splicing onto a standard single or multimode fiber. The empty cavity can be used as semi-curved Fabry-Pérot resonator for gas or liquid sensing. Increased reflectivity of the formed cavity mirrors can be achieved with atomic layer deposition (ALD) of alternating metal oxides. For chemical selective optical sensors, we demonstrate the same FIB-formed cavity concept, but filled with different materials, such as polydimethylsiloxane (PDMS), poly(methyl methacrylate) (PMMA) which show selective swelling when immersed in different solvents. Finally, a reducing agent sensor based on a FIB formed cavity partially sealed by fusion splicing and coated with a thin ZnO layer by ALD is presented and the results discussed. Sensor interrogation is achieved with spectral or multi-channel intensity measurements.

  7. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  8. Electromagnetic shielding effectiveness of a thin silver layer deposited onto PET film via atmospheric pressure plasma reduction

    Science.gov (United States)

    Oh, Hyo-Jun; Dao, Van-Duong; Choi, Ho-Suk

    2018-03-01

    This study presents the first use of a plasma reduction reaction under atmospheric pressure to fabricate a thin silver layer on polyethylene terephthalate (PET) film without the use of toxic chemicals, high voltages, or an expensive vacuum apparatus. The developed film is applied to electromagnetic interference (EMI) shielding. After repeatedly depositing a silver layer through a plasma reduction reaction on PET, we can successfully fabricate a uniformly deposited thin silver layer. It was found that both the particle size and film thickness of thin silver layers fabricated at different AgNO3 concentrations increase with an increase in the concentration of AgNO3. However, the roughness of the thin silver layer decreases when increasing the concentration of AgNO3 from 100 to 500 mM, and the roughness increases with a further increase in the concentration of AgNO3. The EMI shielding effectiveness (SE) of the film is measured in the frequency range of 0.045 to 1 GHz. As a result of optimizing the electrical conductivity by measuring sheet resistance of the thin silver layer, the film fabricated from 500 mM AgNO3 exhibits the highest EMI SE among all fabricated films. The maximum values of the EMI SE are 60.490 dB at 0.1 GHz and 54.721 dB at 1.0 GHz with minimum sheet resistance of 0.244 Ω/□. Given that the proposed strategy is simple and effective, it is promising for fabricating various low-cost metal films with high EMI SE.

  9. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  10. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  11. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    Science.gov (United States)

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  12. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  13. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  14. French vertical flow constructed wetlands: a need of a better understanding of the role of the deposit layer.

    Science.gov (United States)

    Molle, Pascal

    2014-01-01

    French vertical flow constructed wetlands, treating directly raw wastewater, have become the main systems implemented for communities under 2,000 population equivalent in France. Like in sludge drying reed beds, an organic deposit layer is formed over time at the top surface of the filter. This deposit layer is a key factor in the performance of the system as it impacts hydraulic, gas transfers, filtration efficiency and water retention time. The paper discusses the role of this deposit layer on the hydraulic and biological behaviour of the system. It presents results from different studies to highlight the positive role of the layer but, as well, the difficulties in modelling this organic layer. As hydraulic, oxygen transfers, and biological activity are interlinked and impacted by the deposit layer, it seems essential to focus on its role (and its quantification) to find new developments of vertical flow constructed wetlands fed with raw wastewater.

  15. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    Science.gov (United States)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  16. Improving Fire Resistance of Cotton Fabric through Layer-by-Layer Assembled Graphene Multilayer Nanocoating

    Science.gov (United States)

    Jang, Wonjun; Chung, Il Jun; Kim, Junwoo; Seo, Seongmin; Park, Yong Tae; Choi, Kyungwho

    2018-05-01

    In this study, thin films containing poly(vinyl alcohol) (PVA) and graphene nanoplatelets (GNPs), stabilized with poly(4-styrene-sulfonic acid) (PSS), were assembled by a simple and cost-effective layer-by-layer (LbL) technique in order to introduce the anti-flammability to cotton. These antiflammable layers were characterized by using UV-vis spectrometry and quartz crystal microbalance as a function of the number of bilayers deposited. Scanning electron microscopy was used to visualize the morphology of the thin film coatings on the cotton fabric. The graphene-polymer thin films introduced anti-flammable properties through thermally stable carbonaceous layers at a high temperature. The thermal stability and flame retardant property of graphene-coated cotton was demonstrated by thermogravimetric analysis, cone calorimetry, and vertical flame test. The results indicate that LbL-assembled graphene-polymer thin films can be applied largely in the field of flame retardant.

  17. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  18. Zigzag and Helical AlN Layer Prepared by Glancing Angle Deposition and Its Application as a Buffer Layer in a GaN-Based Light-Emitting Diode

    Directory of Open Access Journals (Sweden)

    Lung-Chien Chen

    2012-01-01

    Full Text Available This study investigates an aluminum nitride (AlN nanorod structure sputtered by glancing angle deposition (GLAD and its application as a buffer layer for GaN-based light-emitting diodes (LEDs that are fabricated on sapphire substrates. The ray tracing method is adopted with a three-dimensional model in TracePro software. Simulation results indicate that the zigzag AlN nanorod structure is an optimal buffer layer in a GaN-based LED. Furthermore, the light output power of a GaN-based LED with a zigzag AlN nanorod structure improves to as much as 28.6% at a forward current of 20 mA over that of the GaN-based LED with a normal AlN buffer layer.

  19. Modification of SnO2 Anodes by Atomic Layer Deposition for High Performance Lithium Ion Batteries

    KAUST Repository

    Yesibolati, Nulati

    2013-05-01

    Tin dioxide (SnO2) is considered one of the most promising anode materials for Lithium ion batteries (LIBs), due to its large theoretical capacity and natural abundance. However, its low electronic/ionic conductivities, large volume change during lithiation/delithiation and agglomeration prevent it from further commercial applications. In this thesis, we investigate modified SnO2 as a high energy density anode material for LIBs. Specifically two approaches are presented to improve battery performances. Firstly, SnO2 electrochemical performances were improved by surface modification using Atomic Layer Deposition (ALD). Ultrathin Al2O3 or HfO2 were coated on SnO2 electrodes. It was found that electrochemical performances had been enhanced after ALD deposition. In a second approach, we implemented a layer-by-layer (LBL) assembled graphene/carbon-coated hollow SnO2 spheres as anode material for LIBs. Our results indicated that the LBL assembled electrodes had high reversible lithium storage capacities even at high current densities. These superior electrochemical performances are attributed to the enhanced electronic conductivity and effective lithium diffusion, because of the interconnected graphene/carbon networks among nanoparticles of the hollow SnO2 spheres.

  20. Improvement and protection of niobium surface superconductivity by atomic layer deposition and heat treatment

    Energy Technology Data Exchange (ETDEWEB)

    Proslier, T.; /IIT, Chicago /Argonne; Zasadzinski, J.; /IIT, Chicago; Moore, J.; Pellin, M.; Elam, J.; /Argonne; Cooley, L.; /Fermilab; Antoine, C.; /Saclay

    2008-11-01

    A method to treat the surface of Nb is described, which potentially can improve the performance of superconducting rf cavities. We present tunneling and x-ray photoemission spectroscopy measurements at the surface of cavity-grade niobium samples coated with a 3 nm alumina overlayer deposited by atomic layer deposition. The coated samples baked in ultrahigh vacuum at low temperature degraded superconducting surface. However, at temperatures above 450 C, the tunneling conductance curves show significant improvements in the superconducting density of states compared with untreated surfaces.

  1. Stratigraphy of the north polar layered deposits of Mars from high-resolution topography

    Science.gov (United States)

    Becerra, Patricio; Byrne, Shane; Sori, Michael M.; Sutton, Sarah; Herkenhoff, Kenneth E.

    2016-01-01

    The stratigraphy of the layered deposits of the polar regions of Mars is theorized to contain a record of recent climate change linked to insolation changes driven by variations in the planet's orbital and rotational parameters. In order to confidently link stratigraphic signals to insolation periodicities, a description of the stratigraphy is required based on quantities that directly relate to intrinsic properties of the layers. We use stereo Digital Terrain Models (DTMs) from the High Resolution Imaging Science Experiment (HiRISE) to derive a characteristic of North Polar Layered Deposits (NPLD) strata that can be correlated over large distances: the topographic protrusion of layers exposed in troughs, which is a proxy for the layers’ resistance to erosion. Using a combination of image analysis and a signal-matching algorithm to correlate continuous depth-protrusion signals taken from DTMs at different locations, we construct a stratigraphic column that describes the upper ~500 m of at least 7% of the area of the NPLD, and find accumulation rates that vary by factors of up to two. We find that, when coupled with observations of exposed layers in orbital images, the topographic expression of the strata is consistently continuous through large distances in the top 300 – 500 m of the NPLD, suggesting it is better related to intrinsic layer properties than brightness alone.

  2. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  3. Preparation of n- and p-InP films by PH{sub 3} treatment of electrodeposited In layers

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M. [C.N.R., Padova (Italy). Istituto di Polarografia ed Elettrochimica Preparativa; Casellato, U.; Rossetto, G. [C.N.R., Padova (Italy). Istituto di Chimica e Tecnologie Inorganische e dei Materiali Avanzati; Razzini, G. [Politecnico di Milano (Italy). Dipt. di Chimica Fisica Applicata; Decker, F.; Scrosati, B. [Univ. La Sapienza, Roma (Italy). Dipt. di Chimica

    1995-04-01

    InP is among the few semiconducting materials with the potential for excellence in several applications, including solar energy conversion. Thin InP layers have been prepared by electrodeposition of In films on Ti substrates (ca. 2 mg/cm{sup 2} of In) and their annealing in PH{sub 3} flow. The obtained material, characterized by scanning electron microscopy-energy dispersive X-ray analysis and X-ray diffraction techniques, shows uneven substrate coverage but good crystallinity. Photoelectrochemical investigations in acidic polyiodide medium show significant n-type photoactivity for the samples prepared from a nominally pure In layer. A p-type photoactivity is obtained depositing a small amount of Zn on top of the In layer prior to annealing. Results are compared with those obtained preparing InP layers on Ti by a conventional metallorganic chemical vapor deposition technique.

  4. Macromolecular shape and interactions in layer-by-layer assemblies within cylindrical nanopores.

    Science.gov (United States)

    Lazzara, Thomas D; Lau, K H Aaron; Knoll, Wolfgang; Janshoff, Andreas; Steinem, Claudia

    2012-01-01

    Layer-by-layer (LbL) deposition of polyelectrolytes and proteins within the cylindrical nanopores of anodic aluminum oxide (AAO) membranes was studied by optical waveguide spectroscopy (OWS). AAO has aligned cylindrical, nonintersecting pores with a defined pore diameter d(0) and functions as a planar optical waveguide so as to monitor, in situ, the LbL process by OWS. The LbL deposition of globular proteins, i.e., avidin and biotinylated bovine serum albumin was compared with that of linear polyelectrolytes (linear-PEs), both species being of similar molecular weight. LbL deposition within the cylindrical AAO geometry for different pore diameters (d(0) = 25-80 nm) for the various macromolecular species, showed that the multilayer film growth was inhibited at different maximum numbers of LbL steps (n(max)). The value of n(max) was greatest for linear-PEs, while proteins had a lower value. The cylindrical pore geometry imposes a physical limit to LbL growth such that n(max) is strongly dependent on the overall internal structure of the LbL film. For all macromolecular species, deposition was inhibited in native AAO, having pores of d(0) = 25-30 nm. Both, OWS and scanning electron microscopy showed that LbL growth in larger AAO pores (d(0) > 25-30 nm) became inhibited when approaching a pore diameter of d(eff,n_max) = 25-35 nm, a similar size to that of native AAO pores, with d(0) = 25-30 nm. For a reasonable estimation of d(eff,n_max), the actual volume occupied by a macromolecular assembly must be taken into consideration. The results clearly show that electrostatic LbL allowed for compact macromolecular layers, whereas proteins formed loosely packed multilayers.

  5. The Effect of Sintering Oxygen Partial Pressure on a SmBiO3 Buffer Layer for Coated Conductors via Chemical Solution Deposition

    Directory of Open Access Journals (Sweden)

    Xiaolei Zhu

    2016-10-01

    Full Text Available The application of high-temperature YBa2Cu3O7−δ (YBCO superconducting material is a considerable prospect for the growing energy shortages. Here, SmBiO3 (SBO films were deposited on (100-orientated yttrium-stabilized zirconia (YSZ simple crystal substrates via the chemical solution deposition (CSD approach for coated conductors, and the effects of sintering oxygen partial pressure on SBO films were studied. The crystalline structures and surface morphologies of SBO films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, and atomic force microscope (AFM. The optimized growth temperature, the intensity ratios of the SBO (200 peak to the SBO (111 peak, and the crystallinities of SBO films increased with the sintering oxygen partial pressure. The SEM and AFM images displayed a smooth and well-distributed surface in the argon atmosphere. The subsequent YBCO films with superconducting transition temperatures (Tc = 89.5 K, 90.2 K, and 86.2 K and critical current densities (Jc = 0.88 MA/cm2, 1.69 MA/cm2, and 0.09 MA/cm2; 77 K, self-field were deposited to further check the qualities of the SBO layer. These results indicated that sintering oxygen partial pressure had an effect on the epitaxial growth of the SBO buffer layer and YBCO superconducting properties. The experimental results may be a usable reference for the epitaxial growth of YBCO-coated conductors and other oxides.

  6. Multi-layered, chemically bonded lithium-ion and lithium/air batteries

    Science.gov (United States)

    Narula, Chaitanya Kumar; Nanda, Jagjit; Bischoff, Brian L; Bhave, Ramesh R

    2014-05-13

    Disclosed are multilayer, porous, thin-layered lithium-ion batteries that include an inorganic separator as a thin layer that is chemically bonded to surfaces of positive and negative electrode layers. Thus, in such disclosed lithium-ion batteries, the electrodes and separator are made to form non-discrete (i.e., integral) thin layers. Also disclosed are methods of fabricating integrally connected, thin, multilayer lithium batteries including lithium-ion and lithium/air batteries.

  7. Electrostatic layer-by-layer a of platinum-loaded multiwall carbon nanotube multilayer: A tunable catalyst film for anodic methanol oxidation

    International Nuclear Information System (INIS)

    Yuan Junhua; Wang Zhijuan; Zhang Yuanjian; Shen Yanfei; Han Dongxue; Zhang Qixian; Xu Xiaoyu; Niu Li

    2008-01-01

    A simple layer-by-layer (LBL) electrostatic adsorption technique was developed for deposition of films composed of alternating layers of positively charged poly(diallyldimethylammonium chloride) (PDDA) and negatively charged multiwall carbon nanotubes bearing platinum nanoparticles (Pt-CNTs). PDDA/Pt-CNT film structure and morphology up to six layers were characterized by scanning electron microscopy and ultraviolet-visible spectroscopy, showing the Pt-CNT layers to be porous and uniformly deposited within the multilayer films. Electrochemical properties of the PDDA/Pt-CNT films, as well as electrocatalytic activity toward methanol oxidation, were investigated with cyclic voltammetry. Significant activity toward anodic methanol oxidation was observed and is readily tunable through changing film thickness and/or platinum-nanoparticle loading. Overall, the observed properties of these PDDA/Pt-CNT multilayer films indicated unique potential for application in direct methanol fuel cell

  8. Morphology, microstructure, and hardness of titanium (Ti-6Al-4V) blocks deposited by wire-feed additive layer manufacturing (ALM)

    Energy Technology Data Exchange (ETDEWEB)

    Brandl, Erhard, E-mail: erhard.brandl@eads.net [EADS Innovation Works, Metallic Technologies and Surface Engineering, D-81663 Munich (Germany); Schoberth, Achim, E-mail: achim.schoberth@eads.net [EADS Innovation Works, Metallic Technologies and Surface Engineering, D-81663 Munich (Germany); Leyens, Christoph, E-mail: christoph.leyens@tu-dresden.de [Technical University of Dresden, Institute of Materials Science, Chair of Materials Technology, Berndt-Bau, Helmholtzstr. 7, D-01062 Dresden (Germany)

    2012-01-15

    Highlights: Black-Right-Pointing-Pointer The microstructure and hardness of deposited Ti-6Al-4V blocks are investigated. Black-Right-Pointing-Pointer Hardness is influenced by post heat treatment rather than by process parameters. Black-Right-Pointing-Pointer Microstructure within the prior {beta}-grains varies to some extent from grain to grain. Black-Right-Pointing-Pointer A 600 Degree-Sign C/4 h treatment significantly increased the average hardness. - Abstract: Additive layer manufacturing offers a potential for time and cost savings, especially for aerospace components made from costly titanium alloys. In this paper, the morphology, microstructure, chemical composition, and hardness of additive manufactured Ti-6Al-4V blocks are investigated and discussed. Blocks (7 beads wide, 7 layers high) were deposited using Ti-6Al-4V wire and a Nd:YAG laser. Two different sets of parameters are used and three different post heat treatment conditions (as-built, 600 Degree-Sign C/4 h, 1200 Degree-Sign C/2 h) are investigated. The experiments reveal elementary properties of additive manufactured Ti-6Al-4V material in correlation to process parameters and heat treatments, which are discussed comprehensively.

  9. Secondary ion emission from ultra-thin oxide layers bombarded by energetic (MeV) heavy ions: depth of origin and layer homogeneity

    International Nuclear Information System (INIS)

    Allali, H.; Nsouli, B.; Thomas, J.P.; Cabaud, B.; Fuchs, G.; Hoareau, A.; Treilleux, M.; Danel, J.S.

    1993-09-01

    The escape depth of the secondary ions resulting from electronic sputtering of fast heavy ions in inorganic thin films has been investigated. Chromium layers deposited onto SiO 2 substrate as well as SiO x layers deposited onto chromium substrate have been characterized by secondary ion emission mass spectrometry (SIMS) in combination with time-of-flight (TOF) mass analysis (also referred as HSF-SIMS). These crossed experiments lead to a value around 1 nm for SiO x layers and 0.5 nm for Cr layers. On the other hand, HSF-SIMS can be used to correlate the intensity of the secondary ion emission to the film coverage rate and (or) the morphology of particular films like those produced by Low Energy Cluster Beam Deposition (LECBD). Using Sb deposits, the non-linear relationship between ion emission and coverage is interpreted in terms of sputtering enhancement in the individual supported clusters. (author) 22 refs., 9 figs., 1 tab

  10. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  11. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  12. Strength and deformability of light-toned layered deposits observed by MER Opportunity: Eagle to Erebus craters, Mars

    Science.gov (United States)

    Okubo, Chris H.

    2007-10-01

    Quantifying host rock deformation is vital to understanding the geologic evolution and productivity of subsurface fluid reservoirs. In support of on-going characterization of fracture controlled fluid flow through the light-toned layered deposits on Mars, key parameters of strength and deformability are derived from Microscopic Imager and Rock Abrasion Tool data collected by the Mars Exploration Rover Opportunity in Meridiani Planum. Analysis of 21 targets of light-toned layered deposits yields a median apparent porosity of 0.25. Additional physical parameters for each target are derived from these porosity measurements. The median value of unconfined compressive strength is 11.23 MPa, Young's modulus is 1.86 GPa, and the brittle-ductile transition pressure is 8.77 MPa.

  13. Layer-by-layer assembled TiO{sub 2} films with high ultraviolet light-shielding property

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiaozhou [College of Science, Northwest A and F University, Yangling, Shaanxi 712100 (China); Wang, Lin, E-mail: wanglin0317@nwsuaf.edu.cn [College of Science, Northwest A and F University, Yangling, Shaanxi 712100 (China); Pei, Yuxin [College of Science, Northwest A and F University, Yangling, Shaanxi 712100 (China); Jiang, Jinqiang [State Key Lab of Applied Surface and Colloid Chemistry, College of Chemistry and Chemical Engineering, Shaanxi Normal University, Xi' an 710062 (China)

    2014-11-28

    Ultraviolet (UV) B is hazardous to human, plants and animals. With the rapid growth of ozone holes over the earth, the exploration of optical materials that can cut off harmful UV radiation is important. In this work, fusiform TiO{sub 2} nanoparticles were synthesized by a hydrothermal synthesis method. The thin films assembled with TiO{sub 2} nanoparticles and oppositely charged polyelectrolytes were fabricated via a layer-by-layer assembly method. The fabrication of poly(ethylene imine) (PEI)/TiO{sub 2} multilayer films was verified by ultraviolet–visible spectra measurements, scanning electron microscopy and atomic force microscopy. The as-prepared PEI/TiO{sub 2} multilayer films can effectively absorb harmful UVB light and filter off visible light. Most importantly, the PEI/TiO{sub 2} films can be deposited directly on various kinds of hydrophilic substrates such as quartz, glass, silicon and hydrophobic substrates such as polystyrene, polypropylene, polyethylene and polymethyl methacrylate when the hydrophilic substrates were modified to obtain a hydrophilic surface. - Highlights: • PEI/TiO{sub 2} films were fabricated via a layer-by-layer self-assembly method. • The films could effectively absorb harmful UVB light and filter off visible light. • The films could deposit directly on either hydrophilic or hydrophobic substrates.

  14. Development of electrostatic supercapacitors by atomic layer deposition on nanoporous anodic aluminium oxides for energy harvesting applications

    Directory of Open Access Journals (Sweden)

    Lucia eIglesias

    2015-03-01

    Full Text Available Nanomaterials can provide innovative solutions for solving the usual energy harvesting and storage drawbacks that take place in conventional energy storage devices based on batteries or electrolytic capacitors, because they are not fully capable for attending the fast energy demands and high power densities required in many of present applications. Here, we report on the development and characterization of novel electrostatic supercapacitors made by conformal Atomic Layer Deposition on the high open surface of nanoporous anodic alumina membranes employed as templates. The structure of the designed electrostatic supercapacitor prototype consists of successive layers of Aluminium doped Zinc Oxide, as the bottom and top electrodes, together Al2O3 as the intermediate dielectric layer. The conformality of the deposited conductive and dielectric layers, together with their composition and crystalline structure have been checked by XRD and electron microscopy techniques. Impedance measurements performed for the optimized electrostatic supercapacitor device give a high capacitance value of 200 µF/cm2 at the frequency of 40 Hz, which confirms the theoretical estimations for such kind of prototypes, and the leakage current reaches values around of 1.8 mA/cm2 at 1 V. The high capacitance value achieved by the supercapacitor prototype together its small size turns these devices in outstanding candidates for using in energy harvesting and storage applications.

  15. Natural melanin composites by layer-by-layer assembly

    Science.gov (United States)

    Eom, Taesik; Shim, Bong Sub

    2015-04-01

    Melanin is an electrically conductive and biocompatible material, because their conjugated backbone structures provide conducting pathways from human skin, eyes, brain, and beyond. So there is a potential of using as materials for the neural interfaces and the implantable devices. Extracted from Sepia officinalis ink, our natural melanin was uniformly dispersed in mostly polar solvents such as water and alcohols. Then, the dispersed melanin was further fabricated to nano-thin layered composites by the layer-by-layer (LBL) assembly technique. Combined with polyvinyl alcohol (PVA), the melanin nanoparticles behave as an LBL counterpart to from finely tuned nanostructured films. The LBL process can adjust the smart performances of the composites by varying the layering conditions and sandwich thickness. We further demonstrated the melanin loading degree of stacked layers, combination nanostructures, electrical properties, and biocompatibility of the resulting composites by UV-vis spectrophotometer, scanning electron microscope (SEM), multimeter, and in-vitro cell test of PC12, respectively.

  16. Layer-by-layer assembly of thin organic films on PTFE activated by cold atmospheric plasma

    Directory of Open Access Journals (Sweden)

    Tóth András

    2014-12-01

    Full Text Available An air diffuse coplanar surface barrier discharge is used to activate the surface of polytetrafluoroethylene (PTFE samples, which are subsequently coated with polyvinylpyrrolidone (PVP and tannic acid (TAN single, bi- and multilayers, respectively, using the dip-coating method. The surfaces are characterized by X-ray Photoelectron Spectroscopy (XPS, Attenuated Total Reflection – Fourier Transform Infrared Spectroscopy (ATR-FTIR and Atomic Force Microscopy (AFM. The XPS measurements show that with plasma treatment the F/C atomic ratio in the PTFE surface decreases, due to the diminution of the concentration of CF2 moieties, and also oxygen incorporation through formation of new C–O, C=O and O=C–O bonds can be observed. In the case of coated samples, the new bonds indicated by XPS show the bonding between the organic layer and the surface, and thus the stability of layers, while the gradual decrease of the concentration of F atoms with the number of deposited layers proves the creation of PVP/TAN bi- and multi-layers. According to the ATR-FTIR spectra, in the case of PVP/TAN multilayer hydrogen bonding develops between the PVP and TAN, which assures the stability of the multilayer. The AFM lateral friction measurements show that the macromolecular layers homogeneously coat the plasma treated PTFE surface.

  17. Nano-crystalline thin and nano-particulate thick TiO2 layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    International Nuclear Information System (INIS)

    Das, P.; Sengupta, D.; Kasinadhuni, U.; Mondal, B.; Mukherjee, K.

    2015-01-01

    Highlights: • Thin TiO 2 layer is deposited on conducting substrate using sol–gel based dip coating. • TiO 2 nano-particles are synthesized using hydrothermal route. • Thick TiO 2 particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO 2 passivation layer is introduced between the mesoporous TiO 2 nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO 2 nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO 2 compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO 2 layer in between the mesoporous TiO 2 nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons

  18. Temperature-dependent evolution of the wetting layer thickness during Ge deposition on Si(001).

    Science.gov (United States)

    Bergamaschini, R; Brehm, M; Grydlik, M; Fromherz, T; Bauer, G; Montalenti, F

    2011-07-15

    The evolution of the wetting layer (WL) thickness during Ge deposition on Si(001) is analyzed with the help of a rate-equation approach. The combined role of thickness, island volume and shape-dependent chemical potentials is considered. Several experimental observations, such as WL thinning following the pyramid-to-dome transformation, are captured by the model, as directly demonstrated by a close comparison with photoluminescence measurements (PL) on samples grown at three different temperatures. The limitations of the model in describing late stages of growth are critically addressed.

  19. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  20. Ablation of selected conducting layers by fiber laser

    Science.gov (United States)

    Pawlak, Ryszard; Tomczyk, Mariusz; Walczak, Maria

    2014-08-01

    Laser Direct Writing (LDW) are used in the manufacture of electronic circuits, pads, and paths in sub millimeter scale. They can also be used in the sensors systems. Ablative laser writing in a thin functional layer of material deposited on the dielectric substrate is one of the LDW methods. Nowadays functional conductive layers are composed from graphene paint or nanosilver paint, indium tin oxide (ITO), AgHTTM and layers containing carbon nanotubes. Creating conducting structures in transparent layers (ITO, AgHT and carbon nanotubes layers) may have special importance e.g. for flexi electronics. The paper presents research on the fabrication of systems of paths and appropriate pattern systems of paths and selected electronic circuits in AgHTTM and ITO layers deposited on glass and polymer substrates. An influence of parameters of ablative fiber laser treatment in nanosecond regime as well as an influence of scanning mode of laser beam on the pattern fidelity and on electrical parameters of a generated circuit was investigated.