WorldWideScience

Sample records for laser-lathe lithography produced

  1. Portable, Low-cost NMR with Laser-Lathe Lithography Produced

    Energy Technology Data Exchange (ETDEWEB)

    Herberg, J L; Demas, V; Malba, V; Bernhardt, A; Evans, L; Harvey, C; Chinn, S; Maxwell, R; Reimer, J; Pines, A

    2006-12-21

    Nuclear Magnetic Resonance (NMR) is unsurpassed in its ability to non-destructively probe chemical identity. Portable, low-cost NMR sensors would enable on-site identification of potentially hazardous substances, as well as the study of samples in a variety of industrial applications. Recent developments in RF microcoil construction (i.e. coils much smaller than the standard 5 mm NMR RF coils), have dramatically increased NMR sensitivity and decreased the limits-of-detection (LOD). We are using advances in laser pantographic microfabrication techniques, unique to LLNL, to produce RF microcoils for field deployable, high sensitivity NMR-based detectors. This same fabrication technique can be used to produce imaging coils for MRI as well as for standard hardware shimming or 'ex-situ' shimming of field inhomogeneities typically associated with inexpensive magnets. This paper describes a portable NMR system based on a laser-fabricated microcoil and homebuilt probe design. For testing this probe, we used a hand-held 2 kg Halbach magnet that can fit into the palm of a hand, and an RF probe with laser-fabricated microcoils. The focus of the paper is on the evaluation of the microcoils, RF probe, and first generation gradient coils. The setup of this system, initial results, sensitivity measurements, and future plans are discussed. The results, even though preliminary, are promising and provide the foundation for developing a portable, inexpensive NMR system for chemical analysis. Such a system will be ideal for chemical identification of trace substances on site.

  2. Portable, low-cost NMR with laser-lathe lithography produced microcoils.

    Science.gov (United States)

    Demas, Vasiliki; Herberg, Julie L; Malba, Vince; Bernhardt, Anthony; Evans, Lee; Harvey, Christopher; Chinn, Sarah C; Maxwell, Robert S; Reimer, Jeffrey

    2007-11-01

    Nuclear Magnetic Resonance (NMR) is unsurpassed in its ability to non-destructively probe chemical identity. Portable, low-cost NMR sensors would enable on-site identification of potentially hazardous substances, as well as the study of samples in a variety of industrial applications. Recent developments in RF microcoil construction (i.e. coils much smaller than the standard 5mm NMR RF coils), have dramatically increased NMR sensitivity and decreased the limits-of-detection (LOD). We are using advances in laser pantographic microfabrication techniques, unique to LLNL, to produce RF microcoils for field deployable, high sensitivity NMR-based detectors. This same fabrication technique can be used to produce imaging coils for MRI as well as for standard hardware shimming or "ex-situ" shimming of field inhomogeneities typically associated with inexpensive magnets. This paper describes a portable NMR system based on the use of a 2 kg hand-held permanent magnet, laser-fabricated microcoils, and a compact spectrometer. The main limitations for such a system are the low resolution and sensitivity associated with the low field values and quality of small permanent magnets, as well as the lack of large amounts of sample of interest in most cases. The focus of the paper is on the setting up of this system, initial results, sensitivity measurements, discussion of the limitations and future plans. The results, even though preliminary, are promising and provide the foundation for developing a portable, inexpensive NMR system for chemical analysis. Such a system will be ideal for chemical identification of trace substances on site.

  3. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  4. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  5. Mamdani-Fuzzy Modeling Approach for Quality Prediction of Non-Linear Laser Lathing Process

    Science.gov (United States)

    Sivaraos; Khalim, A. Z.; Salleh, M. S.; Sivakumar, D.; Kadirgama, K.

    2018-03-01

    Lathing is a process to fashioning stock materials into desired cylindrical shapes which usually performed by traditional lathe machine. But, the recent rapid advancements in engineering materials and precision demand gives a great challenge to the traditional method. The main drawback of conventional lathe is its mechanical contact which brings to the undesirable tool wear, heat affected zone, finishing, and dimensional accuracy especially taper quality in machining of stock with high length to diameter ratio. Therefore, a novel approach has been devised to investigate in transforming a 2D flatbed CO2 laser cutting machine into 3D laser lathing capability as an alternative solution. Three significant design parameters were selected for this experiment, namely cutting speed, spinning speed, and depth of cut. Total of 24 experiments were performed with eight (8) sequential runs where they were then replicated three (3) times. The experimental results were then used to establish Mamdani - Fuzzy predictive model where it yields the accuracy of more than 95%. Thus, the proposed Mamdani - Fuzzy modelling approach is found very much suitable and practical for quality prediction of non-linear laser lathing process for cylindrical stocks of 10mm diameter.

  6. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  7. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  8. Neodymium: YAG laser damage threshold. A comparison of injection-molded and lathe-cut polymethylmethacrylate intraocular lenses.

    Science.gov (United States)

    Wilson, S E; Brubaker, R F

    1987-01-01

    The possibility that injection-molded intraocular lenses (IOLs) with imperfections called iridescent clefts could have a decreased threshold to neodymium: YAG (Nd:YAG) laser-induced damage was investigated. Thresholds for Nd:YAG laser-induced damage were determined for injection-molded and lathe-cut polymethylmethacrylate lenses. When aimed at a membrane in contact with a posterior convex surface, the average thresholds were 0.96 +/- 0.18 mJ (Standard deviation [SD]) and 1.80 +/- 0.55 mJ, respectively. The difference was significant at P = 0.001. When injection-molding polymethylmethacrylate was used to make lathe-cut IOLs, very few iridescent clefts were present, and the threshold to Nd:YAG laser-induced damage was 0.94 +/- 0.25 mJ. Iridescent clefts are therefore produced during the injection-molding process but they do not lower the threshold to Nd:YAG laser-induced damage. Rather, the reduced threshold in injection-molded lenses is most probably a result of the polymethylmethacrylate used in their manufacture. Clinically, iridescent clefts in a lens suggest that it has been manufactured by an injection-molding process and that Nd:YAG laser posterior capsulotomy must be performed at the lowest possible energy level to avoid damage.

  9. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  10. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  11. A new ergonomically improved lathe.

    Science.gov (United States)

    Harten, G A; Derks, P M

    1975-09-01

    Following frequent complaints of lower back pain by lathe operators, a working group from the Philips Ergonomics Department have produced a model of an ergonomically improved lathe which ensures a healthy posture for the lathe operator at work. The same healthy posture can be adopted whether the operator is sitting or standing. The operator also has a good view of his work. At least two manufacturers have undertaken to develop this ergonomic lathe.

  12. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  13. Laser interference lithography with highly accurate interferometric alignment

    NARCIS (Netherlands)

    van Soest, Frank J.; van Wolferen, Hendricus A.G.M.; Hoekstra, Hugo; de Ridder, R.M.; Worhoff, Kerstin; Lambeck, Paul

    It is shown experimentally that in laser interference lithography, by using a reference grating, respective grating layers can be positioned with high relative accuracy. A 0.001 degree angular and a few nanometers lateral resolution have been demonstrated.

  14. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  15. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  16. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  17. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  18. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    International Nuclear Information System (INIS)

    Pérez, Noemí; Tavera, Txaber; Rodríguez, Ainara; Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M.

    2012-01-01

    Highlights: ► Arrays of hollow-core sub-micrometric structures are fabricated. ► Laser interference lithography is used for the pattering of the resist sacrificial layer. ► The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 μm 2 . ► These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 μm 2 . The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  19. Lathe converted for grinding aspheric surfaces

    Science.gov (United States)

    Larmer, J. W.; Levinsohn, M.; Mc Craw, D.; Pessagno, E. H.; Taub, F. J.

    1964-01-01

    A standard overarm tracing lathe converted by the addition of an independently driven diamond grinding wheel is used for grinding aspheric surfaces. The motion of the wheel is controlled by the lathe air tracer following the template which produces the desired aspheric profile.

  20. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Perez, Noemi; Tavera, Txaber [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain); Rodriguez, Ainara [CIC Microgune, Paseo Mikeletegi 48, 20009 San Sebastian (Spain); Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M. [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Arrays of hollow-core sub-micrometric structures are fabricated. Black-Right-Pointing-Pointer Laser interference lithography is used for the pattering of the resist sacrificial layer. Black-Right-Pointing-Pointer The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 {mu}m{sup 2}. Black-Right-Pointing-Pointer These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 {mu}m{sup 2}. The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  1. Fabrication of quartz microcylinders by laser interference lithography for angular optical tweezers

    Science.gov (United States)

    Santybayeva, Zhanna; Meghit, Afaf; Desgarceaux, Rudy; Teissier, Roland; Pichot, Frederic; de Marin, Charles; Charlot, Benoit; Pedaci, Francesco

    2016-07-01

    The use of optical tweezers (OTs) and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level. There is a growing interest in developing simple, fast, and inexpensive protocols to produce a large number of submicron scale cylinders of quartz, a positive uniaxial birefringent crystal, to be employed for such angular measurements in OTs. Here, we show that laser interference lithography, a method well known for its simplicity, fulfills these requirements and produces quartz cylindrical particles that we successfully use to apply and measure optical torque in the piconewton nm range in an optical torque wrench.

  2. Crystallography and structure of lath martensite of hexagonal α-phase in zirconium

    International Nuclear Information System (INIS)

    Dobromyslov, A.V.; Talits, N.I.

    1989-01-01

    Crystallography, morphology and substructural features of lath martensite produced in zirconium after quenching are studied using transmission electron microscopy and electron diffraction methods. It is shown that all lathes in the package as a rule have close oreintation, but sometimes lathes are met which are present in a twin position in relation to neighbouring ones. In this case twining plane between the lathes coincides with α-phase [1011] plane. Residual β-phase between lathes is not preserved. It is detected that threi types of habitus planes of lath martensite of hexagonal α-phase are observed: [1010], [1120], [1011]. Atom-crystallographic mechanism of lattice reconstruction at β → α-phase lath habitus planes produced on its base coincide with the ones experimentally determined

  3. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  4. Solid state microcavity dye lasers fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Nielsen, Theodor; Kristensen, Anders

    2004-01-01

    propagating TE–TM modes. The laser cavity has the lateral shape of a trapezoid, supporting lasing modes by reflection on the vertical cavity walls. The solid polymer dye lasers emit laterally through one of the vertical cavity walls, when pumped optically through the top surface by means of a frequency...... doubled, pulsed Nd:YAG laser. Lasing in the wavelength region from 560 to 570 nm is observed from a laser with a side-length of 50 µm. In this proof of concept, the lasers are multimode with a mode wavelength separation of approximately 1.6 nm, as determined by the waveguide propagation constant......We present a solid state polymer microcavity dye laser, fabricated by thermal nanoimprint lithography (NIL) in a dye-doped thermoplast. The thermoplast poly-methylmethacrylate (PMMA) is used due to its high transparency in the visible range and its robustness to laser radiation. The laser dye...

  5. Microstructure and cleavage in lath martensitic steels

    International Nuclear Information System (INIS)

    Morris, John W Jr; Kinney, Chris; Pytlewski, Ken; Adachi, Y

    2013-01-01

    In this paper we discuss the microstructure of lath martensitic steels and the mechanisms by which it controls cleavage fracture. The specific experimental example is a 9Ni (9 wt% Ni) steel annealed to have a large prior austenite grain size, then examined and tested in the as-quenched condition to produce a relatively coarse lath martensite. The microstructure is shown to approximate the recently identified ‘classic’ lath martensite structure: prior austenite grains are divided into packets, packets are subdivided into blocks, and blocks contain interleaved laths whose variants are the two Kurjumov–Sachs relations that share the same Bain axis of the transformation. When the steel is fractured in brittle cleavage, the laths in the block share {100} cleavage planes and cleave as a unit. However, cleavage cracks deflect or blunt at the boundaries between blocks with different Bain axes. It follows that, as predicted, the block size governs the effective grain size for cleavage. (paper)

  6. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  7. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  8. Experience with the lathe cut Bausch & Lomb SOFLENS: Part 1--Clinical study.

    Science.gov (United States)

    Weissman, B A; Levinson, A

    1978-03-01

    This study consists of clinical experience with the recently produced lathe cut Bausch & lomb SOFTLENS. Sixteen patients were fitted with the spin cast and then lathe cut lens. Visual acuities were better with the lathe cut lens. Comfort was good with both lenses. Some degree of circum-corneal injection was noted with the larger lathe cut design.

  9. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  10. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  11. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  12. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  13. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  14. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    Science.gov (United States)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  15. METHODS OF NOISE LEVEL REDUCTION OF DRIVE IN LATHES

    Directory of Open Access Journals (Sweden)

    Janusz ROGULA

    2014-06-01

    Full Text Available The aim of this work is method presentation to noise level reduction of fixed headstock of the lathe. It is connected with the causes finding of non-uniform work of lathe headstock, description of recent design and its analysis. Problem of the excessive noise level concern to near 35% of the lathes have been produced. In spite of lack of noise reduction possibility there were no system solution of problem. Design optimisation weren’t done after application the electric motor with inverter. New solution of electric motor control let to reduce number of gear wheels in lathe drive system. For this drive solution there weren’t made the analysis of drive particular parts influence on the noise generation.

  16. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  17. Machine Shop. Module 5: Lathes. Instructor's Guide.

    Science.gov (United States)

    Nobles, Jack

    This document consists of materials for a 10-unit course on the following topics: (1) types and parts of lathes; (2) lathe accessories, maintenance, and safety; (3) lathe operations and tooling; (4) lathe calculations; (5) lathe taper and thread applications; (6) planning considerations; (7) cutting fluids, lathe center alignment, and lathe gaps;…

  18. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  19. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    Science.gov (United States)

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  20. Comparison of glare in YAG-damaged intraocular lenses: injection-molded versus lathe-cut.

    Science.gov (United States)

    Bath, P E; Dang, Y; Martin, W H

    1986-11-01

    A comparative analysis of YAG laser intraocular lens (IOL) damage was undertaken on injection-molded and lathe-cut IOLs. Damage sites were evaluated with polarized light. A consistent positive polarization was observed in the damage sites of lathe-cut IOLs. A consistent negative polarization was observed in the damage sites of injection-molded IOLs. The presence of positive polarization in IOL damage sites may be correlated with increased potential for glare. Results and clinical implications are discussed.

  1. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    Science.gov (United States)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  2. Failure probabilistic model of CNC lathes

    International Nuclear Information System (INIS)

    Wang Yiqiang; Jia Yazhou; Yu Junyi; Zheng Yuhua; Yi Shangfeng

    1999-01-01

    A field failure analysis of computerized numerical control (CNC) lathes is described. Field failure data was collected over a period of two years on approximately 80 CNC lathes. A coding system to code failure data was devised and a failure analysis data bank of CNC lathes was established. The failure position and subsystem, failure mode and cause were analyzed to indicate the weak subsystem of a CNC lathe. Also, failure probabilistic model of CNC lathes was analyzed by fuzzy multicriteria comprehensive evaluation

  3. The determination of effective injury controls for metal-cutting lathe operators.

    Science.gov (United States)

    Etherton, J R; Trump, T R; Jensen, R C

    1981-01-01

    Operators of metal-working lathes are one of the largest manufacturing machine worker populations in the United States. Machines (other than vehicular) account for over 10% of occupational injuries each year. An estimated 3,400 operators of metal-working lathes suffer lost-time injuries annually in the United States. Some of these are fatal. Therefore an investigation was undertaken to determine methods for reducing injuries to lathe operators. Three methods were used: (i) review of injury reports, (ii) human factors analysis, and (iii) fault-tree procedures. The investigation followed the man-machine systems approach of looking for injury-producing dysfunctions between the lathe and the lathe operator. The major sources of injury were found to be chips and workholding devices. Secondary tasks were found to be more hazardous than is generally recognized. The use of three methods for approaching the problem was found to be useful in that injury controls were identified which are likely to be adopted because of their potential for improving safety without adversely affecting productivity.

  4. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    Science.gov (United States)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  5. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon.

    Science.gov (United States)

    Tokel, Onur; Turnali, Ahmet; Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F Ömer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e. , " in-chip" microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances.

  6. Characterization of Bragg gratings in Al2O3 waveguides fabricated by focused ion beam milling and laser interference lithography

    NARCIS (Netherlands)

    Ay, F.; Bernhardi, Edward; Agazzi, L.; Bradley, J.; Worhoff, Kerstin; Pollnau, Markus; de Ridder, R.M.

    Optical grating cavities in Al2O3 channel waveguides were successfully defined by focused ion beam milling and laser interference lithography. Both methods are shown to be suitable for realizing resonant structures for on-chip waveguide lasers.

  7. Single mode solid state distributed feedback dye laser fabricated by grey scale electron beam lithography on dye doped SU-8 resist

    DEFF Research Database (Denmark)

    Balslev, Søren; Rasmussen, Torben; Shi, Peixiong

    2005-01-01

    We demonstrate grey scale electron beam lithography on functionalized SU-8 resist for fabrication of single mode solid state dye laser devices. The resist is doped with Rhodamine 6G perchlorate and the lasers are based on a first order Bragg grating distributed feedback resonator. The lasers...

  8. Mini lathe machine converted to CNC

    Directory of Open Access Journals (Sweden)

    Alexandru Morar

    2012-06-01

    Full Text Available This paper presents the adaptation of a mechanical mini-lathing machine to a computerized numerical control (CNC lathing machine. This machine is composed of a ASIST mini-lathe and a two-degrees-of-freedom XZ stage designed specifically for this application. The whole system is controlled from a PC using adequate CNC control software.

  9. Scaling of x-ray emission and ion velocity in laser produced Cu ...

    Indian Academy of Sciences (India)

    Laser plasma; x-ray emission; conversion efficiency; ion velocities. ... fits from this kind of optimization studies are in the fields of x-ray lithography, x-ray lasers etc. .... formula between the x-ray conversion rate versus different parameters of the ...

  10. Large-solid-angle illuminators for extreme ultraviolet lithography with laser plasmas

    International Nuclear Information System (INIS)

    Kubiak, G.D.; Tichenor, D.A.; Sweatt, W.C.; Chow, W.W.

    1995-06-01

    Laser Plasma Sources (LPSS) of extreme ultraviolet radiation are an attractive alternative to synchrotron radiation sources for extreme ultraviolet lithography (EUVL) due to their modularity, brightness, and modest size and cost. To fully exploit the extreme ultraviolet power emitted by such sources, it is necessary to capture the largest possible fraction of the source emission half-sphere while simultaneously optimizing the illumination stationarity and uniformity on the object mask. In this LDRD project, laser plasma source illumination systems for EUVL have been designed and then theoretically and experimentally characterized. Ellipsoidal condensers have been found to be simple yet extremely efficient condensers for small-field EUVL imaging systems. The effects of aberrations in such condensers on extreme ultraviolet (EUV) imaging have been studied with physical optics modeling. Lastly, the design of an efficient large-solid-angle condenser has been completed. It collects 50% of the available laser plasma source power at 14 nm and delivers it properly to the object mask in a wide-arc-field camera

  11. CNC LATHE MACHINE PRODUCING NC CODE BY USING DIALOG METHOD

    Directory of Open Access Journals (Sweden)

    Yakup TURGUT

    2004-03-01

    Full Text Available In this study, an NC code generation program utilising Dialog Method was developed for turning centres. Initially, CNC lathes turning methods and tool path development techniques were reviewed briefly. By using geometric definition methods, tool path was generated and CNC part program was developed for FANUC control unit. The developed program made CNC part program generation process easy. The program was developed using BASIC 6.0 programming language while the material and cutting tool database were and supported with the help of ACCESS 7.0.

  12. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  13. Laser Cutting of Thin Nickel Bellows

    Science.gov (United States)

    Butler, C. L.

    1986-01-01

    Laser cutting technique produces narrow, precise, fast, and repeatable cuts in thin nickel-allow bellows material. Laser cutting operation uses intense focused beam to melt material and assisting gas to force melted material through part thickness, creating void. When part rotated or moved longitudinally, melting and material removal continuous and creates narrow, fast, precise, and repeatable cut. Technique used to produce cuts of specified depths less than material thickness. Avoids distortion, dents, and nicks produced in delicate materials during lathe trimming operations, which require high cutting-tool pressure and holding-fixture forces.

  14. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    International Nuclear Information System (INIS)

    Kant, Madhushree Bute; Shinde, Shashikant D.; Bodas, Dhananjay; Patil, K.R.; Sathe, V.G.; Adhi, K.P.; Gosavi, S.W.

    2014-01-01

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm 2 . The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O 3 and Si-O 4 bonding at the expense of Si-C and Si-O 2 bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology

  15. Noble-metal nanoparticles produced with colloidal lithography: fabrication, optical properties and applications

    Energy Technology Data Exchange (ETDEWEB)

    Bocchio, Noelia Laura

    2008-08-15

    In this work, metal nanoparticles produced by nanosphere lithography were studied in terms of their optical properties (in connection to their plasmon resonances), their potential application in sensing platforms - for thin layer sensing and bio-recognition events -, and for a particular case (the nanocrescents), for enhanced spectroscopy studies. The general preparation procedures introduced early in 2005 by Shumaker-Parry et al. to produce metallic nanocrescents were extended to give rise to more complex (isolated) structures, and also, by combining colloidal monolayer fabrication and plasma etching techniques, to arrays of them. The fabrication methods presented in this work were extended not only to new shapes or arrangements of particles, but included also a targeted surface tailoring of the substrates and the structures, using different thiol and silane compounds as linkers for further attachment of, i.e. polyelectrolyte layers, which allow for a controlled tailoring of their nanoenvironment. The optical properties of the nanocrescents were studied with conventional transmission spectroscopy; a simple multipole model was adapted to explain their behaviour qualitatively. In terms of applications, the results on thin film sensing using these particles show that the crescents present an interesting mode-dependent sensitivity and spatial extension. Parallel to this, the penetrations depths were modeled with two simplified schemes, obtaining good agreement with theory. The multiple modes of the particles with their characteristic decay lengths and sensitivities represent a major improvement for particle-sensing platforms compared to previous single resonance systems. The nanocrescents were also used to alter the emission properties of fluorophores placed close to them. In this work, green emitting dyes were placed at controlled distances from the structures and excited using a pulsed laser emitting in the near infrared. The fluorescence signal obtained in this

  16. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kant, Madhushree Bute; Shinde, Shashikant D. [Department of Physics, University of Pune, Pune 411007 (India); Bodas, Dhananjay [Centre for Nanobioscience, Agharkar Research Institute, Agharkar road, Pune 411004 (India); Patil, K.R. [Center for Materials Characterization, National Chemical Laboratories, Pune 411008 (India); Sathe, V.G. [UGC DAE Inter University Consortium, Indore 452017 (India); Adhi, K.P. [Department of Physics, University of Pune, Pune 411007 (India); Gosavi, S.W., E-mail: swg@physics.unipune.ac.in [Department of Physics, University of Pune, Pune 411007 (India)

    2014-09-30

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm{sup 2}. The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O{sub 3} and Si-O{sub 4} bonding at the expense of Si-C and Si-O{sub 2} bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology.

  17. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  18. Morphology and substructure of lath martensites in dilute Zr--Nb alloys

    International Nuclear Information System (INIS)

    Srivastava, D.; Mukhopadhyay, P.; Banerjee, S.

    2000-01-01

    The morphology and substructure of lath martensites formed in β quenched dilute Zr--Nb alloys are described. The laths are arranged in a nearly parallel manner within any given colony or packet. Packets of alternately twin related laths and clusters of three mutually twin related lath martensite variants have been observed and the twinning plane is of {1 anti 101} H type. With increasing niobium content a continuous transition from large colonies of lath martensites, through smaller lath colonies, to individual plates of the acicular martensites occurs. The lath-lath interface consists of regularly spaced parallel arrays of dislocations of type. The habit plane traces of lath martensite lie close to {334} type poles and the operating lattice invariant shear mode is { anti 1101} H H shear system. This result is consistent with results predicted by the phenomenological theory. The preferred two and three habit plane variant grouping clustering is explained on the basis of self-accommodation effects. (orig.)

  19. Myopic keratomileusis by excimer laser on a lathe.

    Science.gov (United States)

    Ganem, S; Aron-Rosa, D; Gross, M; Rosolen, S

    1994-01-01

    We designed an excimer laser keratomileusis delivery system to increase the regularity of the refractive cut surface and allow greater precision in the level and shape of the ablated zone. A parallel faced corneal disc was produced by microkeratectomy from six human eyes and surgical keratectomy in 12 beagle corneas. A 193-nanometer excimer laser that was used to project an oval beam onto the corneal disc was rotated on a flat surface to ensure overlapping of the ovally ablated areas between pulses. Electron microscopy of eye bank lenticules demonstrated a circular smooth regularly concave ablation zone. Histological examination of nine clear corneas confirmed thinning of the stroma without fibroblastic reaction and no epithelial hypertrophy. Mean preoperative corneal power of 43.15 +/- 2.18 decreased postoperatively to 33.61 +/- 2.34. The new technique of excimer laser keratomileusis has the advantage of a cut surface smoother and the clear zone is devoid of the stepwise concavity and irregularity seen in diaphragm based photoablation delivery systems.

  20. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  1. Crystallography of lath martensite and stabilization of retained austenite

    International Nuclear Information System (INIS)

    Sarikaya, M.

    1982-10-01

    TEM was used to study the morphology and crystallography of lath martensite in low and medium carbon steels in the as-quenched and 200 0 C tempered conditions. The steels have microduplex structures of dislocated lath martensite and continuous thin films of retained austenite at the lath interfaces. Stacks of laths form the packets which are derived from different [111] variants of the same austenite grain. The residual parent austenite enables microdiffraction experiments with small electron beam spot sizes for the orientation relationships (OR) between austenite and martensite. All three most commonly observed ORs, namely Kurdjumov-Sachs, Nishiyama-Wassermann, and Greninger-Troiano, operate within the same sample

  2. Crystallography of lath martensite and stabilization of retained austenite

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya. M.

    1982-10-01

    TEM was used to study the morphology and crystallography of lath martensite in low and medium carbon steels in the as-quenched and 200/sup 0/C tempered conditions. The steels have microduplex structures of dislocated lath martensite and continuous thin films of retained austenite at the lath interfaces. Stacks of laths form the packets which are derived from different (111) variants of the same austenite grain. The residual parent austenite enables microdiffraction experiments with small electron beam spot sizes for the orientation relationships (OR) between austenite and martensite. All three most commonly observed ORs, namely Kurdjumov-Sachs, Nishiyama-Wassermann, and Greninger-Troiano, operate within the same sample.

  3. Management of complications associated with lathe-cut soft contact lenses.

    Science.gov (United States)

    Freeman, M I

    1979-06-01

    At the present time, nine lathe-cut lenses are FDA approved and commercially available in the United States. Complications of lathe-cut soft contact lenses cover a wide spectrum of problems. Five significant complications of lathe-cut lenses and their prevention and therapeutic management are discussed.

  4. Polarization-gradient laser cooling as a way to create strongly localized structures for atom lithography

    International Nuclear Information System (INIS)

    Prudnikov, O. N.; Taichenachev, A. V.; Tumaikin, A. M.; Yudin, V. I.

    2007-01-01

    Generally, conditions for deep sub-Doppler laser cooling do not match conditions for strong atomic localization, that takes place in a deeper optical potential and leads to higher temperature. Moreover, for a given detuning in a deep optical potential the secular approximation, which is frequently used for a quantum description of laser cooling, fails. Here we investigate the atomic localization in optical potential, using a full quantum approach for atomic density matrix beyond the secular approximation. It is shown that laser cooling in a deep optical potential, created by a light field with polarization gradients, can be used as an alternative method for the formation of high contrast spatially localized structures of atoms for the purposes of atom lithography and atomic nanofabrication. Finally, we analyze possible limits for the width and contrast of localized atomic structures that can be reached in this type of light mask

  5. Development of Semi-Automatic Lathe by using Intelligent Soft Computing Technique

    Science.gov (United States)

    Sakthi, S.; Niresh, J.; Vignesh, K.; Anand Raj, G.

    2018-03-01

    This paper discusses the enhancement of conventional lathe machine to semi-automated lathe machine by implementing a soft computing method. In the present scenario, lathe machine plays a vital role in the engineering division of manufacturing industry. While the manual lathe machines are economical, the accuracy and efficiency are not up to the mark. On the other hand, CNC machine provide the desired accuracy and efficiency, but requires a huge capital. In order to over come this situation, a semi-automated approach towards the conventional lathe machine is developed by employing stepper motors to the horizontal and vertical drive, that can be controlled by Arduino UNO -microcontroller. Based on the input parameters of the lathe operation the arduino coding is been generated and transferred to the UNO board. Thus upgrading from manual to semi-automatic lathe machines can significantly increase the accuracy and efficiency while, at the same time, keeping a check on investment cost and consequently provide a much needed escalation to the manufacturing industry.

  6. Precipitation kinetics of lamellar (γ) laths in a TiAl-base alloy

    International Nuclear Information System (INIS)

    Zhang, W.J.; Francesconi, L.; Evangelista, E.

    1997-01-01

    Titanium aluminide is a candidate material for high temperature applications. Although different types of microstructure have been produced in TiAl-base alloys, the fully-lamellar structure is currently regarded as the most attractive. This kind of microstructure can be characterized by the factors, namely, colony size, lamellar interspacing, the existence of Widmanstatten (secondary) laths, and the type of grain boundaries (smooth or interlocking). The objective of this paper is to examine the nucleation and growth kinetics of γ lamellar laths during continuous and isothermal cooling. These data are expected to benefit the understanding of the transformation mechanism and the design of lamellar TiAl microstructure for industrial application

  7. Rapid patterning of 'tunable' hydrophobic valves on disposable microchips by laser printer lithography.

    Science.gov (United States)

    Ouyang, Yiwen; Wang, Shibo; Li, Jingyi; Riehl, Paul S; Begley, Matthew; Landers, James P

    2013-05-07

    We recently defined a method for fabricating multilayer microdevices using poly(ethylene terephthalate) transparency film and printer toner, and showed these could be successfully applied to DNA extraction and amplification (Duarte et al., Anal. Chem. 2011, 83, 5182-5189). Here, we advance the functionality of these microdevices with flow control enabled by hydrophobic valves patterned using laser printer lithography. Laser printer patterning of toner within the microchannel induces a dramatic change in surface hydrophobicity (change in contact angle of DI water from 51° to 111°) with good reproducibility. Moreover, the hydrophobicity of the surface can be controlled by altering the density of the patterned toner via varying the gray-scale setting on the laser printer, which consequently tunes the valve's burst pressure. Toner density provided a larger burst pressure bandwidth (158 ± 18 Pa to 573 ± 16 Pa) than could be achieved by varying channel geometry (492 ± 18 Pa to 573 ± 16 Pa). Finally, we used a series of tuned toner valves (with varied gray-scale) for passive valve-based fluidic transfer in a predictable manner through the architecture of a rotating PeT microdevice. While an elementary demonstration, this presents the possibility for simplistic and cost-effective microdevices with valved fluid flow control to be fabricated using nothing more than a laser printer, a laser cutter and a laminator.

  8. Shaping-lathe roundup machine is key to profitable manufacturing of composite sheathing panels in Massachusetts or Maine

    Science.gov (United States)

    N. Springate; I. Plough; P. Koch

    1978-01-01

    A process is described in which a shaping-lathe headrig produces flakes of optimum geometry while rounding 8-foot peeler bolts to their maximum cylindrical diameter. The cylinders are then passed, at a rate of 5 to 7 per minute, to a veneer lathe for production of continuoua veneer, which is subsequently clipped into 4- by 8-foot sheets. Veneer cores are flaked in a...

  9. Shaping-lathe roundup machine is key to profitable manufacture of composite sheathing panels in Massachusetts or Maine

    Science.gov (United States)

    Norman Springate; Peter Koch; Irving Plough

    1978-01-01

    A process is described in which a shaping-lathe headrig produces flakes of optimum geometry while rounding 8-foot peeler bolts to their maximum cylindrical diameter. The cylinder are then passed, at a rate of 5 to 7 per minute, to a veneer lathe for production of continuous veneer, which is Subsequently clipped into 4- by 8-foot sheet.. Veneer cores are flaked in a...

  10. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  11. LeBlond precision lathe safety modifications for HE machining

    International Nuclear Information System (INIS)

    Newton, L.E.

    1978-01-01

    In high explosives machining the three major concerns are safety, reliability, and ease of operation. With these three concerns as our main goals, LLL's EE and ME departments worked together to modify a LeBlond precision lathe for high explosives machining. The result is a unique, remote-controlled lathe which has extensive mechanical and electronics modifications. The lathe has been operating safely and successfully at Site 300's HE Test Facility since April 1978

  12. Tool post modification allows easy turret lathe cutting-tool alignment

    Science.gov (United States)

    Fouts, L.

    1966-01-01

    Modified tool holder and tool post permit alignment of turret lathe cutting tools on the center of the spindle. The tool is aligned with the spindle by the holder which is kept in position by a hydraulic lock in feature of the tool post. The tool post is used on horizontal and vertical turret lathes and other engine lathes.

  13. Silica-based microstructures on nonplanar substrates by femtosecond laser-induced nonlinear lithography

    International Nuclear Information System (INIS)

    Mizoshiri, M; Nishiyama, H; Hirata, Y; Nishii, J

    2009-01-01

    We developed a technique for the formation of nonplanar surfaces of inorganic optical materials by a combined process of nonlinear lithography and plasma etching. This technique can be used to fabricate structures even on non-flat substrates, which is difficult using current semiconductor technology. Three-dimensional patterns were written directly inside a positive-tone photoresist using femtosecond laser-induced nonlinear optical absorption. The patterns were then transferred to underlying nonplanar substrates by the ion beam etching technique. For the lithographic process, we obtained a minimum feature size of 900 nm, which is below the diffraction limit. We demonstrated the fabrication of silica-based hybrid diffractive-refractive lenses. Fresnel zone plates with smooth surfaces were obtained on convex microlenses. When a 633-nm-wavelength He-Ne laser was coupled normally to the hybrid lens, the primary focal length was measured as 630 μm. This hybridization shifted the focal length by 200 μm, which agreed with the theoretical value. Our process is useful for the precise fabrication of nonplanar structures based on inorganic materials.

  14. Measuring the Amount of Mechanical Vibration During Lathe Processing

    Directory of Open Access Journals (Sweden)

    Štefánia SALOKYOVÁ

    2015-06-01

    Full Text Available The article provides basic information regarding the measurement and evaluation of mechanical vibration during the processing of material by lathe work. The lathe processing can be characterized as removing material by precisely defined tools. The results of the experimental part are values of the vibration acceleration amplitude measured by the piezoelectric sensor on the bearing house of the lathe. A set of new knowledge and conclusions is formulated based on the analysis of the created graphical dependencies.

  15. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    Science.gov (United States)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  16. Swiveling Lathe Jaw Concept for Holding Irregular Pieces

    Science.gov (United States)

    David, J.

    1966-01-01

    Clamp holds irregularly shaped pieces in lathe chuck without damage and eliminates excessive time in selecting optimum mounting. Interchangeable jaws ride in standard jaw slots but swivel so that the jaw face bears evenly against the workpiece regardless of contour. The jaws can be used on both engine and turret lathes.

  17. The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

    Science.gov (United States)

    Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru

    2016-03-01

    Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.

  18. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  19. Nanoimprinted polymer lasers with threshold below 100 W/cm2 using mixed-order distributed feedback resonators.

    Science.gov (United States)

    Wang, Yue; Tsiminis, Georgios; Kanibolotsky, Alexander L; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-06-17

    Organic semiconductor lasers were fabricated by UV-nanoimprint lithography with thresholds as low as 57 W/cm(2) under 4 ns pulsed operation. The nanoimprinted lasers employed mixed-order distributed feedback resonators, with second-order gratings surrounded by first-order gratings, combined with a light-emitting conjugated polymer. They were pumped by InGaN LEDs to produce green-emitting lasers, with thresholds of 208 W/cm(2) (102 nJ/pulse). These hybrid lasers incorporate a scalable UV-nanoimprint lithography process, compatible with high-performance LEDs, therefore we have demonstrated a coherent, compact, low-cost light source.

  20. ANALYSIS OF CUTTING FORCES ON CNC LATHES EXPERIMENTAL APPROACH

    Directory of Open Access Journals (Sweden)

    Erdem Koç

    1996-01-01

    Full Text Available Objective of this study is to make use easy programming of CNC lathes and to achieve the optimization of part program prepared considering the limiting parameters of the machine. In the present study, a BOXFORD 250 B CNC lathe has been used for experiment and optimization process. The measurement of cutting forces exerted on the cutting tool of CNC lathe has been performed. The cutting forces occurring during the turning operation have been determined for different depth of" cut, feed rate and cutting speed as well as different cutting tools and related data base has been obtained.

  1. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  2. Laser optically pumped by laser-produced plasma

    International Nuclear Information System (INIS)

    Silfvast, W.T.; Wood, O.R. II.

    1975-01-01

    Laser solids, liquids and gases are pumped by a new technique in which the output from an efficient molecular laser, such as a CO 2 laser, ionizes a medium, such as xenon, into a generally cylindrical plasma volume, in proximity to the pumped laser body. Breakdown yields a visible and ultraviolet-radiation-emitting plasma in that volume to pump the laser body. The spectral radiance of the plasma is significantly higher than that produced by a dc-discharge-heated plasma at nearly all wavelengths in the plasma spectrum. The risetime of radiation from the laser-produced plasma can also be significantly shorter than that of a dc heated plasma. A further advantage resides in the fact that in some applications the attenuating walls needed by flashlamps may be eliminated with the result that laser threshold is more readily reached. Traveling wave excitation may be provided by oblique incidence of the pumping laser beam through the ionizable medium to create sequential ionization of portions of that medium along the length of the pumped laser body. (auth)

  3. Lathe Attachment Finishes Inner Surface of Tubes

    Science.gov (United States)

    Lancki, A. J.

    1982-01-01

    Extremely smooth finishes are machined on inside surfaces of tubes by new attachment for a lathe. The relatively inexpensive accessory, called a "microhone," holds a honing stone against workpiece by rigid tangs instead of springs as in conventional honing tools. Inner rod permits adjustment of microhoning stone, while outer tube supports assembly. Outer tube is held between split blocks on lathe toolpost. Microhoning can be done with either microhone or workpiece moving and other member stationary.

  4. OptiCentric lathe centering machine

    Science.gov (United States)

    Buß, C.; Heinisch, J.

    2013-09-01

    High precision optics depend on precisely aligned lenses. The shift and tilt of individual lenses as well as the air gap between elements require accuracies in the single micron regime. These accuracies are hard to meet with traditional assembly methods. Instead, lathe centering can be used to machine the mount with respect to the optical axis. Using a diamond turning process, all relevant errors of single mounted lenses can be corrected in one post-machining step. Building on the OptiCentric® and OptiSurf® measurement systems, Trioptics has developed their first lathe centering machines. The machine and specific design elements of the setup will be shown. For example, the machine can be used to turn optics for i-line steppers with highest precision.

  5. HEU Holdup Measurements on 321-M A-Lathe

    International Nuclear Information System (INIS)

    Dewberry, R.A.

    2002-01-01

    The Analytical Development Section of SRTC was requested by the Facilities Disposition Division (FDD) of the Savannah River Site to determine the holdup of enriched uranium in the 321-M facility as part of an overall deactivation project of the facility. The 321-M facility was used to fabricate enriched uranium fuel assemblies, lithium-aluminum target tubes, neptunium assemblies, and miscellaneous components for the production reactors. The results of the holdup assays are essential for determining compliance with the solid waste Waste Acceptance Criteria, Material Control and Accountability, and to meet criticality safety controls. Three measurement systems were used to determine highly enriched uranium (HEU) holdup. This report covers holdup measurements on the A-Lathe that was used to machine uranium-aluminum-alloy (U-Al). Our results indicated that the lathe contained more than the limits stated in the Waste Acceptance Criteria (WAC) for the solid waste E-Area Vaults. Thus the lathe was decontaminated three times and assayed four times in order to bring the amounts of uranium to an acceptable content. This report will discuss the methodology, Non-Destructive Assay (NDA) measurements, and results of the U-235 holdup on the lathe

  6. Lathe tool bit and holder for machining fiberglass materials

    Science.gov (United States)

    Winn, L. E. (Inventor)

    1972-01-01

    A lathe tool and holder combination for machining resin impregnated fiberglass cloth laminates is described. The tool holder and tool bit combination is designed to accommodate a conventional carbide-tipped, round shank router bit as the cutting medium, and provides an infinite number of cutting angles in order to produce a true and smooth surface in the fiberglass material workpiece with every pass of the tool bit. The technique utilizes damaged router bits which ordinarily would be discarded.

  7. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  8. Anomalous kinetics of lath martensite formation in stainless steel

    DEFF Research Database (Denmark)

    Villa, Matteo; Hansen, Mikkel Fougt; Pantleon, Karen

    2015-01-01

    The kinetics of lath martensite formation in Fe-17.3 wt-%Cr-7.1 wt-%Ni-1.1 wt-%Al-0.08 wt-%C stainless steel was investigated with magnetometry and microscopy. Lath martensite forms during cooling, heating and isothermally. For the first time, it is shown by magnetometry during extremely slow...

  9. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  10. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    Science.gov (United States)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  11. On the role of interlath retained austenite in the deformation of lath martensite

    International Nuclear Information System (INIS)

    Maresca, F; Kouznetsova, V G; Geers, M G D

    2014-01-01

    Literature presents extensive experimental evidence of large deformation and ductile fracture behaviour of lath martensite in martensitic and multi-phase high strength steels under quasi-static, uniaxial loading conditions. The physical origin of this apparent ductile behaviour of martensite is not clear, since martensite generally provides a high material strength. The presence of thin films of interlath retained austenite may trigger the observed apparent martensite ductility. The present contribution investigates the role played by interlath retained austenite on the mechanics of lath martensite by means of crystal plasticity simulations. It is shown that independently from the interlath retained austenite volume fraction and the exact lath morphology, localized shearing along the lath habit plane occurs as long as there are enough carriers for plasticity. The austenite film acts like a ‘greasy’ plane on which the stiffer laths can slide. The shearing mechanism is not a mere consequence of the lower flow stress in the austenitic phase, but it is largely due to the orientation relationship between the retained austenite face centred cubic lattice and the body centred cubic lath crystals. (paper)

  12. Basic properties of full-size st ructural flakeboards fabricated with flakes on a shaping lathe

    Science.gov (United States)

    Eddie W. Prie

    1977-01-01

    Structural exterior flakeboards manufactured in 4 by 8 ft (1.22 by 2.44 m ) size with phenolic resin and flakes produced on a shaping-lathe headrig were evaluated for plate shear modulus, internal bond, bending properties, and 24-hour water soak stability. Both mixed and single species flakeboards were produced. Panels with mixed flakes had 20% by weight of hickory,...

  13. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography

    Directory of Open Access Journals (Sweden)

    Juan Jaramillo

    2017-01-01

    Full Text Available This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D microtechnology developments in which the typical feature size used is in the micrometer range.

  14. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography.

    Science.gov (United States)

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-31

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range.

  15. Lathe Operator. Coordinator's Guide. Individualized Study Guide. General Metal Trades.

    Science.gov (United States)

    East Texas State Univ., Commerce. Occupational Curriculum Lab.

    This guide provides information to enable coordinators to direct learning activities for students using an individualized study guide on operating a lathe. The study material is designed for students enrolled in cooperative part-time training and employed, or desiring to be employed, as lathe operators. Contents include a sample progress chart,…

  16. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    Science.gov (United States)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  17. Apparatus for producing laser targets

    International Nuclear Information System (INIS)

    Jarboe, T.R.; Baker, W.R.

    1975-01-01

    This patent relates to an apparatus and method for producing deuterium targets or pellets of 25u to 75u diameter. The pellets are sliced from a continuously spun solid deuterium thread at a rate of up to 10 pellets/second. The pellets after being sliced from the continuous thread of deuterium are collimated and directed to a point of use, such as a laser activated combustion or explosion chamber wherein the pellets are imploded by laser energy or laser produced target plasmas for neutral beam injection

  18. EBSD characterization of deformed lath martensite in if steel

    DEFF Research Database (Denmark)

    Lv, Z.A.; Zhang, Xiaodan; Huang, Xiaoxu

    2017-01-01

    Rolling deformation results in the transformation of a lath martensite structure to a lamellar structure characteristic to that of IF steel cold-rolled to medium and high strains. The structural transition takes place from low to medium strain, and electron backscatter diffraction analysis shows...... and the strength are characterized for lath martensite rolled to a thickness reduction of 30%, showing that large changes in the misorientation take place, while the strain hardening rate is low....

  19. Structure formation in atom lithography using geometric collimation

    NARCIS (Netherlands)

    Meijer, T.; Beardmore, J.P.; Fabrie, C.G.C.H.M.; van Lieshout, J.P.; Notermans, R.P.M.J.W.; Sang, R.T.; Vredenbregt, E.J.D.; Leeuwen, van K.A.H.

    2011-01-01

    Atom lithography uses standing wave light fields as arrays of lenses to focus neutral atom beams into line patterns on a substrate. Laser cooled atom beams are commonly used, but an atom beam source with a small opening placed at a large distance from a substrate creates atom beams which are locally

  20. Study on Laser Induced Plasma Produced in Liquid

    International Nuclear Information System (INIS)

    Tsuda, N.; Yamada, J.

    2003-01-01

    When an intense laser light is focused in liquid, a hot plasma is produced at the focal spot. The breakdown threshold and the transmittance of sodium choroids solution are observed using excimer laser or YAG laser. The breakdown threshold decreases with increasing NaCl concentration. Threshold intensity of plasma produced by YAG laser is lower than excimer laser. The behavior of plasma development is observed by a streak camera. The plasma produced by a YAG laser develops only backward. However, the plasma produced by excimer laser develops not only backward but also forward same as the plasma development in high-pressure gases

  1. The SFD - 80 M high precision double axis facing lathe

    International Nuclear Information System (INIS)

    Bran, T.; Dragomir, I.; Rusu, I.; Stanciu, S.; Niculceanu, F.; Nica, O.; Popescu, M.; Bailescu, V.; Burcea, Gh.; Turcanu, V.

    2001-01-01

    A high precision double axis facing lathe was designed for machining the 'final end-cup' by exterior conical lathing. The lathe is semi-automatic and includes two independent identical units. The general constructive, dimensional and functional characteristics are presented as well as the specific power consumptions. As compared to other machines able to perform the same operations this machine presents the following novel aspects: - it is dedicated from the design stage to the workpiece to be machined; - the splinting speed is quasi-constant all along the processing span (irrespective of the cutting diameter at which the tool is fixed, in its trajectory generating the exterior cone). At 100% and 80% nominal power values the yield is 240 workpiece/hour and 192 workpiece/hour, respectively

  2. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  3. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  4. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    Science.gov (United States)

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Coarsening behavior of lath and its effect on creep rates in tempered martensitic 9Cr-W steels

    International Nuclear Information System (INIS)

    Abe, F.

    2004-01-01

    The coarsening behavior of martensite lath has been investigated by means of transmission electron microscopy for tempered martensitic 9 wt.% Cr-(0, 1, 2, 4 wt.%) W steels during creep at 823-923 K. During creep, the recovery of excess dislocations, the agglomeration of carbides and the coarsening of laths take place. The coarsening of laths with absorbing excess dislocations is the major process in the creep acceleration. The coarsening rate of lath decreases with increasing W concentration, which is correlated with the rate of Ostwald ripening of M 23 C 6 carbides. The progressive local-coalescence of two adjacent laths boundaries near the Y-junction causes the movement of Y-junction, resulting in the coarsening of lath

  6. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  7. An approach to define the effective lath size controlling yield strength of bainite

    International Nuclear Information System (INIS)

    Zhu Kangying; Bouaziz, Olivier; Oberbillig, Carla; Huang, Mingxin

    2010-01-01

    Research highlights: In this study, we developed a series of fully bainitic microstructures with negligible carbide precipitation in ultra-low carbon steels. Then, we investigated the microstructure by EBSD as well as their mechanical properties. It is found that the yield stress of such bainite is proportional to the inverse lath size defined with low boundary misorientation (2-7 deg.). We explained this by employing a theory which predicts the flow stress of deformed metals, assuming that both lath boundary and dislocation cell boundary have similar capability of being dislocation obstacles. - Abstract: A fully bainitic microstructure with negligible carbide precipitation is obtained in two ultra-low carbon steels. The size and misorientation of bainite laths are analysed by Electron Back Scattering Diffraction (EBSD). It is found that the yield stress of bainite is proportional to the inverse lath size defined with low boundary misorientation (2-7 deg.). This can be explained by a theory predicting the flow stress of deformed metals, assuming that both lath boundary and dislocation cell boundary have similar capability of being dislocation obstacles.

  8. „New approaches to atomic force microscope lithography on silicon"

    DEFF Research Database (Denmark)

    Birkelund, Karen; Thomsen, Erik Vilain; Rasmussen, Jan Pihl

    1997-01-01

    We have investigated new approaches to the formation of conducting nanowires on crystalline silicon surfaces using atomic force microscope (AFM) lithography. To increase processing speed and reduce wear of the AFM tip, large-scale structures are formed with a direct laser write setup, while the AFM...

  9. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  10. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  11. Hazard perception and occupational injuries in the welders and lathe machine operators of Rawalpindi and Islamabad.

    Science.gov (United States)

    Shaikh, M A

    2001-02-01

    To study the prevalence of occupational injuries in the welders and lathe machine operators and their hazard perception. This study was conducted in the welders and lathe machine operators working in the welding and metal working shops in Rawalpindi and Islamabad. A cross-sectional survey was conducted by two trained health interviewers using uniform questionnaire with both close and open-ended questions. Two hundred and eight welders and 104 lathe machine operators were interviewed. Thirty nine (18.7%) welders and 27 (26%) lathe machine operators reported an injury in the past three months, while 63 (30.3%) welders and 76 (73.8%) lathe machine operators reported sustaining an injury in the past twelve months. However, only half of the welders and 31 (29.8%) lathe machine operators believed that their occupation was hazardous for health. For effective public health policy there is a need preventive education and enforcement of safety regulations for the informal occupational sector in Pakistan.

  12. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    CERN Document Server

    Pagani, C; Schneidmiller, E A; Yurkov, M V

    2001-01-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andr...

  13. Energy transport in laser produced plasmas

    International Nuclear Information System (INIS)

    Key, M.H.

    1989-06-01

    The study of energy transport in laser produced plasmas is of great interest both because it tests and develops understanding of several aspects of basic plasma physics and also because it is of central importance in major applications of laser produced plasmas including laser fusion, the production of intense X-ray sources, and X-ray lasers. The three sections cover thermal electrons (energy transport in one dimension, plane targets and lateral transport from a focal spot, thermal smoothing, thermal instabilities), hot electrons (preheating in one dimension, lateral transport from a focal spot) and radiation (preheating in one dimension, lateral transport and smoothing, instabilities). (author)

  14. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  15. An evaluation of a lathe-cut high-copper amalgam alloy.

    Science.gov (United States)

    Knibbs, P J; Plant, C G; Shovelton, D S; Jones, P A

    1987-09-01

    Modification of an amalgam alloy may give rise to improved physical properties. The physical properties of a newly formulated, single-composition lathe-cut amalgam alloy were studied and found to be superior to those of a conventional lathe-cut amalgam alloy. However, such modification in formulation may result in changes in the clinical handling properties of the material. The high-copper amalgam alloy was assessed by a panel of general practitioners who found that the general handling properties of the material were similar to those of conventional lathe-cut amalgam alloys. The longer term performance of the high-copper alloy was assessed by means of a blind, controlled clinical trial carried out by two operators. A 1-year assessment of the resulting restorations and tooth replicas could not distinguish between the high-copper alloy and a conventional alloy. The two alloys had both given good clinical results.

  16. Variation of martensite lath width and precipitate size during creep deformation in a 10Cr-Mo steel

    International Nuclear Information System (INIS)

    Kim, S. H.; Song, B. Z.; Lu, W. S.

    2001-01-01

    The relationship between creep deformation and microstructural changes in martensitic 10Cr-MoW steel has been studied. Transmission electron microscopy and image analyser were used to determine the variation of precipitates and martensite lath width size during creep deformation and aging. As precipitates are coarsened during creep deformation, dislocations become easy to move and the recovery proceeds rapidly. This leads to the growth of lath width. The average size of precipitates was linearly increased with creep time. On the other hand the growth rate of lath width is constant until tertiary creep, but the growth of lath width is accelerated during tertiary creep. It has been concluded that the growth behavior of lath width are consistent with creep deformation. Because the growth of lath width is controlled by the coarsening of precipitates it is important to form more stable precipitates in creep condition for improvement of creep properties of martensitie steel. Microstructure of martensitic steel is thermally very stable, so the size of precipitates and martensite lath width are hardly changed during aging

  17. Design and Test of Semi-Active Vibration-Reducing System for Lathe

    Directory of Open Access Journals (Sweden)

    Hongsheng Hu

    2014-09-01

    Full Text Available In this paper, its theory design, analysis and test system of semi-active vibration controlling system used for precision machine have been done. Firstly, lathe bed and spindle entity were modeled by using UG software; Then modes of the machine bed and the key components of spindle were obtained by using ANSYS software; Finally, harmonic response analysis of lathe spindle under complex load was acquired, which provided a basis of MR damper’s structure optimization design for a certain type of precision machine. In order to prove its effectives, a prototype semi-active vibration controlling lathe with MR damper was developed. Tests have been done, and comparison results between passive vibration isolation equipment and semi-active vibration controlling equipment proved its good performances of MR damper.

  18. Optical manipulation of photonic defect-modes in cholesteric liquid crystals induced by direct laser-lithography

    International Nuclear Information System (INIS)

    Yoshida, Hiroyuki; Lee, Chee Heng; Miura, Yusuke; Fujii, Akihiko; Ozaki, Masanori

    2008-01-01

    Manipulation of photonic defect-modes in cholesteric liquid crystals (ChLCs), which are one-dimensional pseudo photonic band-gap materials have been demonstrated by an external optical field. A structural defect in which the pitch length of the ChLC in the bulk and the defect are different was introduced by inducing local polymerization in a photo-polymerizable ChLC material by a direct laser-lithography process, and infiltrating a different ChLC material as the defect medium. When an azobenzene dye-doped ChLC was infiltrated in the defect, the trans-cis isomerization of the dye upon ultraviolet (UV) exposure caused the pitch to shorten, changing the contrast in the pitch lengths at the bulk and the defect, leading to a consequent shifting of the defect-mode. The all-optical manipulation was reversible and had high reproducibility

  19. Plasmonic nanoparticle lithography: Fast resist-free laser technique for large-scale sub-50 nm hole array fabrication

    Science.gov (United States)

    Pan, Zhenying; Yu, Ye Feng; Valuckas, Vytautas; Yap, Sherry L. K.; Vienne, Guillaume G.; Kuznetsov, Arseniy I.

    2018-05-01

    Cheap large-scale fabrication of ordered nanostructures is important for multiple applications in photonics and biomedicine including optical filters, solar cells, plasmonic biosensors, and DNA sequencing. Existing methods are either expensive or have strict limitations on the feature size and fabrication complexity. Here, we present a laser-based technique, plasmonic nanoparticle lithography, which is capable of rapid fabrication of large-scale arrays of sub-50 nm holes on various substrates. It is based on near-field enhancement and melting induced under ordered arrays of plasmonic nanoparticles, which are brought into contact or in close proximity to a desired material and acting as optical near-field lenses. The nanoparticles are arranged in ordered patterns on a flexible substrate and can be attached and removed from the patterned sample surface. At optimized laser fluence, the nanohole patterning process does not create any observable changes to the nanoparticles and they have been applied multiple times as reusable near-field masks. This resist-free nanolithography technique provides a simple and cheap solution for large-scale nanofabrication.

  20. A System to Enable the Blind to Work Independently on the Center Lathe.

    Science.gov (United States)

    Guha, Sujoy K.; Anand, Sneh

    1980-01-01

    A study has shown that with suitable accessories to machines and appropriate work planning, totally blind machinists can perform varied tasks on a lathe independently. Based on the results of the study, simple accessories have been designed and tested for use with the center lathe. (Author/PHR)

  1. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  2. Influence of the laser pulse duration on laser-produced plasma properties

    International Nuclear Information System (INIS)

    Drogoff, B Le; Margot, J; Vidal, F; Laville, S; Chaker, M; Sabsabi, M; Johnston, T W; Barthelemy, O

    2004-01-01

    In the framework of laser-induced plasma spectroscopy (LIPS) applications, time-resolved characteristics of laser-produced aluminium plasmas in air at atmospheric pressure are investigated for laser pulse durations ranging from 100 fs to 270 ps. Measurements show that for delays after the laser pulse longer than ∼100 ns, the plasma temperature increases slightly with the laser pulse duration, while the electron density is independent of it. In addition, as the pulse duration increases, the plasma radiation emission lasts longer and the spectral lines arise later from the continuum emission. The time dependence of the continuum emission appears to be similar whatever the duration of the laser pulse is, while the temporal evolution of the line emission seems to be affected mainly by the plasma temperature. Finally, as far as spectrochemical applications (such as LIPS) of laser-produced plasmas are concerned, this study highlights the importance of the choice of appropriate temporal gating parameters for each laser pulse duration

  3. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    Science.gov (United States)

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  4. Study on wetting properties of periodical nanopatterns by a combinative technique of photolithography and laser interference lithography

    KAUST Repository

    Yang, Yung-Lang

    2010-03-01

    This study presents the wetting properties, including hydrophilicity, hydrophobicity and anisotropic behavior, of water droplets on the silicon wafer surface with periodical nanopatterns and hierarchical structures. This study fabricates one- and two-dimensional periodical nanopatterns using laser interference lithography (LIL). The fabrication of hierarchical structures was effectively achieved by combining photolithography and LIL techniques. Unlike conventional fabrication methods, the LIL technique is mainly used to control the large-area design of periodical nanopatterns in this study. The minimum feature size for each nanopattern is 100 nm. This study shows that the wetting behavior of one-dimensional, two-dimensional, and hierarchical patterns can be obtained, benefiting the development of surface engineering for microfluidic systems. © 2010 Elsevier B.V. All rights reserved.

  5. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  6. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  7. Atom probe tomography investigation of lath boundary segregation and precipitation in a maraging stainless steel.

    Science.gov (United States)

    Thuvander, Mattias; Andersson, Marcus; Stiller, Krystyna

    2013-09-01

    Lath boundaries in a maraging stainless steel of composition 13Cr-8Ni-2Mo-2Cu-1Ti-0.7Al-0.3Mn-0.2Si-0.03C (at%) have been investigated using atom probe tomography following aging at 475 °C for up to 100 h. Segregation of Mo, Si and P to the lath boundaries was observed already after 5 min of aging, and the amount of segregation increases with aging time. At lath boundaries also precipitation of η-Ni₃(Ti, Al) and Cu-rich 9R, in contact with each other, takes place. These co-precipitates grow with time and because of coarsening the area number density decreases. After 100 h of aging a ∼5 nm thick film-like precipitation of a Mo-rich phase was observed at the lath boundaries. From the composition of the film it is suggested that the phase in question is the quasicrystalline R' phase. The film is perforated with Cu-rich 9R and η-Ni₃(Ti, Al) co-precipitates. Not all precipitate types present in the matrix do precipitate at the lath boundaries; the Si-containing G phase and γ'-Ni₃(Ti, Al, Si) and the Cr-rich α' phase were not observed at the lath boundaries. Copyright © 2012 Elsevier B.V. All rights reserved.

  8. Residual creep life assessment by change of martensitic lath structure in modified 9Cr-1Mo steels

    International Nuclear Information System (INIS)

    Sawada, Kota; Takeda, Masaaki; Maruyama, Kouichi; Komine, Ryuji; Nagae, Yuji

    1998-01-01

    Mod.9Cr-1Mo steel has a martensitic lath structure. Recovery of the lath structure takes place in the course of creep. Microstructural degradation due to the recovery results in the acceleration of creep rate and the subsequent failure of a specimen. Change of lath width during creep of the steel was quantitatively investigated to propose a residual life assessment methodology based on the recovery process. Since the steel was tempered at 1053 K, the lath structure is thermally stable at the testing temperatures (848 K - 923 K). However, recovery of lath structure readily takes place during creep, indicating that the recovery is induced by creep deformation. Lath width d increases with creep strain and saturates to a value d s determined by creep stress. The increase of d is faster at a higher stress and temperature. A normalized change in lath width, Δd/Δd s , was introduced to explain the variation of lath growth rate with creep stress and temperature. Δd is the change in lath width from the initial value d 0 , and Δd s is the difference between d s , and d 0 . Δd/Δd s is uniquely related to creep strain ε and the relationship is independent of creep stress as well as creep temperature. This Δd/Δd s -ε relationship obtained by an accelerated creep test at a higher temperature or stress is applicable to any creep condition including service conditions of engineering plants. Creep strain can be evaluated from the measurement of Δd/Δd s based on the Δd/Δd s -ε relationship. A creep curve under any creep condition can readily be calculated by creep data of the steel. Combining these information one can assess residual life of a structural component made of the steel. (author)

  9. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  10. Solution of task related to control of swiss-type automatic lathe to get planes parallel to part axis

    Science.gov (United States)

    Tabekina, N. A.; Chepchurov, M. S.; Evtushenko, E. I.; Dmitrievsky, B. S.

    2018-05-01

    The work solves the problem of automation of machining process namely turning to produce parts having the planes parallel to an axis of rotation of part without using special tools. According to the results, the availability of the equipment of a high speed electromechanical drive to control the operative movements of lathe machine will enable one to get the planes parallel to the part axis. The method of getting planes parallel to the part axis is based on the mathematical model, which is presented as functional dependency between the conveying velocity of the driven element and the time. It describes the operative movements of lathe machine all over the tool path. Using the model of movement of the tool, it has been found that the conveying velocity varies from the maximum to zero value. It will allow one to carry out the reverse of the drive. The scheme of tool placement regarding the workpiece has been proposed for unidirectional movement of the driven element at high conveying velocity. The control method of CNC machines can be used for getting geometrically complex parts on the lathe without using special milling tools.

  11. Atom probe tomography investigation of lath boundary segregation and precipitation in a maraging stainless steel

    International Nuclear Information System (INIS)

    Thuvander, Mattias; Andersson, Marcus; Stiller, Krystyna

    2013-01-01

    Lath boundaries in a maraging stainless steel of composition 13Cr–8Ni–2Mo–2Cu–1Ti–0.7Al–0.3Mn–0.2Si–0.03C (at%) have been investigated using atom probe tomography following aging at 475 °C for up to 100 h. Segregation of Mo, Si and P to the lath boundaries was observed already after 5 min of aging, and the amount of segregation increases with aging time. At lath boundaries also precipitation of η-Ni 3 (Ti, Al) and Cu-rich 9R, in contact with each other, takes place. These co-precipitates grow with time and because of coarsening the area number density decreases. After 100 h of aging a ∼5 nm thick film-like precipitation of a Mo-rich phase was observed at the lath boundaries. From the composition of the film it is suggested that the phase in question is the quasicrystalline R′ phase. The film is perforated with Cu-rich 9R and η-Ni 3 (Ti, Al) co-precipitates. Not all precipitate types present in the matrix do precipitate at the lath boundaries; the Si-containing G phase and γ′-Ni 3 (Ti, Al, Si) and the Cr-rich α′ phase were not observed at the lath boundaries. - Highlights: ► Lath boundaries in a maraging steel were analyzed by APT. ► Segregation of Mo, Si and P was measured. ► Precipitation of η-Ni 3 (Ti, Al) and Cu-rich 9R was observed. ► After 100 h of aging a quasicrystalline Mo-rich film was observed

  12. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  13. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  14. Lathe-cut hydrophilic contact lenses: report of 100 clinical cases.

    Science.gov (United States)

    Espy, J W

    1978-10-01

    In a review of the literature, it became apparent that there were very few articles describing the advantages, as well as the fitting techniques, of lathe-cut hydrophilic contact lenses. Few practitioners, including those who fit other types of hydrophilic lenses and hard lenses, have had any experience with this lens, and considerable interest has been generated by fragmentary reports of good results. This paper describes in detail the geometry of the first lathe-cut hydrophilic lens approved by the Federal Drug Administration, the fitting methods utilizing trial lenses, and the results of 100 patients successfully fitted.

  15. The distribution of intervariant crystallographic planes in a lath martensite using five macroscopic parameters

    International Nuclear Information System (INIS)

    Beladi, Hossein; Rohrer, Gregory S.; Rollett, Anthony D.; Tari, Vahid; Hodgson, Peter D.

    2014-01-01

    Electron backscatter diffraction analysis was employed to compute the closest orientation relationship and the distribution of intervariant boundary character in a lath martensitic microstructure. The misorientations were close to the Kurdjumov–Sachs orientation relationship. The intervariant crystallographic plane distribution exhibited a relatively high anisotropy with a tendency for the lath interfaces to terminate on (1 1 0) planes. This results from the crystallographic constraints associated with the shear transformation rather than a low energy interface configuration. The lath martensite habit plane was determined to be mostly (1 1 0) or near (1 1 0). The relative populations of boundaries with [1 1 1] and [1 1 0] misorientations were greater than other high index misorientations, mostly characterized as (1 1 0) symmetric tilt and (1 1 0) twist boundary types, respectively. Analysis with homology metrics of the connectivity in the lath martensitic microstructure revealed the connectivity dominated by population of misorientation angle and boundary plane type

  16. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  17. Lathe leveler

    Energy Technology Data Exchange (ETDEWEB)

    Lovelady, III, Michael W.J.

    2018-03-06

    A lathe leveler for centering a cutting tool in relation to a cylindrical work piece includes a first leveling arm having a first contact point disposed adjacent a distal end of the first leveling arm, a second leveling arm having a second contact point disposed adjacent a distal end of the second leveling arm, a leveling gage, and a leveling plate having a cutting tool receiving surface positioned parallel to a horizontal axis of the leveling gage and on a same plane as a midpoint of the first contact point and the second contact point. The leveling arms and leveling plate are dimensioned and configured such that the cutting tool receiving surface is centered in relation to the work piece when the first and second contact points are in contact with one of the inner surface and outer surface of the cylindrical work piece and the leveling gage is centered.

  18. Lean energy analysis of CNC lathe

    Science.gov (United States)

    Liana, N. A.; Amsyar, N.; Hilmy, I.; Yusof, MD

    2018-01-01

    The industrial sector in Malaysia is one of the main sectors that have high percentage of energy demand compared to other sector and this problem may lead to the future power shortage and increasing the production cost of a company. Suitable initiatives should be implemented by the industrial sectors to solve the issues such as by improving the machining system. In the past, the majority of the energy consumption in industry focus on lighting, HVAC and office section usage. Future trend, manufacturing process is also considered to be included in the energy analysis. A study on Lean Energy Analysis in a machining process is presented. Improving the energy efficiency in a lathe machine by enhancing the cutting parameters of turning process is discussed. Energy consumption of a lathe machine was analyzed in order to identify the effect of cutting parameters towards energy consumption. It was found that the combination of parameters for third run (spindle speed: 1065 rpm, depth of cut: 1.5 mm, feed rate: 0.3 mm/rev) was the most preferred and ideal to be used during the turning machining process as it consumed less energy usage.

  19. Structured mirror array for two-dimensional collimation of a chromium beam in atom lithography

    International Nuclear Information System (INIS)

    Zhang Wan-Jing; Ma Yan; Li Tong-Bao; Zhang Ping-Ping; Deng Xiao; Chen Sheng; Xiao Sheng-Wei

    2013-01-01

    Direct-write atom lithography, one of the potential nanofabrication techniques, is restricted by some difficulties in producing optical masks for the deposition of complex structures. In order to make further progress, a structured mirror array is developed to transversely collimate the chromium atomic beam in two dimensions. The best collimation is obtained when the laser red detunes by natural line-width of transition 7 S 3 → 7 P 0 4 of the chromium atom. The collimation ratio is 0.45 vertically (in x axis), and it is 0.55 horizontally (in y axis). The theoretical model is also simulated, and success of our structured mirror array is achieved. (atomic and molecular physics)

  20. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  1. Laser-produced X-ray sources

    International Nuclear Information System (INIS)

    Hudson, L.T.; Seely, J.F.

    2010-01-01

    A formidable array of advanced laser systems are emerging that produce extreme states of light and matter. By irradiating solid and gaseous targets with lasers of increasing energy densities, new physical regimes of radiation effects are being explored for the first time in controlled laboratory settings. One result that is being accomplished or pursued using a variety of techniques, is the realization of novel sources of X-rays with unprecedented characteristics and light-matter interactions, the mechanisms of which are in many cases still being elucidated. Examples include the megajoule class of laser-produced plasmas designed in pursuit of alternative-energy and security applications and the petawatt class of lasers used for fast ignition and X-ray radiographic applications such as medical imaging and real-time imaging of plasma hydrodynamics. As these technologies mature, increased emphasis will need to be placed on advanced instrumentation and diagnostic metrology to characterize the spectra, time structure, and absolute brightness of X-rays emitted by these unconventional sources. Such customized and absolutely calibrated measurement tools will serve as an enabling technology that can help in assessing the overall system performance and progress, as well as identification of the underlying interaction mechanisms of interest to basic and applied strong-field and high-energy-density science.

  2. Optical emission from laser-produced chromium and magnesium ...

    Indian Academy of Sciences (India)

    Abstract. Parametric study of optical emission from two successive laser pulses pro- ... The hot laser-produced plasma radiates various types of emissions ..... lasers. The qualitative agreement of this analysis with our observations confirms.

  3. Serial corneal endothelial cell loss with lathe-cut and injection-molded posterior chamber intraocular lenses.

    Science.gov (United States)

    Kraff, M C; Sanders, D R; Lieberman, H L

    1983-01-01

    We compared endothelial cell loss of patients implanted with lathe-cut posterior chamber lenses and those implanted with injection-molded lenses over a three-year postoperative period. Results were based on more than 2,500 measurements of corneal endothelial density. Although the technique of cataract extraction (anterior chamber phacoemulsification, posterior chamber phacoemulsification, or planned extracapsular extraction) significantly affected cell loss (P less than .01), the type of implant (lathe-cut or injection-molded) did not. Significant continuing endothelial cell loss did not occur during the first three postoperative years with injection-molded lenses. There was, however, a statistically significant 7% to 15% additional cell loss after surgery over the first two to three postoperative years with lathe-cut implants. There have been no cases of corneal endothelial decompensation developing after implantation of injection-molded or lathe-cut lenses. Because a standard field clinical specular microscope was used in this study, cell counting errors cannot be ruled out as a cause of these findings.

  4. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  5. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  6. Laser plasma focus produced in a ring target

    International Nuclear Information System (INIS)

    Saint-Hilaire, G.; Szili, Z.

    1976-01-01

    A new geometry for generating a laser-produced plasma is presented. A toroidal mirror is used to focus a CO 2 laser beam on the inside wall of a copper ring target. The plasma produced converges at the center of the ring where an axial plasma focus is formed. High-speed photography shows details of a plasma generated at a distance from the target surface. This new geometry could have important applications in the field of x-ray lasers

  7. Hydrodynamic simulation of X-UV laser-produced plasmas

    International Nuclear Information System (INIS)

    Fajardo, M.; Zeitoun, P.; Gauthier, J.C.

    2004-01-01

    With the construction of novel X-UV sources, such as V-UV FEL's (free-electron lasers), X-UV laser-matter interaction will become available at ultra-high intensities. But even table-top facilities such as X-UV lasers or High Harmonic Generation, are starting to reach intensities high enough to produce dense plasmas. X-UV laser-matter interaction is studied by a 1-dimensional hydrodynamic Lagrangian code with radiative transfer for a range of interesting X-UV sources. Heating is found to be very different for Z=12-14 elements having L-edges around the X-UV laser wavelength. Possible absorption mechanisms were investigated in order to explain this behaviour, and interaction with cold dense matter proved to be dominant. Plasma sensitivity to X-UV laser parameters such as energy, pulse duration, and wavelength was also studied, covering ranges of existing X-UV lasers. We found that X-UV laser-produced plasmas could be studied using table-top lasers, paving the way for future V-UV-FEL high intensity experiments. (authors)

  8. The shaping-lathe headrig-- key to utilization of hardwoods growing on southern pine sites

    Science.gov (United States)

    P. Koch

    1974-01-01

    For every cubic foot of pine on southern pine sites, there is about 0.8 cubic foot of hardwood. The shaping-lathe headrig, now in the final stages of commercialization, is a key to utilizing these small mixed hardwoods for pallets and industrial lumber. Lathe residues in the form of flakes can be the raw material for a new major industry manufacturing exterior...

  9. Study of XUV lasers produced by a CO/sub 2/ laser

    International Nuclear Information System (INIS)

    Daido, H.; Miura, E.; Kitagawa, Y.; Kato, Y.; Nishihara, K.; Sawai, K.; Nakai, S.S.; Yamanaka, C.

    1988-01-01

    The authors present recent progress at ILE, Osaka University in plasma recombination-pumped XUV lasers produced by a CO/sub 2/ laser. One way to produce large-population inversion with this scheme is rapid cooling of the gain medium keeping a high electron density, because the three-body recombination probability is proportional to the square of the electron density. The authors used a cylinder-type target made of 30-μm thick parylene (C/sub 8/H/sub 8/) whose diameter and length are 3 and 4 mm. The laser irradiated the 2500-A thick parylene foil attached to the center of the cylinder. The fully ablated plasma expands isotropically, producing an almost uniform plasma on the cylinder wall. Then the almost fully ionized carbon plasma is cooled by heat transport from the hot plasma to the wall. The estimated cooling time is a few nanoseconds assuming classical heat transport

  10. Self-phase modulation of laser light in laser produced plasma

    International Nuclear Information System (INIS)

    Yamanaka, C.; Yamanaka, T.; Mizui, J.; Yamaguchi, N.

    1975-02-01

    A spectrum broadening due to the self-phase modulation of a laser light was observed in the laser produced deuterium and hydrogen plasma. Qualitative treatments of the density modulation due to the self-focusing process and the modulational instability were discussed. The theoretical estimation of spectrum broadening fairly accorded with the experimental results. (auth.)

  11. Toolmarks made by lathe chuck jaws.

    Science.gov (United States)

    Finkelstein, Nir; Aronson, Ayal; Tsach, Tsadok

    2017-06-01

    This paper presents a forensic method to evidentially tie a workpiece with a specific lathe. Examining using this method can prove or exclude a connection between the two. The importance of this method is mostly due to the growing trend among lawbreakers of manufacturing improvised firearm parts using machining processes. This method is based on comparing jaw impressions made by the chuck on a workpiece. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Microstructure and hardness investigation of 17-4PH stainless steel by laser quenching

    Energy Technology Data Exchange (ETDEWEB)

    Chen Zhaoyun, E-mail: zhaoyunchenlaoshi@126.com [College of Materials Science and Chemical Engineering, Key Laboratory of Superlight Materials and Surface Technology, Ministry of Education, Harbin Engineering University, Nantong ST 145, Harbin 150001 (China); Zhou Guijuan, E-mail: zgjghpx@126.com [College of Materials Science and Chemical Engineering, Key Laboratory of Superlight Materials and Surface Technology, Ministry of Education, Harbin Engineering University, Nantong ST 145, Harbin 150001 (China); Chen Zhonghua, E-mail: jickdahua@163.com [College of Materials Science and Chemical Engineering, Key Laboratory of Superlight Materials and Surface Technology, Ministry of Education, Harbin Engineering University, Nantong ST 145, Harbin 150001 (China)

    2012-02-01

    Highlights: Black-Right-Pointing-Pointer Surface hardening of 17-4PH is highly achieved by laser transformation hardening. Black-Right-Pointing-Pointer A hardened layer with a thickness of 1.75 mm is formed. Black-Right-Pointing-Pointer The phase similar to {epsilon}-Cu precipitates re-segregation after dissolved. Black-Right-Pointing-Pointer The strengthening phase is composed of classic lath martensite, coarse NbC and a lot of finer fcc copper-rich phases. - Abstract: Surface hardening of 17-4PH was achieved by laser transformation hardening using 5 kW continuous wave CO{sub 2} laser system. The microstructure of the laser-quenched sample was investigated by optical microscopy, transmission electron microscope and {sup 57}Fe Moessbauer spectrometer. The hardness profile was determined by a Vickers hardness tester. The hardened layer with a thickness of 1.75 mm was formed, and it was composed of classic lath martensite, coarse NbC and a lot of finer fcc copper-rich phases which were similar to {epsilon}-Cu precipitates. The maximal hardness value of hardened zone is 446 HV which is 50 HV higher than that of the substrate (386-397 HV). The higher hardness in laser transformation layer of the 17-4PH steel could be attributed to the following aspects: the matrix with a high dislocation density; the fine microstructure; the finer fcc copper-rich phases that were similar to the {epsilon}-Cu precipitates as well as the transforming of retained austenite into lath martensite.

  13. THE ANALYSIS OF THE EVOLUTION OF THE FUNCTIONAL GEOMETRY OF THE TOOL AT THE LATHING WITH A TRANSVERSE ADVANCE

    Directory of Open Access Journals (Sweden)

    Dan DOBROTĂ

    2017-12-01

    Full Text Available The role of processing by machining is to generate surfaces that have to meet the requirements imposed by the designer through the execution drawing of the piece. The study aims to analyze how the functional geometry of the tool evolves when lathing with a transverse advance. The technological process of lathing with transverse advance is realized with a variable machining speed, and this also causes a variation of the functional geomtry of the tool. Thus, in the paper was established the optimal construction geometry of a lathe knife that can be used for lathing a piece of a certain diameter. Under these conditions, a correlation was established between the values of the geometrical constructive parameters of the knife used for the transverse lathing and the diameter of the workpiece which can be processed in optimal conditions

  14. Optical emission from laser-produced chromium and magnesium

    Indian Academy of Sciences (India)

    Optical emission from laser-produced chromium and magnesium plasma under the effect of two sequential laser pulses ... Laser Plasma Division, Centre for Advanced Technology, Indore 452 013, India; Diagnostic Instrumentation and Analysis Laboratory, Mississippi State University, 205 Research Boulevard, Starkville, ...

  15. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  16. A study on a relationship between localization of recovery in lath structure and creep damage in Mod.9Cr-1Mo steel

    International Nuclear Information System (INIS)

    Nagae, Yuji; Aoto, Kazumi

    2000-09-01

    In this study, the change of lath structure with the increase of distance from fractured surface was observed in detail and the localization of creep damage to decide fracture position was investigated. As the results of the relationship between lath width and distance from fractured surface, the lath width obviously increased as a distance from fractured surface decreased. Lath widths in different positions of as-received specimen were almost same. These result indicates that recovery of lath structure localizes with creep damage. It was considered that the coarsening of precipitates caused localization of recovery in lath structure. Area fraction of precipitates and cross-section of each precipitate in different positions from fractured surface were measured and compared. There were no differences in area fraction of precipitates and cross-section of each precipitate in different positions. Therefore it was found out that the reason for localization of recovery of lath structure was not coarsening of precipitates. (author)

  17. Laser precision microfabrication in Japan

    Science.gov (United States)

    Miyamoto, Isamu; Ooie, Toshihiko; Takeno, Shozui

    2000-11-01

    Electronic devices such as handy phones and micro computers have been rapidly expanding their market recent years due to their enhanced performance, down sizing and cost down. This has been realized by the innovation in the precision micro- fabrication technology of semiconductors and printed wiring circuit boards (PWB) where laser technologies such as lithography, drilling, trimming, welding and soldering play an important role. In phot lithography, for instance, KrF excimer lasers having a resolution of 0.18 micrometers has been used in production instead of mercury lamp. Laser drilling of PWB has been increased up to over 1000 holes per second, and approximately 800 laser drilling systems of PWB are expected to be delivered in the world market this year, and most of these laser processing systems are manufactured in Japan. Trend of laser micro-fabrication in Japanese industry is described along with recent topics of R&D, government supported project and future tasks of industrial laser precision micro-fabrication on the basis of the survey conducted by Japan laser Processing Society.

  18. High precision NC lathe feeding system rigid-flexible coupling model reduction technology

    Science.gov (United States)

    Xuan, He; Hua, Qingsong; Cheng, Lianjun; Zhang, Hongxin; Zhao, Qinghai; Mao, Xinkai

    2017-08-01

    This paper proposes the use of dynamic substructure method of reduction of order to achieve effective reduction of feed system for high precision NC lathe feeding system rigid-flexible coupling model, namely the use of ADAMS to establish the rigid flexible coupling simulation model of high precision NC lathe, and then the vibration simulation of the period by using the FD 3D damper is very effective for feed system of bolt connection reduction of multi degree of freedom model. The vibration simulation calculation is more accurate, more quickly.

  19. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  20. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography

    Directory of Open Access Journals (Sweden)

    Linas Jonušauskas

    2017-01-01

    Full Text Available We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL. This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8 and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  1. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  2. Phase structures and morphologies of tempered CA6NM stainless steel welded by hybrid laser-arc process

    Energy Technology Data Exchange (ETDEWEB)

    Mirakhorli, F., E-mail: Fatemeh.mirakhorli.1@ens.etsmtl.ca [École de Technologie Supérieure, Montréal, Québec H3C 1K3 (Canada); National Research Council Canada – Aerospace, Montréal, Québec H3T 2B2 (Canada); Cao, X., E-mail: Xinjin.cao@cnrc-nrc.gc.ca [National Research Council Canada – Aerospace, Montréal, Québec H3T 2B2 (Canada); Pham, X-T., E-mail: Tan.pham@etsmtl.ca [École de Technologie Supérieure, Montréal, Québec H3C 1K3 (Canada); Wanjara, P., E-mail: Priti.wanjara@cnrc-nrc.gc.ca [National Research Council Canada – Aerospace, Montréal, Québec H3T 2B2 (Canada); Fihey, J.L., E-mail: jean-luc.fihey@etsmtl.ca [École de Technologie Supérieure, Montréal, Québec H3C 1K3 (Canada)

    2017-01-15

    The post-weld tempered microstructure of hybrid laser-arc welded CA6NM, a cast low carbon martensitic stainless steel, was investigated. The microstructural evolutions from the fusion zone to the base metal were characterized in detail using optical microscopy, scanning electron microscopy (SEM), electron backscatter diffraction (EBSD), X-ray diffraction (XRD) and microhardness techniques. The fusion zone, in its post-weld tempered condition, consisted of tempered lath martensite, residual delta-ferrite with various morphologies, reversed austenite and chromium carbides. The reversed austenite, which can be detected through both EBSD and XRD techniques, was found to be finely dispersed along the martensite lath boundaries, particularly at triple junctions. Based on the EBSD analysis, the orientation relationship between the reversed austenite and the adjacent martensite laths seemed to follow the Kurdjumov-Sachs (K-S) model. The results also revealed the presence of the reversed austenite in the different regions of the heat affected zone after post-weld tempering. The microindentation hardness distribution was measured, and correlated to the evolution of the corresponding microstructure across the welds. - Highlights: •The EBSD analysis was performed on hybrid laser-arc welded CA6NM. •The FZ consisted of tempered lath martensite, reversed austenite, carbides and δ ferrite after tempering. •The reversed γ was formed along the α′ lath boundaries, particularly at triple junctions.

  3. Laser-assisted turning of components made of silicon-nitride ceramics

    International Nuclear Information System (INIS)

    Klocke, F.; Bausch, S.

    2001-01-01

    The manufacture of high-precision parts made of silicon-nitride ceramic, such as roller bearing rings or valves, currently involves finishing in the form of time and cost intensive grinding operations. This has resulted in demands for the development of more efficient machining techniques and for the subsequent provision of these within a manufacturing environment. A prototype of a precision lathe with an integrated high power diode laser for laser-assisted turning has been developed at the Fraunhofer IPT in close co-operation with industrial partners. When the workpiece is heated continuously by the laser, the resultant localized material softening enables the ceramic to be machined using a defined cutting edge. The application of this technique allows complex silicon nitride ceramic parts with surface qualities of up to R a = 0.3 μm to be produced considerably more flexibly than before, with no requirement for cooling lubricant. (author)

  4. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  5. Dimensional stability of lathe cut C.A.B. lenses.

    Science.gov (United States)

    Pearson, R M

    1978-08-01

    Measurements of the back central optical radius in the course of 336 hours of hydration of lathe cut corneal lenses disclosed changes in curvature which were more rapid and of greater magnitude than those previously reported for poly (methyl methacrylate) lenses.

  6. Self-aligning fixture used in lathe chuck jaw refacing

    Science.gov (United States)

    Linn, C. C.

    1965-01-01

    Self-aligning tool positions and rigidly holds lathe chuck jaws for refacing and truing of the clamping surface. The jaws clamp the fixture in the manner of clamping a workpiece. The fixture can be modified to accommodate four-jawed checks.

  7. Diagnostics of laser-produced plasmas

    Directory of Open Access Journals (Sweden)

    Batani Dimitri

    2016-12-01

    Full Text Available We present the general challenges of plasma diagnostics for laser-produced plasmas and give a few more detailed examples: spherically bent crystals for X-ray imaging, velocity interferometers (VISAR for shock studies, and proton radiography.

  8. Relationship between local deformation behavior and crystallographic features of as-quenched lath martensite during uniaxial tensile deformation

    International Nuclear Information System (INIS)

    Michiuchi, M.; Nambu, S.; Ishimoto, Y.; Inoue, J.; Koseki, T.

    2009-01-01

    Electron backscattering diffraction patterns were used to investigate the relationship between local deformation behavior and the crystallographic features of as-quenched lath martensite of low-carbon steel during uniform elongation in tensile tests. The slip system operating during the deformation up to a strain of 20% was estimated by comparing the crystal rotation of each martensite block after deformation of 20% strain with predictions by the Taylor and Sachs models. The results indicate that the in-lath-plane slip system was preferentially activated compared to the out-of-lath-plane system up to this strain level. Further detailed analysis of crystal rotation at intervals of approximately 5% strain confirmed that the constraint on the operative slip system by the lath structure begins at a strain of 8% and that the local strain hardening of the primary slip systems occurred at approximately 15% strain.

  9. Clinical comparison of the (polymacon) spin-cast hydrogel contact lens to the (polymacon) lathe-cut hydrogel lenses.

    Science.gov (United States)

    Hill, J F

    1980-08-01

    The purpose of this study was to compare the clinical acceptability of polymacon spin-cast to polymacon lathe-cut hydrophilic contact lenses. Ten patients successfully wearing polymacon spin-cast lenses were studied. Each patient had one eye refitted with polymacon lathe-cut lenses. Comparison of the two types of lenses was then made. Objective evaluation included centration, movement, visual acuity, and over-refraction. Subjective criteria were based on patient comfort and stability and quality of vision. Results indicate that lathe-cut lenses can be just as clinically satisfactory as the spincast ones.

  10. Preventing chatter vibrations in heavy-duty turning operations in large horizontal lathes

    Science.gov (United States)

    Urbikain, G.; Campa, F.-J.; Zulaika, J.-J.; López de Lacalle, L.-N.; Alonso, M.-A.; Collado, V.

    2015-03-01

    Productivity and surface finish are typical user manufacturer requirements that are restrained by chatter vibrations sooner or later in every machining operation. Thus, manufacturers are interested in knowing, before building the machine, the dynamic behaviour of each machine structure with respect to another. Stability lobe graphs are the most reliable approach to analyse the dynamic performance. During heavy rough turning operations a model containing (a) several modes, or (b) modes with non-conventional (Cartesian) orientations is necessary. This work proposes two methods which are combined with multimode analysis to predict chatter in big horizontal lathes. First, a traditional single frequency model (SFM) is used. Secondly, the modern collocation method based on the Chebyshev polynomials (CCM) is alternatively studied. The models can be used to identify the machine design features limiting lathe productivity, as well as the threshold values for choosing good cutting parameters. The results have been compared with experimental tests in a horizontal turning centre. Besides the model and approach, this work offers real worthy values for big lathes, difficult to be got from literature.

  11. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  12. Thermonuclear fusion plasma produced by lasers

    International Nuclear Information System (INIS)

    Yamanaka, C.; Yokoyama, M.; Nakai, S.; Sasaki, T.; Yoshida, K.; Matoba, M.; Yamabe, C.; Tschudi, T.; Yamanaka, T.; Mizui, J.; Yamaguchi, N.; Nishikawa, K.

    1975-01-01

    Recently, much attention has been focused on laser fusion schemes using high-density plasmas produced by implosion. Scientific-feasibility laser-fusion experiments are now in time. But the physics of interaction between laser and plasma, the high-compression technique and the development of high-power lasers are still important problems to be solved if laser fusion is to make some progress. In the field of laser-plasma coupling, experiments were carried out in which hydrogen and deuterium sticks were bombarded by laser beams; in these experiments, a glass-laser system, LETKKO-I, with an energy of 50 J in a nanosecond pulse, and a double-discharge TEA CO 2 laser system with an energy of 100 J in a 100-ns pulse were used. A decrease in reflectivity occurred at a laser intensity one order of magnitude higher than the parametric-instability threshold. Self-phase modulation of scattered light due to modulational instability was found. A Brillouin-backscattering isotope effect due to the hydrogen and deuterium plasma has also been observed in the red-side part of the SHG-light. Preliminary compression experiments have been carried out using a glass-laser system LETKKO-II, with an energy of 250-1000 J in a ns-pulse. A hologram has been used to study shock waves in the plasma due to the SHG-light converted from the main laser beam. Development of high-power lasers has been promoted, such as disc-glass lasers, E-beam CO 2 lasers and excimer lasers. (author)

  13. Active-passively mode-locked dye laser for diagnosis of laser-produced plasmas

    International Nuclear Information System (INIS)

    Teng, Y.L.; Fedosejevs, R.; Sigel, R.

    1981-03-01

    In this report an active-passively mode-locked, flashlamp-pumped dye laser for diagnosis of laser-produced plasmas is described. This dye laser system used as a pulsed light source for high-speed photography of laser-target experiments was synchronized to the ASTERIX III iodine laser pulse with better than 100 ps accuracy. The single pulse energy was 10 μJ, pulse duration less than 10 ps. In 111 shots clear shadowgrams were obtained during a total of 151 target shots, i.e. the system worked well in 74% of the shots. (orig.)

  14. On the texture, phase and tensile properties of commercially pure Ti produced via selective laser melting assisted by static magnetic field.

    Science.gov (United States)

    Kang, Nan; Yuan, Hao; Coddet, Pierre; Ren, Zhongming; Bernage, Charles; Liao, Hanlin; Coddet, Christian

    2017-01-01

    Tensile strength and ductility of Selective Laser Melting (SLM) processed commercially pure Ti (CP-Ti) were simultaneous enhanced by preforming the melting/solidification processes under Static Magnetic Field (SMF). The effects of SMF on microstructure and tensile properties were examined. The SMF-SLMed CP-Ti sample presents a microstructure of fine acicular martensitic α'-Ti and lath-shaped α-Ti. Meanwhile, the texture structure of SLMed CP-Ti was eliminated after adding a SMF. The SMF-SLM process offers new avenues to ameliorate the microstructure and improve the mechanical properties of SLMed sample. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. Carbon diffusion and kinetics during the lath martensite formation

    International Nuclear Information System (INIS)

    Xu Zuyao

    1995-01-01

    Calculations verify that carbon diffusion may occur during the lath martensite formation. Accordingly, the diffusion of interstitial atoms or ions must be taken into account when martensitic transformation is defined as a diffusionless transformation. In derivation of the kinetics equation of the athermal martensitic transformation, regarding the carbon diffusion, i.e. the enrichment of the austenite during the lath martensite formation, and ΔG γ fehler being function of the temperature and the carbon content in austenite, the kinetics equation is modified to a general form as: f=1-exp[β(C1-C0)-α(Ms-Tq)] where C0 and C1 are carbon contents in the austenite before and after quenching respectively. Consequently, the alloying element not only influences Ms, but also the diffusibility of carbon and both factors govern the amount of retained austenite in quenched steel which dominates in determing the toughness of the steel. (orig.)

  16. U-235 Holdup Measurements in the 321-M Lathe HEPA Banks

    International Nuclear Information System (INIS)

    Salaymeh, S.R.

    2002-01-01

    The Analytical Development Section of Savannah River Technology Center (SRTC) was requested by the Facilities Decommissioning Division (FDD) to determine the holdup of enriched uranium in the 321-M facility as part of an overall deactivation project of the facility. The results of the holdup assays are essential for determining compliance with the Waste Acceptance Criteria, Material Control and Accountability, and to meet criticality safety controls. This report covers holdup measurements of uranium residue in six high efficiency particulate air (HEPA) filter banks of the A-lathe and B-lathe exhaust systems of the 321-M facility. This report discusses the non-destructive assay measurements, assumptions, calculations, and results of the uranium holdup in these six items

  17. Block and sub-block boundary strengthening in lath martensite

    NARCIS (Netherlands)

    Du, C.; Hoefnagels, J.P.M.; Vaes, R.; Geers, M.G.D.

    2016-01-01

    Well-defined uniaxial micro-tensile tests were performed on lath martensite single block specimens and multi-block specimens with different number of block boundaries parallel to the loading direction. Detailed slip trace analyses consistently revealed that in the {110}<111> slip system with the

  18. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  19. GaSb-based single-mode distributed feedback lasers for sensing (Conference Presentation)

    Science.gov (United States)

    Gupta, James A.; Bezinger, Andrew; Lapointe, Jean; Poitras, Daniel; Aers, Geof C.

    2017-02-01

    GaSb-based tunable single-mode diode lasers can enable rapid, highly-selective and highly-sensitive absorption spectroscopy systems for gas sensing. In this work, single-mode distributed feedback (DFB) laser diodes were developed for the detection of various trace gases in the 2-3.3um range, including CO2, CO, HF, H2S, H2O and CH4. The lasers were fabricated using an index-coupled grating process without epitaxial regrowth, making the process significantly less expensive than conventional DFB fabrication. The devices are based on InGaAsSb/AlGaAsSb separate confinement heterostructures grown on GaSb by molecular beam epitaxy. DFB lasers were produced using a two step etch process. Narrow ridge waveguides were first defined by optical lithography and etched into the semiconductor. Lateral gratings were then defined on both sides of the ridge using electron-beam lithography and etched to produce the index-grating. Effective index modeling was used to optimize the ridge width, etch depths and the grating pitch to ensure single-lateral-mode operation and adequate coupling strength. The effective index method was further used to simulate the DFB laser emission spectrum, based on a transfer matrix model for light transmission through the periodic structure. The fabricated lasers exhibit single-mode operation which is tunable through the absorption features of the various target gases by adjustment of the drive current. In addition to the established open-path sensing applications, these devices have great potential for optoelectronic integrated gas sensors, making use of integrated photodetectors and possibly on-chip Si photonics waveguide structures.

  20. Electrodeless excimer laser

    International Nuclear Information System (INIS)

    Lisi, N.

    2001-01-01

    In this paper it is proposed how to build an excimer laser based on an electrodeless discharge (or Dielectric Barrier Discharge). Such laser could operate with a low energy per pulse ( 2 excimer molecule, whose emission wavelength in the VUV range (157 nm) at high reprate is particularly interesting in the micro-lithography field [it

  1. Production of lumber, lath, and shingles in 1917

    Science.gov (United States)

    Franklin H. Smith; Albert H. Pierson

    1919-01-01

    In this bulletin, which is one of an annual series covering the period 1904 to 1917, inclusive, with the exception of 1914, are detailed statistics of the 1917 production of lumber, lath, and shingles in the continental United States, with comparative figures from previous annual reports. The collection and compilation of the statistics for the Western States was done...

  2. Shaping-lathe headrig will stretch shrinking timber supply

    Science.gov (United States)

    J. Gengler; J.D. Saul

    1975-01-01

    The first commercial version of the shaping lathe headrig, designed to machine short hardwood or softwood logs into cants and flakes, was introduced to forest industry executives in September during a working demonstration at Stetson-Ross Machine Co., Seattle. Based on a concept provided by Dr. Peter Koch, chief wood scientist at the Southern Forest Experiment Station...

  3. Traveling-wave laser-produced-plasma energy source for photoionization laser pumping and lasers incorporating said

    Science.gov (United States)

    Sher, Mark H.; Macklin, John J.; Harris, Stephen E.

    1989-09-26

    A traveling-wave, laser-produced-plasma, energy source used to obtain single-pass gain saturation of a photoionization pumped laser. A cylindrical lens is used to focus a pump laser beam to a long line on a target. Grooves are cut in the target to present a surface near normal to the incident beam and to reduce the area, and hence increase the intensity and efficiency, of plasma formation.

  4. Twin-spot laser welding of advanced high-strength multiphase microstructure steel

    Science.gov (United States)

    Grajcar, Adam; Morawiec, Mateusz; Różański, Maciej; Stano, Sebastian

    2017-07-01

    The study addresses the results concerning the laser welding of TRIP (TRansformation Induced Plasticity) steel using a beam focused at two spots (also referred to as twin-spot laser welding). The analysis involved the effect of variable welding thermal cycles on the properties and microstructure of welded joints. The tests were performed using a linear energy of 0.048 and 0.060 kJ/mm and the laser beam power distribution of 50%:50%, 60%:40% and 70%:30%. The tests also involved welding performed using a linear energy of 0.150 kJ/mm and the laser beam power distribution of 70%:30%. In addition, the research included observations of the microstructure of the fusion zone, heat affected zone and the transition zone using light microscopy and scanning electron microscopy. The fusion zone was composed of blocky-lath martensite whereas the HAZ (heat-affected zone) was characterised by the lath microstructure containing martensite, bainite and retained austenite. The distribution of twin-spot laser beam power significantly affected the microstructure and hardness profiles of welded joints. The highest hardness (480-505 HV), regardless of welding variants used, was observed in the HAZ.

  5. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  6. Self-focusing in laser produced spark

    International Nuclear Information System (INIS)

    Bakos, J.S.; Foeldes, I.B.

    1983-05-01

    The self-focusing effect appearing in different phases of development of laser produced breakdown plasma in air is investigated. Self-focusing during the ionization process is demonstrated. Thermal self-focusing was observed in the later stage of the plasma development at moderate light intensities. Plasma development was investigated by forward and side scattering of the laser light in the plasma. A crossed beam experiment gave evidence of the thermal mechanism of self-focusing. (author)

  7. Adventures in Laser Produced Plasma Research

    Energy Technology Data Exchange (ETDEWEB)

    Key, M

    2006-01-13

    In the UK the study of laser produced plasmas and their applications began in the universities and evolved to a current system where the research is mainly carried out at the Rutherford Appleton Laboratory Central Laser Facility ( CLF) which is provided to support the universities. My own research work has been closely tied to this evolution and in this review I describe the history with particular reference to my participation in it.

  8. Rotation of lathe-cut hydrogel lenses on the eye.

    Science.gov (United States)

    Harris, M G; Harris, K L; Ruddell, D

    1976-01-01

    The rotation lathe-cut HydroCurveTM gel contact lens was measured on six eyes to evaluate the parameters that influence lens rotation and to determine if this lens could be used to correct astigmatism. Of the 72 observations made, 73.6% showed some lens rotation, and 33.4% of the sample rotated more than 5 degrees per 10 blinks. Of the observations in which rotation was noted, 88.7% were encyclorotation. These results are similar to those found for spin-cast hydrogel lenses. None of the lens parameters evaluated seemed to be related to lens rotation, whereas the eye parameters studied were. Lenses were more likely to rotate on eyes with smaller corneal diameters, smaller palpebral apertures, and corneal curvatures steeper than 4 3.00 DK (X2, N =72, p less than 0.05). Our findings indicate that some method of lens stabilization will be needed before lathe-cut hydrogel lenses can be used to effectively correct astigmatism.

  9. Crystallographic features of lath martensite in low-carbon steel

    International Nuclear Information System (INIS)

    Kitahara, Hiromoto; Ueji, Rintaro; Tsuji, Nobuhiro; Minamino, Yoritoshi

    2006-01-01

    Electron backscattering diffraction with field-emission scanning electron microscopy was used to analyze crystallographically the lath martensite structure in a 0.20% carbon steel. The crystallographic features of the lath martensite structure, of the order of the prior austenite grain size or larger, were clarified. Although the orientations of the martensite crystals were scattered around the ideal variant orientations, the martensite in this steel maintained the Kurdjumov-Sachs (K-S) orientation relationship. The procedures of the crystallographic analysis of the martensite (ferrite) phase with the K-S orientation relationship were explained in detail. Variant analysis showed that all 24 possible variants did not necessarily appear within a single prior austenite grain and that all six variants did not necessarily appear within each packet. Specific combinations of two variants appeared within local regions (sub-blocks), indicating a strict rule for variant selection. Prior austenite grain boundaries and most of the packet boundaries were clearly recognized. However, it was difficult to determine the block boundaries within the sub-blocks

  10. Shaping-lathe headrig will convert small hardwoods into pallet cants plus flakes for structural exterior flakeboard

    Science.gov (United States)

    Peter Koch

    1975-01-01

    Virtually all nations have an under-utilized resource of small-diameter, low-grade hardwoods of mixed species in a range of densities. The shaping-lathe headrig, now in the final stages of commercialization, is a key to utilizing these hardwoods for pallets, industrial lumber, and-with further development-railroad crossties. Lathe residues in the form of flakes can be...

  11. Interaction between laser-produced plasma and guiding magnetic field

    International Nuclear Information System (INIS)

    Hasegawa, Jun; Takahashi, Kazumasa; Ikeda, Shunsuke; Nakajima, Mitsuo; Horioka, Kazuhiko

    2013-01-01

    Transportation properties of laser-produced plasma through a guiding magnetic field were examined. A drifting dense plasma produced by a KrF laser was injected into an axisymmetric magnetic field induced by permanent ring magnets. The plasma ion flux in the guiding magnetic field was measured by a Faraday cup at various distances from the laser target. Numerical analyses based on a collective focusing model were performed to simulate plasma particle trajectories and then compared with the experimental results. (author)

  12. Laser produced plasma density measurement by Mach-Zehnder interferometry

    International Nuclear Information System (INIS)

    Vaziri, A.; Kohanzadeh, Y.; Mosavi, R.K.

    1976-06-01

    This report describes an optical interferometric method of measuring the refractive index of the laser-produced plasma, giving estimates of its electron density. The plasma is produced by the interaction of a high power pulsed CO 2 laser beam with a solid target in the vacuum. The time varying plasma has a transient electron density. This transient electron density gives rise to a changing plasma refractive index. A Mach-Zehnder ruby laser interferometer is used to measure this refractive index change

  13. New Development in Selective Laser Melting of Ti-6Al-4V: A Wider Processing Window for the Achievement of Fully Lamellar α + β Microstructures

    Science.gov (United States)

    Lui, E. W.; Xu, W.; Pateras, A.; Qian, M.; Brandt, M.

    2017-12-01

    Recent progress has shown that Ti-6Al-4V fabricated by selective laser melting (SLM) can achieve a fully lamellar α + β microstructure using 60 µm layer thickness in the as-built state via in situ martensite decomposition by manipulating the processing parameters. The potential to broaden the processing window was explored in this study by increasing the layer thickness to the less commonly used 90 µm. Fully lamellar α + β microstructures were produced in the as-built state using inter-layer times in the range of 1-12 s. Microstructural features such as the α-lath thickness and morphology were sensitive to both build height and inter-layer time. The α-laths produced using the inter-layer time of 1 s were much coarser than those produced with the inter-layer time of 12 s. The fine fully lamellar α + β structure resulted in tensile ductility of 11% and yield strength of 980 MPa. The tensile properties can be further improved by minimizing the presence of process-induced defects.

  14. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  15. Ionization mechanism of cesium plasma produced by irradiation of dye laser

    International Nuclear Information System (INIS)

    Yamada, Jun; Shibata, Kohji; Uchida, Yoshiyuki; Hioki, Yoshiaki; Sahashi, Toshio.

    1992-01-01

    When a cesium vapor was irradiated by a dye laser which was tuned to the cesium atomic transition line, the number of charged particles produced by the laser radiation was observed. Several sharp peaks in the number of charged particles were observed, which corresponded to the atomic transition where the lower level was the 6P excited atom. The ionization mechanism of the laser-produced cesium plasma has been discussed. An initial electron is produced by laser absorptions of the cesium dimer. When the cesium density is high, many 6P excited atoms are excited by electron collisions. The 6P excited atom further absorbs the laser photon and is ionized through the higher-energy state. As the cesium vapor pressure increases, the resonance effect becomes observable. The 6P excited atom plays dominant role in the ionization mechanism of the laser-produced cesium plasma. (author)

  16. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  17. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  18. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  19. Ferromagnetic nanostructures by laser manipulation

    NARCIS (Netherlands)

    Bosch, R.C.M.

    2002-01-01

    Lithography based on laser focusing of a beam of neutral iron atoms shows great promise for creating nanomagnetic structures. Laser focusing is a relatively new area, where successful experiments have been performed with, e.g., chromium atoms. Iron is perhaps one of the most difficult elements for

  20. Relative ion expansion velocity in laser-produced plasmas

    International Nuclear Information System (INIS)

    Goldsmith, S.; Moreno, J.C.; Griem, H.R.; Cohen, L.; Richardson, M.C.

    1988-01-01

    The spectra of highly ionized titanium, TiXIII through TiXXI, and CVI Lyman lines were excited in laser-produced plasmas. The plasma was produced by uniformly irradiating spherical glass microballoons coated with thin layers of titanium and parylene. The 24-beam Omega laser system produced short, 0.6 ns, and high intensity, 4 x 10 14 W/cm, 2 laser pulses at a wavelength of 351 nm. The measured wavelength for the 2p-3s TiXIII resonance lines had an average shift of +0.023 A relative to the CVI and TiXX spectral lines. No shift was found between the CVI, TiXIX, and TiXX lines. The shift is attributed to a Doppler effect, resulting from a difference of (2.6 +- 0.2) x 10 7 cm/s in the expansion velocities of TiXIX and TiXX ions compared to TiXIII ions

  1. Implementation and verification of a four-probe motion error measurement system for a large-scale roll lathe used in hybrid manufacturing

    International Nuclear Information System (INIS)

    Chen, Yuan-Liu; Niu, Zengyuan; Matsuura, Daiki; Lee, Jung Chul; Shimizu, Yuki; Gao, Wei; Oh, Jeong Seok; Park, Chun Hong

    2017-01-01

    In this paper, a four-probe measurement system is implemented and verified for the carriage slide motion error measurement of a large-scale roll lathe used in hybrid manufacturing where a laser machining probe and a diamond cutting tool are placed on two sides of a roll workpiece for manufacturing. The motion error of the carriage slide of the roll lathe is composed of two straightness motion error components and two parallelism motion error components in the vertical and horizontal planes. Four displacement measurement probes, which are mounted on the carriage slide with respect to four opposing sides of the roll workpiece, are employed for the measurement. Firstly, based on the reversal technique, the four probes are moved by the carriage slide to scan the roll workpiece before and after a 180-degree rotation of the roll workpiece. Taking into consideration the fact that the machining accuracy of the lathe is influenced by not only the carriage slide motion error but also the gravity deformation of the large-scale roll workpiece due to its heavy weight, the vertical motion error is thus characterized relating to the deformed axis of the roll workpiece. The horizontal straightness motion error can also be synchronously obtained based on the reversal technique. In addition, based on an error separation algorithm, the vertical and horizontal parallelism motion error components are identified by scanning the rotating roll workpiece at the start and the end positions of the carriage slide, respectively. The feasibility and reliability of the proposed motion error measurement system are demonstrated by the experimental results and the measurement uncertainty analysis. (paper)

  2. Implementation and verification of a four-probe motion error measurement system for a large-scale roll lathe used in hybrid manufacturing

    Science.gov (United States)

    Chen, Yuan-Liu; Niu, Zengyuan; Matsuura, Daiki; Lee, Jung Chul; Shimizu, Yuki; Gao, Wei; Oh, Jeong Seok; Park, Chun Hong

    2017-10-01

    In this paper, a four-probe measurement system is implemented and verified for the carriage slide motion error measurement of a large-scale roll lathe used in hybrid manufacturing where a laser machining probe and a diamond cutting tool are placed on two sides of a roll workpiece for manufacturing. The motion error of the carriage slide of the roll lathe is composed of two straightness motion error components and two parallelism motion error components in the vertical and horizontal planes. Four displacement measurement probes, which are mounted on the carriage slide with respect to four opposing sides of the roll workpiece, are employed for the measurement. Firstly, based on the reversal technique, the four probes are moved by the carriage slide to scan the roll workpiece before and after a 180-degree rotation of the roll workpiece. Taking into consideration the fact that the machining accuracy of the lathe is influenced by not only the carriage slide motion error but also the gravity deformation of the large-scale roll workpiece due to its heavy weight, the vertical motion error is thus characterized relating to the deformed axis of the roll workpiece. The horizontal straightness motion error can also be synchronously obtained based on the reversal technique. In addition, based on an error separation algorithm, the vertical and horizontal parallelism motion error components are identified by scanning the rotating roll workpiece at the start and the end positions of the carriage slide, respectively. The feasibility and reliability of the proposed motion error measurement system are demonstrated by the experimental results and the measurement uncertainty analysis.

  3. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  4. Computer-Numerical-Control and the EMCO Compact 5 Lathe.

    Science.gov (United States)

    Mullen, Frank M.

    This laboratory manual is intended for use in teaching computer-numerical-control (CNC) programming using the Emco Maier Compact 5 Lathe. Developed for use at the postsecondary level, this material contains a short introduction to CNC machine tools. This section covers CNC programs, CNC machine axes, and CNC coordinate systems. The following…

  5. Optimising hard X-ray generation from laser-produced plasmas

    International Nuclear Information System (INIS)

    Lindheimer, C.

    1995-04-01

    The aim of this work is to increase the X-ray yield for a laser produced plasma by optimising the focusing conditions and temporal shape of the laser pulses. The focusing conditions are improved by introducing a control system that secures the laser target surface to exact focus within a range of a few micrometers, allowing continuously high laser intensity for plasma generation. The temporal shape of the laser pulses is changed by introducing a saturable absorber in the laser beam. The laser produces a substantial pre-pulse that heats and expands the target material prior to main pulse arrival. The saturable absorber can increase the main pulse/pre-pulse ratio of the laser pulse up to four orders of magnitude and consequently reduce expansion of the target material before the main pulse. The belief is that an increase in target density at the time of main pulse arrival will change the energy distribution of the X-rays, towards a more efficient X-ray production in the hard X-ray region. This report and the work connected to it, includes the preliminary measurements and results for these improvements. 17 refs

  6. Widening of Laths in Bainite

    Science.gov (United States)

    Yin, Jiaqing; Hillert, Mats; Borgenstam, Annika

    2017-11-01

    Units of bainite in Fe-C alloys from the upper temperature range inherit their shape from Widmanstätten plates of ferrite, which are lathlike. The thickness increases by long-range diffusion of carbon and the length by short-range diffusion of carbon from the advancing edge of the tip. Both have been studied extensively and are fairly well understood. Widening growth seems to have been much neglected, but a study of some aspects of widening is now presented. The present report is the last one in a series of four morphological studies of bainite, isothermally formed in Fe-C alloys with 0.3 or 0.7 mass pct carbon, mainly in the upper temperature range. It contains a number of morphological observations made on cross sections of packets of bainite, and it elucidated a number of interesting questions about bainite and resulted in some proposals. The ferrite plates in a packet are nucleated as a group on a grain boundary, not each one separately on the side of a prior plate. Lengthening occurs by advancement of a short edge that is formed in close contact to the grain boundary. Widening of laths does not start spontaneously. It is initiated by a modification of the structure of the long edge of the lath. When it then moves, the lattice of the new ferrite is rotated relative to the ferrite formed by lengthening and the habit plane is different. In a section through the length direction, it is difficult to recognize what part of ferrite has formed by widening growth. Furthermore, it is proposed that the individual plates in a microstructure, previously used to illustrate subunits formed by repeated nucleation, were nucleated on a hidden grain boundary.

  7. Relative performance of soft contact lenses having lathe-cut posterior surfaces with and without additional polishing.

    Science.gov (United States)

    O'Brien, C; Charman, W N

    2006-05-01

    After a preliminary investigation of the effects of tool feed rate and spindle speed on the surface roughness of unhydrated, lathe-cut polymacon surfaces, a laboratory and clinical comparison was made between lenses with identical parameters except that the lathe-cut posterior surface was left unpolished in the "test" lenses and was polished in the "control" lenses. The lenses had moulded anterior surfaces. Laboratory comparisons included surface roughness, lens power and its uniformity across the surface. Double-blind clinical trials over 4-hour (27 subjects) and 1-month (10 subjects) periods, involved one eye of each subject wearing a "test" lens and the other, a "control" lens. No clinically significant differences were found between the results for the test and control lenses. It is concluded that today's lathing technology makes a final polishing stage unnecessary.

  8. [The spectra of a laser-produced plasma source with CO2, O2 and CF4 liquid aerosol spray target].

    Science.gov (United States)

    Ni, Qi-Liang; Chen, Bo

    2008-11-01

    A laser-produced plasma (LPP) source with liquid aerosol spray target and nanosecond laser was developed, based on both soft X-ray radiation metrology and extreme ultraviolet projection lithography (EUVL). The LPP source is composed of a stainless steel solenoid valve whose temperature can be continuously controlled, a Nd : YAG laser with pulse width, working wavelength and pulse energy being 7 ns, 1.064 microm and 1J respectively, and a pulse generator which can synchronously control the valve and the laser. A standard General Valve Corporation series 99 stainless steel solenoid valve with copper gasket seals and a Kel-F poppet are used in order to minimize leakage and poppet deformation during high-pressure cryogenic operation. A close fitting copper cooling jacket surrounds the valve body. The jacket clamps a copper coolant carrying tube 3 mm in diameter, which is fed by an automatically pressurized liquid nitrogen-filled dewar. The valve temperature can be controlled between 77 and 473 K. For sufficiently high backing pressure and low temperature, the valve reservoir gas can undergo a gas-to-liquid phase transition. Upon valve pulsing, the liquid is ejected into a vacuum and breaks up into droplets, which is called liquid aerosol spray target. For the above-mentioned LPP source, firstly, by the use of Cowan program on the basis of non-relativistic quantum mechanics, the authors computed the radiative transition wavelengths and probabilities in soft X-ray region for O4+, O5+, O6+, O7+, F5+, F6+ and F7+ ions which were correspondingly produced from the interaction of the 10(11)-10(12) W x cm(-2) power laser with liquid O2, CO2 and CF4 aerosol spray targets. Secondly, the authors measured the spectra of liquid O2, CO2 and CF4 aerosol spray target LPP sources in the 6-20 nm band for the 8 x 10(11) W x cm(-2) laser irradiance. The measured results were compared with the Cowan calculated results ones, and the radiative transition wavelength and probability for the

  9. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  10. Selective role of bainitic lath boundary in influencing slip systems and consequent deformation mechanisms and delamination in high-strength low-alloy steel

    Science.gov (United States)

    Liu, S.; Li, X.; Guo, H.; Yang, S.; Wang, X.; Shang, C.; Misra, R. D. K.

    2018-04-01

    We elucidate here the deformation behaviour and delamination phenomenon in a high-strength low-alloy bainitic steel, in terms of microstructure, texture and stress evolution during deformation via in situ electron back-scattered diffraction and electron microscopy. Furthermore, the selective role of bainitic lath boundary on slip systems was studied in terms of dislocation pile-up and grain boundary energy models. During tensile deformation, the texture evolution was concentrated at {1 1 0} and the laths were turn parallel to loading direction. The determining role of lath on the deformation behaviour is governed by length/thickness (l/t) ratio. When l/t > 28, the strain accommodates along the bainite lath rather than along the normal direction. The delamination crack initiated normal to (0 1 1) plane, and become inclined to (0 1 1) plane with continued strain along (0 1 1) plane and lath plane. This indicated that the delamination is not brittle process but plastic process. The lack of dimples at the delaminated surface is because of lack of strain normal to the direction of lath. The delaminated (0 1 1) planes were associated with cleavage along the (1 0 0) plane.

  11. The important role of martensite laths to fracture toughness for the ductile fracture controlled by the strain in EA4T axle steel

    International Nuclear Information System (INIS)

    Liang, Yilong; Long, Shaolei; Xu, Pingwei; Lu, Yemao; Jiang, Yun; Liang, Yu; Yang, Ming

    2017-01-01

    The Hall-Petch relationship was used to investigate the role of martensite lath on fracture toughness (K IC ) during ductile fracture in a low-carbon EA4T axle steel. The hierarchical structures of lath martensite was clarified by means of optical microscope (OM), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and electron backscattering diffraction (EBSD). Firstly, in such hierarchical structures, packet size (d p ) and block size (d b ) increase significantly with the size of prior austenite (d r ), while the martensite lath width (d l ) decreases. Subsequently, K IC was measured and follows the Hall-Petch relationship with d l . It depends on the rotation, bending and direct shear during crack propagation of laths, confirmed by EBSD. Besides, fracture toughness (K IC ) is proportional to a parameter ε v , the matrix strain, which is related to the plastic deformation of laths. Therefore, the martensite lath in hierarchical structures is the effective control unit of K IC during ductile fracture controlled by the strain.

  12. The important role of martensite laths to fracture toughness for the ductile fracture controlled by the strain in EA4T axle steel

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Yilong, E-mail: liangyilong@126.com [College of Materials Science and Metallurgical Engineering, Guizhou University (China); Guizhou key Laboratory for Mechanical Behavior and Microstructure of Materials (China); National & Local Joint Engineering Laboratory for High-performance Metal Structure Material and Advanced Manufacturing Technology (China); Long, Shaolei; Xu, Pingwei; Lu, Yemao [College of Materials Science and Metallurgical Engineering, Guizhou University (China); Guizhou key Laboratory for Mechanical Behavior and Microstructure of Materials (China); National & Local Joint Engineering Laboratory for High-performance Metal Structure Material and Advanced Manufacturing Technology (China); Jiang, Yun [Guizhou key Laboratory for Mechanical Behavior and Microstructure of Materials (China); National & Local Joint Engineering Laboratory for High-performance Metal Structure Material and Advanced Manufacturing Technology (China); Liang, Yu; Yang, Ming [College of Materials Science and Metallurgical Engineering, Guizhou University (China); Guizhou key Laboratory for Mechanical Behavior and Microstructure of Materials (China); National & Local Joint Engineering Laboratory for High-performance Metal Structure Material and Advanced Manufacturing Technology (China)

    2017-05-17

    The Hall-Petch relationship was used to investigate the role of martensite lath on fracture toughness (K{sub IC}) during ductile fracture in a low-carbon EA4T axle steel. The hierarchical structures of lath martensite was clarified by means of optical microscope (OM), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and electron backscattering diffraction (EBSD). Firstly, in such hierarchical structures, packet size (d{sub p}) and block size (d{sub b}) increase significantly with the size of prior austenite (d{sub r}), while the martensite lath width (d{sub l}) decreases. Subsequently, K{sub IC} was measured and follows the Hall-Petch relationship with d{sub l}. It depends on the rotation, bending and direct shear during crack propagation of laths, confirmed by EBSD. Besides, fracture toughness (K{sub IC}) is proportional to a parameter ε{sub v}, the matrix strain, which is related to the plastic deformation of laths. Therefore, the martensite lath in hierarchical structures is the effective control unit of K{sub IC} during ductile fracture controlled by the strain.

  13. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  14. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  15. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  16. Structural and optical properties of WO{sub 3} sputtered thin films nanostructured by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Castro-Hurtado, I., E-mail: ichurtado@ceit.es [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Tavera, T.; Yurrita, P.; Pérez, N. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Rodriguez, A. [CIC microGUNE Goiru kalea 9, Polo de Innovación Garaia, 20500 Arrasate-Mondragón (Spain); Mandayo, G.G.; Castaño, E. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain)

    2013-07-01

    A study of the influence of annealing temperature on the structural, morphological and optical properties of WO{sub 3} thin films is presented. The coatings are deposited by RF reactive magnetron sputtering and characterized by XRD analysis and FESEM. The XRD diagrams of the samples show a phase transition from tetragonal to monoclinic when the annealing temperature is raised from 800 to 900 °C. Moreover, the increase of the annealing temperature to 800 °C favors the presence of a granular structure on the surface of the film. A decrease in the optical energy band gap (3.65–3.5 eV and 3.5–3.05 eV for direct and indirect transitions respectively) with annealing temperature has been measured employing Tauc's relation. Furthermore, WO{sub 3} thin films are processed by laser interference lithography (LIL) and periodic nanostructures are obtained. The processed films are characterized by a hexagonal symmetry with a period of 340 nm and the diameter of the nanostructured holes of 150 nm. These films show improved morphological properties of interest in several applications (gas sensors, photonic crystals, etc.) independent of the annealing temperature.

  17. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  18. Air Trapping Mechanism in Artificial Salvinia-Like Micro-Hairs Fabricated via Direct Laser Lithography

    Directory of Open Access Journals (Sweden)

    Omar Tricinci

    2017-12-01

    Full Text Available Salvinia leaves represent an extraordinary example of how nature found a strategy for the long term retainment of air, and thus oxygen, on a surface, the so-called ‘Salvinia effect’, thanks to the peculiar three-dimensional and hierarchical shape of the hairs covering the leaves. Here, starting from the natural model, we have microfabricated hairs inspired by those present on the Salvinia molesta leaves, by means of direct laser lithography. Artificial hairs, like their natural counterpart, are composed of a stalk and a crown-like head, and have been reproduced in the microscale since this ensures, if using a proper design, an air-retaining behavior even if the bulk structural material is hydrophilic. We have investigated the capability of air retainment inside the heads of the hairs that can last up to 100 h, demonstrating the stability of the phenomenon. For a given dimension of the head, the greater the number of filaments, the greater the amount of air that can be trapped inside the heads since the increase in the number of solid–air interfaces able to pin the liquid phase. For this reason, such type of pattern could be used for the fabrication of surfaces for controlled gas retainment and gas release in liquid phases. The range of applications would be quite large, including industrial, medical, and biological fields.

  19. Application of escape probability to line transfer in laser-produced plasmas

    International Nuclear Information System (INIS)

    Lee, Y.T.; London, R.A.; Zimmerman, G.B.; Haglestein, P.L.

    1989-01-01

    In this paper the authors apply the escape probability method to treat transfer of optically thick lines in laser-produced plasmas in plan-parallel geometry. They investigate the effect of self-absorption on the ionization balance and ion level populations. In addition, they calculate such effect on the laser gains in an exploding foil target heated by an optical laser. Due to the large ion streaming motion in laser-produced plasmas, absorption of an emitted photon occurs only over the length in which the Doppler shift is equal to the line width. They find that the escape probability calculated with the Doppler shift is larger compared to the escape probability for a static plasma. Therefore, the ion streaming motion contributes significantly to the line transfer process in laser-produced plasmas. As examples, they have applied escape probability to calculate transfer of optically thick lines in both ablating slab and exploding foil targets under irradiation of a high-power optical laser

  20. Characterization of hard coatings produced by laser cladding using laser-induced breakdown spectroscopy technique

    Energy Technology Data Exchange (ETDEWEB)

    Varela, J.A.; Amado, J.M.; Tobar, M.J.; Mateo, M.P.; Yañez, A.; Nicolas, G., E-mail: gines@udc.es

    2015-05-01

    Highlights: • Chemical mapping and profiling by laser-induced breakdown spectroscopy (LIBS) of coatings produced by laser cladding. • Production of laser clads using tungsten carbide (WC) and nickel based matrix (NiCrBSi) powders. • Calibration by LIBS of hardfacing alloys with different WC concentrations. - Abstract: Protective coatings with a high abrasive wear resistance can be obtained from powders by laser cladding technique, in order to extend the service life of some industrial components. In this work, laser clad layers of self-fluxing NiCrBSi alloy powder mixed with WC powder have been produced on stainless steel substrates of austenitic type (AISI 304) in a first step and then chemically characterized by laser-induced breakdown spectroscopy (LIBS) technique. With the suitable laser processing parameters (mainly output power, beam scan speed and flow rate) and powders mixture proportions between WC ceramics and NiCrBSi alloys, dense pore free layers have been obtained on single tracks and on large areas with overlapped tracks. The results achieved by LIBS technique and applied for the first time to the analysis of laser clads provided the chemical composition of the tungsten carbides in metal alloy matrix. Different measurement modes (multiple point analyses, depth profiles and chemical maps) have been employed, demonstrating the usefulness of LIBS technique for the characterization of laser clads based on hardfacing alloys. The behavior of hardness can be explained by LIBS maps which evidenced the partial dilution of some WC spheres in the coating.

  1. Production Machine Shop Employment Competencies. Part Three: The Engine Lathe.

    Science.gov (United States)

    Bishart, Gus; Werner, Claire

    Competencies for production machine shop are provided for the third of four topic areas: the engine lathe. Each competency appears in a one-page format. It is presented as a goal statement followed by one or more "indicator" statements, which are performance objectives describing an ability that, upon attainment, will establish…

  2. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  3. Functionally graded materials produced with high power lasers

    NARCIS (Netherlands)

    De Hosson, J. T. M.; Ocelik, V.; Chandra, T; Torralba, JM; Sakai, T

    2003-01-01

    In this keynote paper two examples will be present of functionally graded materials produced with high power Nd:YAG lasers. In particular the conditions for a successful Laser Melt Injection (LMI) of SiC and WC particles into the melt pool of A18Si and Ti6Al4V alloys are presented. The formation of

  4. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  5. Fabrication and characterization of one- and two-dimensional regular patterns produced employing multiple exposure holographic lithography

    DEFF Research Database (Denmark)

    Tamulevičius, S.; Jurkevičiute, A.; Armakavičius, N.

    2017-01-01

    In this paper we describe fabrication and characterization methods of two-dimensional periodic microstructures in photoresist with pitch of 1.2 urn and lattice constant 1.2-4.8 μm, formed using two-beam multiple exposure holographic lithography technique. The regular structures were recorded empl...

  6. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  7. SOME CONSIDERATIONS REGARDING THE STRENGTH CALCULUS OF A LATHE TOOL

    Directory of Open Access Journals (Sweden)

    Catălin ROŞU

    2013-05-01

    Full Text Available In this paper a strength calculus of a lathe tool is made. The main purpose of this study is to determineequivalent stress relations that can be useful for an engineer in the design situation. The simplifying assumptionsand the equivalent stress relations are presented from an original point of view.

  8. Accurate measurement of the orientation relationship of lath martensite and bainite by electron backscatter diffraction analysis

    International Nuclear Information System (INIS)

    Miyamoto, G.; Takayama, N.; Furuhara, T.

    2009-01-01

    A new method to determine the orientation relationship between martensite and bainite with the parent austenite is developed based on electron backscatter diffraction analysis. This method can determine the orientation relationship accurately without the presence of retained austenite, and is applicable to lath martensite and bainite in low-alloyed carbon steels. The angles between close-packed directions are about 3 o for lath martensite regardless of the carbon content, while the angles between close-packed planes become smaller with increasing carbon content.

  9. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  10. Magnetic confinement of laser produced LiH plasma in LITE

    International Nuclear Information System (INIS)

    Ard, W.B.; Stufflebeam, J.H.; Tomlinson, R.G.

    1976-01-01

    In the LITE experiment, a hot, dense plasma produced by laser heating of an approximately 100 μ dia LiH particle is used to fill a minimum-B baseball coil mirror magnetic containment field. The confined laser produced plasma subsequently serves as the target for an energetic neutral hydrogen beam in experiments to investigate the target plasma buildup approach for creating and sustaining an equilibrium, steady state mirror fusion plasma. In the experiments, the LiH particle is positioned in vacuum at the laser beam focus by a feedback particle suspension system and heated by two sided irradiation with the focused dual beam, 50 j, 7 nsec output of a Q-switched Nd-glass laser. The energy density of the laser produced plasma is initially much greater than that of the surrounding magnetic field and the plasma expands, converting its internal energy into expansion kinetic energy and displacement of the magnetic field. As the energy density falls below that of the magnetic field, the expansion is stopped and the plasma becomes trapped, making the transition to a low beta, mirror confined plasma. This report is concerned with the properties and behavior of the plasma in the confinement stage

  11. Measurement of Debye length in laser-produced plasma.

    Science.gov (United States)

    Ehler, W.

    1973-01-01

    The Debye length of an expanded plasma created by placing an evacuated chamber with an entrance slit in the path of a freely expanding laser produced plasma was measured, using the slab geometry. An independent measurement of electron density together with the observed value for the Debye length also provided a means for evaluating the plasma electron temperature. This temperature has applications in ascertaining plasma conductivity and magnetic field necessary for confinement of the laser produced plasma. Also, the temperature obtained would be useful in analyzing electron-ion recombination rates in the expanded plasma and the dynamics of the cooling process of the plasma expansion.

  12. Optical spectroscopy of laser-produced plasmas for standoff isotopic analysis

    Energy Technology Data Exchange (ETDEWEB)

    Harilal, Sivanandan S.; Brumfield, Brian E.; LaHaye, Nicole L.; Hartig, Kyle C.; Phillips, Mark C.

    2018-04-20

    This review article covers the present status of isotope detection through emission, absorption, and fluorescence spectroscopy of atoms and molecules in a laser-produced plasma formed from a solid sample. A description of the physics behind isotope shifts in atoms and molecules is presented, followed by the physics behind solid sampling of laser ablation plumes, optical methods for isotope measurements, the suitable physical conditions of laser-produced plasma plumes for isotopic analysis, and the current status. Finally, concluding remarks will be made on the existing gaps between previous works in the literature and suggestions for future work.

  13. Relativistic derivation of the ponderomotive force produced by two intense laser fields

    International Nuclear Information System (INIS)

    Stroscio, M.A.

    1985-01-01

    The ponderomotive force plays a fundamental role in the absorption of laser light on self-consistent plasma density profiles, in multiple-photon ionization, and in intense field electrodynamics. The relativistic corrections to the ponderomotive force of a transversely polarized electromagnetic wave lead to an approximately 20-percent reduction in the single particle ponderomotive force produced by a 10-γm 10 16 -W/cm 2 laser field. Recent experimental investigations are based on using two intense laser fields to produce desired lasermatter interactions. This paper presents the first derivation of the nonlinear relativistic ponderomotive force produced by two intense laser fields. The results demonstrate that relativistic ponderomotive forces are not additive

  14. Simulations of X-ray transmission through laser-produced plasmas

    International Nuclear Information System (INIS)

    Yan Jun; Qu Yizhi; Li Jiaming

    1999-01-01

    Using the detailed configuration accounting with the term structures treated by the unresolved transition array model, the authors present a method to calculate the transmission spectra for high-power laser-produced plasmas in local thermodynamic equilibrium. Based on the quantum defect theory, the UTA parameters with high principal quantum numbers can be conveniently calculated with much less computational efforts. This ensure us to handle a huge number of transition arrays from many configurations occurring in typical laser-produced middle-Z and high-Z plasmas

  15. Rare-earth-ion-doped ultra-narrow-linewidth lasers on a silicon chip and applications to intra-laser-cavity optical sensing

    NARCIS (Netherlands)

    Bernhardi, Edward; de Ridder, R.M.; Worhoff, Kerstin; Pollnau, Markus

    We report on diode-pumped distributed-feedback (DFB) and distributed-Bragg-reflector (DBR) channel waveguide lasers in Er-doped and Yb-doped Al2O3 on standard thermally oxidized silicon substrates. Uniform surface-relief Bragg gratings were patterned by laser-interference lithography and etched into

  16. Radiation swelling of steels with lath martensite-austenic structure

    International Nuclear Information System (INIS)

    Sagaradze, V.V.; Pavlov, V.A.; Alyab'ev, V.M.; Lapin, S.S.; Ermishkin, V.A.; Antonova, O.V.

    1987-01-01

    Influence of electron radiation in the column of the JEM-1000 electron microscope on radiation swelling of austenite as austenitic fields and thin plates surrounded by α-martensite crystals is investigated. Formation of lath structure of alternating dispersive plates of martensite and invert austenite formed as a result of partial inverse martensite transformation α→γ is shown to restrain radiation swelling and formation of vacancy voids in stainless steels

  17. Narrow-linewidth lasers on a silicon chip

    NARCIS (Netherlands)

    Bernhardi, Edward; Pollnau, Markus; Di Bartolo, Baldassare; Collins, John; Silvestri, Luciano

    2015-01-01

    Diode-pumped distributed-feedback (DFB) channel waveguide lasers were demonstrated in Er3+-doped and Yb3+-doped Al2O3 on standard thermally ox-idized silicon substrates. Uniform surface-relief Bragg gratings were patterned by laser-interference lithography and etched into the SiO2 top cladding. The

  18. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  19. Recent Progress on Laser Produced Positron Research At LLN

    Science.gov (United States)

    Chen, Hui; Hermann, M.; Kalantar, D.; Kemp, A.; Link, A.; Jiang, S.; Martinez, D.; Park, J.; Remington, B.; Sherlock, M.; Williams, Gj; Beg, F.; Edghill, B.; Fedosejevs, R.; Kerr, S.; D'Humieres, E.; Fiuza, F.; Willingale, L.; Fiksel, G.; Nakai, N.; Arikawa, Y.; Morace, A.; Sentoku, Y.

    2017-10-01

    We report the recent results on laser-produced relativistic electron-positron plasma jets. This includes: the prepulse and material dependence of pair generation; time dependent positron acceleration and maximum achieved pair density. We will highlight the results from recent experiments on the Omega EP laser testing nanostructured target to increase pair yield. We will also report on a newly commissioned platform using the NIF ARC lasers which was developed for efficient pair creation using 10 ps laser duration at near relativistic laser intensity. This work was performed under the auspices of the U.S. DOE by LLNL under Contract DE-AC52-07NA27344, and funded by LDRD (#17-ERD-010).

  20. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  1. Plasmonic colour laser printing

    DEFF Research Database (Denmark)

    Zhu, Xiaolong; Vannahme, Christoph; Højlund-Nielsen, Emil

    2016-01-01

    -beam lithography (EBL) or focused ion beam (FIB), both expensive and not scalable processes that are not suitable for post-processing customization. Here we show a method of colour printing on nanoimprinted plasmonic metasurfaces using laser post-writing. Laser pulses induce transient local heat generation...... that leads to melting and reshaping of the imprinted nanostructures. Depending on the laser pulse energy density, different surface morphologies that support different plasmonic resonances leading to different colour appearances can be created. Using this technique we can print all primary colours...

  2. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    Science.gov (United States)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  3. Lathe creates hardwood flakes for manufacture of "super strong" flakeboard

    Science.gov (United States)

    P. Koch

    1973-01-01

    Most industry members got their first look at a prototype of the Koch lathe at this year's Southern Forest Products Assn. Machinery Exhibition held in Atlanta. With the residue from this machine, Dr. Peter Koch, project leader at the Southern Forest Experiment Station in Pineville, LA thinks it will be possible to create a flake that can be used for making a...

  4. Design and analysis of drum lathe for manufacturing large-scale optical microstructured surface and load characteristics of aerostatic spindle

    Science.gov (United States)

    Wu, Dongxu; Qiao, Zheng; Wang, Bo; Wang, Huiming; Li, Guo

    2014-08-01

    In this paper, a four-axis ultra-precision lathe for machining large-scale drum mould with microstructured surface is presented. Firstly, because of the large dimension and weight of drum workpiece, as well as high requirement of machining accuracy, the design guidelines and component parts of this drum lathe is introduced in detail, including control system, moving and driving components, position feedback system and so on. Additionally, the weight of drum workpiece would result in the structural deformation of this lathe, therefore, this paper analyses the effect of structural deformation on machining accuracy by means of ANSYS. The position change is approximately 16.9nm in the X-direction(sensitive direction) which could be negligible. Finally, in order to study the impact of bearing parameters on the load characteristics of aerostatic journal bearing, one of the famous computational fluid dynamics(CFD) software, FLUENT, is adopted, and a series of simulations are carried out. The result shows that the aerostatic spindle has superior performance of carrying capacity and stiffness, it is possible for this lathe to bear the weight of drum workpiece up to 1000kg since there are two aerostatic spindles in the headstock and tailstock.

  5. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  6. Thermally activated growth of lath martensite in Fe–Cr–Ni–Al stainless steel

    DEFF Research Database (Denmark)

    Villa, Matteo; Hansen, Mikkel Fougt; Pantleon, Karen

    2015-01-01

    The austenite to martensite transformation in a semi-austenitic stainless steel containing 17 wt-%Cr, 7 wt-%Ni and 1 wt-%Al was investigated with vibrating sample magnetometry and electron backscatter diffraction. Magnetometry demonstrated that, within experimental accuracy, martensite formation...... can be suppressed on fast cooling to 77 K as well as on subsequent fast heating to 373 K. Surprisingly, martensite formation was observed during moderate heating from 77 K, instead. Electron backscatter diffraction demonstrated that the morphology of martensite is lath type. The kinetics...... of the transformation is interpreted in terms of athermal nucleation of lath martensite followed by thermally activated growth. It is anticipated that substantial autocatalytic martensite formation occurs during thermally activated growth. The observation of a retardation of the transformation followed by a new...

  7. Research Amplitudo Vibration On Holder Due To The Process Of Lathe Nozzle Rocket RX 450

    Science.gov (United States)

    Ediwan; Budi Djatmiko, Agus; Dody Arisandi, EfFendy; Purnomo, Heri; Ibadi, Mahfud

    2018-04-01

    The main function of the rocket nozzle is to convert the enthalpy efficiency from combustion gas to kinetic energy and also to make high velocity out of the gas. The rocket nozzle usually consists of a converging and diverging part. With a smaller area on the neck and enlarged at the exit area. The velocity flow through the nozzle enlarges into the speed of sound through the neck and then becomes super sonic in the divergent part. Nozzle making or machining using conventional lathes, first performed is drilling on a massive metal that is bonded to the veneer, then after a sufficient gap is done deep-boring. At the time of the process of lathe in the nozzle RX 450 there is an obstacle that is vibrating tool holder chisel or holder so it is worried about not precision of the process of lathe. This should not happen because it can cause failure in the latter for it needs to be studied and studied further so that the lathe process goes accordingly. The holder material of ST 60 with a modulus of elasticity 200 GPa and a nozzle material of AISI 4340 alloy steel with σyield = 470 MPa, Shear Modulus G = 80 GPa. The purpose of this research is to observe the amplitude of vibration on the holder due to RX- 450 nozzle lathe processing for the purpose of amplitude that occurs in accordance with the desired so that the nozzle structure is no damage process. The result of the research was obtained holder with length (L) 80cm, profile width (B) 5 cm, height of profile (H) 10 cm, turning machine ω = 8.98 rad / sec and natural holder frequency ωn = 89.8 rad / second, Amplitude of vibration of δ = 1.21 mm, while the amplitude of the design X = 1.22 mm From the results of this study it can be said that the holder of a chisel or holder can be used as a tool at the time of RX nozzle retrieval process and is quite safe because it works under the condition ω/ω n Rocket Payload "AKPV Engineering University of Wyoming 2009 )

  8. 201Hg excitation in plasma produced by laser

    International Nuclear Information System (INIS)

    Comet, Maxime

    2014-01-01

    The use of high power lasers allows the study of the properties of matter in extreme conditions of temperature and density. Indeed, the interaction of a power laser and a target creates a plasma in which the temperature is high enough to reach important degrees of ionization. These conditions can allow the excitation of the nucleus. A nucleus of interest to study the processes of nuclear excitation is the 201 Hg. This work aims to design an experiment where the 201 Hg excitation will be observed in a plasma produced by a high power laser. The first part of this manuscript presents the calculation of the expected nuclear excitation rates in the plasma. For about ten years, nuclear excitation rates have been calculated using the average atom model. To validate this model a code named ADAM (French acronym for Beyond The Average Atom Model) was developed to calculate the nuclear excitation rates under the DCA (Detailed Configuration Accounting) hypothesis. ADAM allows us to deduce the thermo dynamical domain where the nuclear excitation rates determined with the average atom model are relevant. The second part of this manuscript presents the coupling of the excitation rate calculation with a hydrodynamic code to calculate the number of excited nuclei produced in one laser shot for different laser intensity. Finally, in the last part, first experimental approaches which will be used to design an experiment on a laser installation are presented. These approaches are based on the detection and determination of the amount of multicharged ions obtained far from the target (∼80 cm). For this purpose, an electrostatic analyzer was used. (author) [fr

  9. Applications of soft x-ray lasers

    International Nuclear Information System (INIS)

    Skinner, C.H.

    1993-01-01

    The high brightness and short pulse duration of soft x-ray lasers provide unique advantages for novel applications. Imaging of biological specimens using x-ray lasers has been demonstrated by several groups. Other applications to fields such as chemistry, material science, plasma diagnostics, and lithography are beginning to emerge. We review the current status of soft x-ray lasers from the perspective of applications, and present an overview of the applications currently being developed

  10. Automated aberration correction of arbitrary laser modes in high numerical aperture systems.

    Science.gov (United States)

    Hering, Julian; Waller, Erik H; Von Freymann, Georg

    2016-12-12

    Controlling the point-spread-function in three-dimensional laser lithography is crucial for fabricating structures with highest definition and resolution. In contrast to microscopy, aberrations have to be physically corrected prior to writing, to create well defined doughnut modes, bottlebeams or multi foci modes. We report on a modified Gerchberg-Saxton algorithm for spatial-light-modulator based automated aberration compensation to optimize arbitrary laser-modes in a high numerical aperture system. Using circularly polarized light for the measurement and first-guess initial conditions for amplitude and phase of the pupil function our scalar approach outperforms recent algorithms with vectorial corrections. Besides laser lithography also applications like optical tweezers and microscopy might benefit from the method presented.

  11. A Comparative Study of the Retentive Strengths of Commercial and Indigenously Developed Luting Cements using Both Lathe-cut and Clinically Simulated Specimens.

    Science.gov (United States)

    Mathew, Joe; Kurian, Byju P; Philip, Biju; Mohammed, Sunil; Menon, Preetha; Raj, Rajan S

    2016-08-01

    Superior adhesive strength in luting agents is of paramount significance in fixed partial denture success. In this in vitro study five cements were tested for retentive qualities, using both lathe-cut and hand-prepared specimens. A total of 104 freshly extracted tooth specimens were prepared. Seventy of them were lathe-cut and 30 specimens were hand-prepared to simulate clinical conditions. Five different cements were tested, which included a compomer, a composite, a zinc phosphate, and 2 glass-ionomer luting cements. Of the 5, 2 trial cements were indigenously developed by Sree Chitra Tirunal Institute for Medical Sciences and Technology (SCTIMST), Trivandrum, India - a glass-ionomer cement (Chitra GIC) and a chemical-cure composite (Chitra CCC). All cements were compared within each group and between groups (lathe-prepared and hand-prepared). GC Fuji 1 (GC America) exhibited superior retentive strengths in both lathe-cut and hand-prepared specimens, whereas the compomer cement displayed the lowest values when tested. In lathe-cut specimens, statistical analysis showed no significant difference between GC Fuji 1 and indigenously developed Chitra CCC. Both Chitra CCC and GC Fuji 1 have comparable strengths in lathe-cut samples, making Chitra CCC a potential luting agent. Statistical analysis reveals that all cements, except GC Fuji 1, exhibited a significant decrease in strength due to the change in design uniformity. The chemical bonding of GC Fuji 1 proves to be quite strong irrespective of shape and precision of the tooth crown. The indigenously developed Chitra GIC and Chitra CCC showed promising results to be used as a potential luting agent.

  12. Experience with the lathe cut Bausch & Lomb Soflens: Part II--Power and optics study.

    Science.gov (United States)

    Weissman, B A; Levinson, A

    1978-04-01

    Ten familiar spin cast and ten lathe cut Bausch & Lomb SOFLENS contact lenses were measured as to their power on a lensometer and on an eye. Both quality of the optics and quantitative measurements were considered. Lens flexure and the presence of a fluid lens between the posterior surface of the contact lens and the anterior cornea is indicated for both lenses to explain differences between power of the lens in air and on the eye. The spin cast lens design appears to create a quantitatively larger fluid lens, and one which will add positive optical power to the lens/eye system. Either from this and/or additional factors, the lathe cut lens appears to give improved optical performance both in air and on the eye.

  13. shaping-lathe headrig yields solid and molded-flake hardwood products

    Science.gov (United States)

    Peter Koch; R.A. Caughey

    1978-01-01

    A shaping-lathe headrig, operated one shift daily, can be used to manufacture hardwood cants to be resawed into pallet shook, one-piece and dowel-laminated crossties, posts and rails, and other solid wood products in lengths from 6 to 9 feet. Residual flakes machined by the headrig supply a three-shift operation in which molded pallets and 4- by 8-foot sheets of...

  14. CAI System with Multi-Media Text Through Web Browser for NC Lathe Programming

    Science.gov (United States)

    Mizugaki, Yoshio; Kikkawa, Koichi; Mizui, Masahiko; Kamijo, Keisuke

    A new Computer Aided Instruction (CAI) system for NC lathe programming has been developed with use of multi-media texts including movies, animations, pictures, sound and texts through Web browser. Although many CAI systems developed previously for NC programming consist of text-based instructions, it is difficult for beginners to learn NC programming with use of them. In the developed CAI system, multi-media texts are adopted for the help of users' understanding, and it is available through Web browser anytime and anywhere. Also the error log is automatically recorded for the future references. According to the NC programming coded by a user, the movement of the NC lathe is animated and shown in the monitor screen in front of the user. If its movement causes the collision between a cutting tool and the lathe, some sound and the caution remark are generated. If the user makes mistakes some times at a certain stage in learning NC, the corresponding suggestion is shown in the form of movies, animations, and so forth. By using the multimedia texts, users' attention is kept concentrated during a training course. In this paper, the configuration of the CAI system is explained and the actual procedures for users to learn the NC programming are also explained too. Some beginners tested this CAI system and their results are illustrated and discussed from the viewpoint of the efficiency and usefulness of this CAI system. A brief conclusion is also mentioned.

  15. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  16. New self-assembly strategies for next generation lithography

    Science.gov (United States)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  17. Hot electron effects on the satellite spectrum of laser-produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Abdallah, J. [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM (United States); Faenov, A.Y.; Pikuz, T.A. [MISDC, NPO ' VNIIFTRI' , Mendeleevo, Moscow Region, 141570 (Russian Federation); Wilke, M.D.; Kyrala, G.A.; Clark, R.E.H. [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM (United States)

    1999-05-01

    In laser-produced plasmas, the interaction of the intense laser light with plasma electrons can produce high-energy superthermal electrons with energies in the keV range. These hot electrons can influence the level populations which determine spectral line structure. In the present paper, the effect of hot electrons on the X-ray satellite spectrum of laser-produced plasmas is studied. Calculated spectra are compared with experimental observations. Magnesium targets irradiated by three different types of laser pulses are considered. These include, a high-intensity 600 fs Nd-glass laser, a 1 ns Nd-glass laser, and a 2ns CO{sub 2} laser. The Nd-glass laser experiments were conducted recently at the Los Alamos Trident Facility and the CO{sub 2} data were recorded by MISDC. High-resolution spectra were measured near the He-like resonance line of magnesium. The calculations employ an electron energy distribution which includes a thermal and a hot electron component, as part of a detailed collisional-radiative model. Plasma parameters including electron temperature, density, and hot electron fraction are estimated by choosing best fits to the experimental measurements. The calculations show that hot electrons can cause several anomalous effects. The Li-like jkl, abcd, and qr satellites can show intensities which are generally attributed to electron densities in excess of 10{sup 23} cm{sup -3}. In addition, the relative amplitude of the intercombination line can be unusually large even at high electron densities due to enhanced collisional excitation of the 1s2p{sup 3}P state by hot electrons. (Copyright (c) 1999 Elsevier Science B.V., Amsterdam. All rights reserved.)

  18. Slide-position errors degrade machined optical component quality

    International Nuclear Information System (INIS)

    Arnold, J.B.; Steger, P.J.; Burleson, R.R.

    1975-01-01

    An ultraprecision lathe is being developed at the Oak Ridge Y-12 Plant to fabricate optical components for use in high-energy laser systems. The lathe has the capability to produce virtually any shape mirror which is symmetrical about an axis of revolution. Two basic types of mirrors are fabricated on the lathe, namely: (1) mirrors which are machined using a single slide motion (such as flats and cylinders), and (2) mirrors which are produced by two-coordinated slide motions (such as hyperbolic reflectors; large, true-radius reflectors, and other contoured-surface reflectors). The surface-finish quality of typical mirrors machined by a single axis of motion is better than 13 nm, peak to valley, which is an order of magnitude better than the surface finishes of mirrors produced by two axes of motion. Surface finish refers to short-wavelength-figure errors that are visibly detectable. The primary cause of the inability to produce significantly better surface finishes on contoured mirrors has been determined as positional errors which exist in the slide positioning systems. The correction of these errors must be accomplished before contoured surface finishes comparable to the flat and cylinder can be machined on the lathe

  19. Use of simulation to examine operational scenarios in a lathe glovebox for the processing of nuclear materials

    International Nuclear Information System (INIS)

    McQueen, M.; Ashok, P.; Cox, D.J.; Pittman, P.C.; Turner, C.J.; Hollen, R.M.

    2001-01-01

    In the process of dispositioning nuclear materials into storage, the use of a robot eliminates the safety risks to humans and increases productivity. The current process of processing typically uses humans to handle the hazardous material using gloves through glove-ports. This process is not only dangerous, but also costly, because humans can only be subjected to limited exposure to nuclear materials due to the actual Occupational Radiation Exposure (ORE) and thus have a fixed amount of dedicated workload per unit time. Use of robotics reduces ORE to humans and increases productivity. The Robotics Research Group at the University of Texas at Austin has created a simulation model of a conceptual application that uses a robot inside the glovebox to handle hazardous materials for lathe machining process operations in cooperation with Los Alamos National Laboratories (LANL). The actions of the robot include preparing the parts for entry into the box, weighing the parts, positioning the parts into the headstock chuck of the lathe, handling the subsequent processed parts, changing and replacing the lathe tools and chuck assemblies are necessary to process the material. The full three-dimensional geometric model of the simulation demonstrates the normal expected operation from beginning to end and verifies the path plans for the robot. The emphasis of this paper is to report additional findings from the simulation model, which is currently being expanded to include failure mode analysis, error recovery, and other what-if scenarios involved in unexpected, or unplanned, operation of the robot and lathe process inside of the glovebox.

  20. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  1. Late-time particle emission from laser-produced graphite plasma

    Energy Technology Data Exchange (ETDEWEB)

    Harilal, S. S.; Hassanein, A.; Polek, M. [School of Nuclear Engineering, Center for Materials Under Extreme Environment, Purdue University, West Lafayette, Indiana 47907 (United States)

    2011-09-01

    We report a late-time ''fireworks-like'' particle emission from laser-produced graphite plasma during its evolution. Plasmas were produced using graphite targets excited with 1064 nm Nd: yttrium aluminum garnet (YAG) laser in vacuum. The time evolution of graphite plasma was investigated using fast gated imaging and visible emission spectroscopy. The emission dynamics of plasma is rapidly changing with time and the delayed firework-like emission from the graphite target followed a black-body curve. Our studies indicated that such firework-like emission is strongly depended on target material properties and explained due to material spallation caused by overheating the trapped gases through thermal diffusion along the layer structures of graphite.

  2. Late-time particle emission from laser-produced graphite plasma

    International Nuclear Information System (INIS)

    Harilal, S. S.; Hassanein, A.; Polek, M.

    2011-01-01

    We report a late-time ''fireworks-like'' particle emission from laser-produced graphite plasma during its evolution. Plasmas were produced using graphite targets excited with 1064 nm Nd: yttrium aluminum garnet (YAG) laser in vacuum. The time evolution of graphite plasma was investigated using fast gated imaging and visible emission spectroscopy. The emission dynamics of plasma is rapidly changing with time and the delayed firework-like emission from the graphite target followed a black-body curve. Our studies indicated that such firework-like emission is strongly depended on target material properties and explained due to material spallation caused by overheating the trapped gases through thermal diffusion along the layer structures of graphite.

  3. Effects of build orientation and element partitioning on microstructure and mechanical properties of biomedical Ti-6Al-4V alloy produced by laser sintering.

    Science.gov (United States)

    Mengucci, P; Gatto, A; Bassoli, E; Denti, L; Fiori, F; Girardin, E; Bastianoni, P; Rutkowski, B; Czyrska-Filemonowicz, A; Barucca, G

    2017-07-01

    Direct Metal Laser Sintering (DMLS) technology was used to produce tensile and flexural samples based on the Ti-6Al-4V biomedical composition. Tensile samples were produced in three different orientations in order to investigate the effect of building direction on the mechanical behavior. On the other hand, flexural samples were submitted to thermal treatments to simulate the firing cycle commonly used to veneer metallic devices with ceramics in dental applications. Roughness and hardness measurements as well as tensile and flexural mechanical tests were performed to study the mechanical response of the alloy while X-ray diffraction (XRD), electron microscopy (SEM, TEM, STEM) techniques and microanalysis (EDX) were used to investigate sample microstructure. Results evidenced a difference in the mechanical response of tensile samples built in orthogonal directions. In terms of microstructure, samples not submitted to the firing cycle show a single phase acicular α' (hcp) structure typical of metal parts subject to high cooling rates. After the firing cycle, samples show a reduction of hardness and strength due to the formation of laths of the β (bcc) phase at the boundaries of the primary formed α' plates as well as to lattice parameters variation of the hcp phase. Element partitioning during the firing cycle gives rise to high concentration of V atoms (up to 20wt%) at the plate boundaries where the β phase preferentially forms. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. Optical spectroscopy of laser-produced plasmas for standoff isotopic analysis

    Science.gov (United States)

    Harilal, S. S.; Brumfield, B. E.; LaHaye, N. L.; Hartig, K. C.; Phillips, M. C.

    2018-06-01

    Rapid, in-field, and non-contact isotopic analysis of solid materials is extremely important to a large number of applications, such as nuclear nonproliferation monitoring and forensics, geochemistry, archaeology, and biochemistry. Presently, isotopic measurements for these and many other fields are performed in laboratory settings. Rapid, in-field, and non-contact isotopic analysis of solid material is possible with optical spectroscopy tools when combined with laser ablation. Laser ablation generates a transient vapor of any solid material when a powerful laser interacts with a sample of interest. Analysis of atoms, ions, and molecules in a laser-produced plasma using optical spectroscopy tools can provide isotopic information with the advantages of real-time analysis, standoff capability, and no sample preparation requirement. Both emission and absorption spectroscopy methods can be used for isotopic analysis of solid materials. However, applying optical spectroscopy to the measurement of isotope ratios from solid materials presents numerous challenges. Isotope shifts arise primarily due to variation in nuclear charge distribution caused by different numbers of neutrons, but the small proportional nuclear mass differences between nuclei of various isotopes lead to correspondingly small differences in optical transition wavelengths. Along with this, various line broadening mechanisms in laser-produced plasmas and instrumental broadening generated by the detection system are technical challenges frequently encountered with emission-based optical diagnostics. These challenges can be overcome by measuring the isotope shifts associated with the vibronic emission bands from molecules or by using the techniques of laser-based absorption/fluorescence spectroscopy to marginalize the effect of instrumental broadening. Absorption and fluorescence spectroscopy probe the ground state atoms existing in the plasma when it is cooler, which inherently provides narrower

  5. The morphology of lath martensite: a new perspective

    Directory of Open Access Journals (Sweden)

    Koumatos Konstantinos

    2015-01-01

    Full Text Available A mathematical framework is proposed to predict the features of the (5 5 7 lath transformation in low-carbon steels based on energy minimisation. This theory generates a one-parameter family of possible habit plane normals and a selection mechanism then identifies the (5 5 7 normals as those arising from a deformation with small atomic movement and maximal compatibility. While the calculations bear some resemblance to those of double shear theories, the assumptions and conclusions are different. Interestingly, the predicted microstructure morphology resembles that of plate martensite, in the sense that a type of twinning mechanism is involved.

  6. Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions

    Science.gov (United States)

    Cacouris, Theodore; Rao, Rajasekhar; Rokitski, Rostislav; Jiang, Rui; Melchior, John; Burfeindt, Bernd; O'Brien, Kevin

    2012-03-01

    Deep UV (DUV) lithography is being applied to pattern increasingly finer geometries, leading to solutions like double- and multiple-patterning. Such process complexities lead to higher costs due to the increasing number of steps required to produce the desired results. One of the consequences is that the lithography equipment needs to provide higher operating efficiencies to minimize the cost increases, especially for producers of memory devices that experience a rapid decline in sales prices of these products over time. In addition to having introduced higher power 193nm light sources to enable higher throughput, we previously described technologies that also enable: higher tool availability via advanced discharge chamber gas management algorithms; improved process monitoring via enhanced on-board beam metrology; and increased depth of focus (DOF) via light source bandwidth modulation. In this paper we will report on the field performance of these technologies with data that supports the desired improvements in on-wafer performance and operational efficiencies.

  7. Grayscale lithography-automated mask generation for complex three-dimensional topography

    Science.gov (United States)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  8. Shadow edge lithography for nanoscale patterning and manufacturing

    International Nuclear Information System (INIS)

    Bai, John G; Chang, C-L; Chung, Jae-Hyun; Lee, Kyong-Hoon

    2007-01-01

    We demonstrate a wafer-scale nanofabrication method using the shadow effect in physical vapor deposition. An analytical model is presented to predict the formation of nanoscale gaps created by the shadow effect of a prepatterned edge on a deposition plane. The theoretical prediction agrees quantitatively with the widths of the fabricated nanogaps and nanochannels. In the diffusion experiments, both λ-DNA and fluorescein molecules were successfully introduced into the nanochannels. The proposed shadow edge lithography has potential to be a candidate for mass-producing nanostructures

  9. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  10. Electrostatic fields and charged particle acceleration in laser produced plasmas

    International Nuclear Information System (INIS)

    Hora, H.

    1983-01-01

    Some new aspects pioneered recently by Alfven in the theory of cosmic plasmas, indicate the possibility of a new treatment of the action of electrostatic double layers in the periphery of an expanding laser produced plasma. The thermally produced electrostatic double layer which has been re-derived for a homogeneous plasma shows that a strong upshift of ion energies is possible, in agreement with experiments. The number of accelerated ions is many orders of magnitude smaller than observed at keV and MeV energies. The nonlinear force acceleration could explain the number and energy of the observed fast ions. It is shown, however, that electrostatic double layers can be generated which should produce super-fast ions. A derivation of the spread double layers in the case of inhomogeneous plasmas is presented. It is concluded that the hydrodynamically expected multi GeV heavy ions for 10 TW laser pulses should produce super-fast ions up to the TeV range. Further conclusions are drawn from the electrostatically measured upshifted (by 300 keV) DT fusion alphas from laser compressed plasma. An analysis of alpha spectra attempts to distinguish between different models of the stopping power in the plasmas. The analysis preliminarily arrives at a preference for the collective model. (author)

  11. Laser profiling of 3D microturbine blades

    Science.gov (United States)

    Holmes, Andrew S.; Heaton, Mark E.; Hong, Guodong; Pullen, Keith R.; Rumsby, Phil T.

    2003-11-01

    We have used KrF excimer laser ablation in the fabrication of a novel MEMS power conversion device based on an axial-flow turbine with an integral axial-flux electromagnetic generator. The device has a sandwich structure, comprising a pair of silicon stators either side of an SU8 polymer rotor. The curved turbine rotor blades were fabricated by projection ablation of SU8 parts performed by conventional UV lithography. A variable aperture mask, implemented by stepping a moving aperture in front of a fixed one, was used to achieve the desired spatial variation in the ablated depth. An automatic process was set up on a commercial laser workstation, with the laser firing and mask motion being controlled by computer. High quality SU8 rotor parts with diameters of 13 mm and depths of 1 mm were produced at a fluence of 0.7 J/cm2, corresponding to a material removal rate of approximately 0.3 μm per pulse. A similar approach was used to form SU8 guide vane inserts for the stators.

  12. QCL seeded, ns-pulse, multi-line, CO2 laser oscillator for laser-produced-plasma extreme-UV source

    Science.gov (United States)

    Nowak, Krzysztof Michał; Suganuma, Takashi; Kurosawa, Yoshiaki; Ohta, Takeshi; Kawasuji, Yasufumi; Nakarai, Hiroaki; Saitou, Takashi; Fujimoto, Junichi; Mizoguchi, Hakaru; Sumitani, Akira; Endo, Akira

    2017-01-01

    Successful merger of state-of-the-art, semiconductor quantum-cascade lasers (QCL), with the mature CO2 laser technology, resulted in a delivery of highly-desired qualities of CO2 laser output that were not available previously without much effort. These qualities, such as multi-line operation, excellent spectro-temporal stability and pulse waveform control, became available from a single device of moderate complexity. This paper describes the operation principle and the unique properties of the solid{state seeded CO2 laser, invented for an application in laser-produced-plasma (LPP), extreme-UV (EUV) light source.

  13. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  14. Implementation Analysis of Cutting Tool Carbide with Cast Iron Material S45 C on Universal Lathe

    Science.gov (United States)

    Junaidi; hestukoro, Soni; yanie, Ahmad; Jumadi; Eddy

    2017-12-01

    Cutting tool is the tools lathe. Cutting process tool CARBIDE with Cast Iron Material Universal Lathe which is commonly found at Analysiscutting Process by some aspects numely Cutting force, Cutting Speed, Cutting Power, Cutting Indication Power, Temperature Zone 1 and Temperatur Zone 2. Purpose of this Study was to determine how big the cutting Speed, Cutting Power, electromotor Power,Temperatur Zone 1 and Temperatur Zone 2 that drives the chisel cutting CARBIDE in the Process of tur ning Cast Iron Material. Cutting force obtained from image analysis relationship between the recommended Component Cuting Force with plane of the cut and Cutting Speed obtained from image analysis of relationships between the recommended Cutting Speed Feed rate.

  15. Near-field mapping by laser ablation of PMMA coatings

    DEFF Research Database (Denmark)

    Fiutowski, J.; Maibohm, C.; Kostiucenko, O.

    2011-01-01

    The optical near-field of lithography-defined gold nanostructures, arranged into regular arrays on a gold film, is characterized via ablation of a polymer coating by laser illumination. The method utilizes femto-second laser pulses from a laser scanning microscope which induces electrical field...... that the different stages in the ablation process can be controlled and characterized making the technique suitable for characterizing optical near-fields of metal nanostructures....

  16. DIAGNOSTIC OF CNC LATHE WITH QC 20 BALLBAR SYSTEM

    Directory of Open Access Journals (Sweden)

    Jerzy Józwik

    2015-11-01

    Full Text Available This paper presents the evaluation of the influence of the feedmotion speed on the value of selected geometric errors of CNC lathe CTX 310 eco by DMG, indentified by QC 20 Ballbar system. Diagnostically evaluated were: the deviation of the axis squareness, reversal spike, and backlash. These errors determine the forming of the dimensional and shape accuracy of a machine tool. The article discusses the process of the CNC diagnostic test, the diagnostic evaluation and formulates guidelines on further CNC operation. The results of measurements were presented in tables and diagrams.

  17. Table-top solar flares produced with laser driven magnetic reconnections

    Directory of Open Access Journals (Sweden)

    Zhong J.Y.

    2013-11-01

    Full Text Available The American Nuclear Society (ANS has presented the prestigious Edward Teller award to Dr. Bruce A. Remington during the 2011 IFSA conference due to his “pioneering scientific work in the fields of inertial confinement fusion (ICF, and especially developing an international effort in high energy density laboratory astrophysics” [1,2]. This is a great acknowledgement to the subject of high energy density laboratory astrophysics. In this context, we report here one experiment conducted to model solar flares in the laboratory with intense lasers [3]. The mega-gauss –scale magnetic fields produced by laser produced plasmas can be used to make magnetic reconnection topology. We have produced one table-top solar flare in our laboratory experiment with the same geometric setup as associated with solar flares.

  18. Expansion of laser-produced plasmas into vacuum and ambient gases

    International Nuclear Information System (INIS)

    Williams, T.

    2001-01-01

    Presented in this thesis are observations recorded using optical absorption spectroscopy, laser induced fluorescence imaging and Langmuir probe techniques for a low temperature laser-produced plasma. The plasma was generated using a KrF (248 nm, 30ns) excimer laser system focused onto a solid target surface (Ti, Mg) housed within a vacuum chamber. Plasma studies were made within vacuum (x10 -5 mTorr) and low pressure ( 2 and Ar ambient gas environments. Experimental results from a volumetric integration technique for plasma species number densities are used to yield total plume content for a laser-produced plasma in vacuum. This was used to determine the threshold power density for titanium neutral species formation. Temporally resolved electron number densities were determined using a Langmuir probe technique, for a titanium plasma generated under 532 nm and 248 nm ablation, for similar power densities and spot geometries. In this case the ablation thresholds for titanium are determined in terms of average power density and peak power density. Plume opacity problems which limit OAS and LIF diagnostic techniques are minimised using novel ablations configurations. Both techniques used, the 'composite target' and the 'plasma-jet' configurations, rely on reducing the optical thickness of the plume. The plasma-jets produced were allowed to interact with an ambient argon background and the ion/neutral ratio of the plasma-jet determined. Laser-produced plasma interactions with a d.c. biased copper mesh ∼15 mm in front of the target surface are observed. Self-emission studies of plume interactions with the mesh are monitored for positive and negative biases. Also ground-state neutral and ion interactions with the mesh are observed using OAS and LIF techniques to study individual species effects. A simple model was used to predict the perturbations to charged species distributions resulting from positive and negative applied potentials, but more complex interaction

  19. High resolution X-ray spectromicroscopy of laser produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Faenov, A.Ya. [Multi-charged Ions Spectra Data Center of VNIIFTRI (MISDC), Mendeleevo, Moscow region, (Russian Federation)

    2000-01-01

    In recent years new classes of X-ray spectroscopic instruments possessing both dispersive and focusing properties have been manufactured. Their principal advantage over more traditional instruments is that they combine very high luminosity with high spatial resolution, while preserving the highest possible spectral resolution of their dispersive elements. These instruments opened up the registration of plasmas in new regimes and surroundings. The measurements delivered new information about the properties of even previously studied traditional plasma objects (e.g. ns-laser produced plasmas). Also the detailed investigation of relatively new plasma laboratory sources with very small dimensions and low energy content (e.g. mJ fs-laser pulses) became possible. The purpose of this report is to give a short review of the experimental and theoretical results obtained in the past few years by MISDC (Multi-charged Ions Spectra Data Center) research team in the field of X-ray spectroscopy of a laser-produced plasma. Experimental spectra have been obtained at various laser installations with nanosecond, sub-nanosecond, picosecond and sub-picosecond pulses interacting with solid, gaseous or cluster targets in collaborations with research teams from Russia, USA, Germany, France, Poland, Belgium, Italy, China and Israel. Practically all results have been obtained with the help of spectrographs with spherically bent mica crystals operating in FSSR-1D, 2D schemes. (author)

  20. Electron acceleration using laser produced plasmas

    CERN Multimedia

    CERN. Geneva; Landua, Rolf

    2005-01-01

    Low density plasmas have long been of interest as a potential medium for particle acceleration since relativistic plasma waves are capable of supporting electric fields greater than 100 GeV/m. The physics of particle acceleration using plasmas will be reviewed, and new results will be discussed which have demonstrated that relatively narrow energy spread (<3%) beams having energies greater than 100 MeV can be produced from femtosecond laser plasma interactions. Future experiments and potential applications will also be discussed.

  1. Line intensities for diagnosing laser-produced plasmas

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Matthews, D.L.; Lee, R.W.; Whitten, B.L.; Kilkenny, J.D.

    1983-01-01

    We have measured relative line intensities of the K x-ray spectra of Si, Cl, and Ca from laser-produced plasmas to assess their usefulness as a plasma diagnostic. The different elements are added at low concentrations to CH disks which are irradiated at 5 x 10 14 W/cm 2 with a 0.53 μm laser pulse of 20 Joules at 1 nsec. The concentration of each element is kept low in order not to change the Z of the plasma, and therefore the plasma dynamics. The various spectra are measured with a time-resolved spectrograph to obtain line intensities as a function of time over the length of the laser pulse. These relative intensities of various He-like and H-like lines are compared with calculations from a steady-state level population code. The results give good consistency among the various line ratios. Agreement is not as good for analysis of the Li-like satellite lines. Modelling of the Li-like lines need further investigation. 10 references, 9 figures

  2. Characterization of hard coatings produced by laser cladding using laser-induced breakdown spectroscopy technique

    Science.gov (United States)

    Varela, J. A.; Amado, J. M.; Tobar, M. J.; Mateo, M. P.; Yañez, A.; Nicolas, G.

    2015-05-01

    Protective coatings with a high abrasive wear resistance can be obtained from powders by laser cladding technique, in order to extend the service life of some industrial components. In this work, laser clad layers of self-fluxing NiCrBSi alloy powder mixed with WC powder have been produced on stainless steel substrates of austenitic type (AISI 304) in a first step and then chemically characterized by laser-induced breakdown spectroscopy (LIBS) technique. With the suitable laser processing parameters (mainly output power, beam scan speed and flow rate) and powders mixture proportions between WC ceramics and NiCrBSi alloys, dense pore free layers have been obtained on single tracks and on large areas with overlapped tracks. The results achieved by LIBS technique and applied for the first time to the analysis of laser clads provided the chemical composition of the tungsten carbides in metal alloy matrix. Different measurement modes (multiple point analyses, depth profiles and chemical maps) have been employed, demonstrating the usefulness of LIBS technique for the characterization of laser clads based on hardfacing alloys. The behavior of hardness can be explained by LIBS maps which evidenced the partial dilution of some WC spheres in the coating.

  3. Collimation of laser-produced plasmas using axial magnetic field

    Czech Academy of Sciences Publication Activity Database

    Roy, Amitava; Harilal, S.S.; Hassan, S.M.; Endo, Akira; Mocek, Tomáš; Hassanein, A.

    2015-01-01

    Roč. 33, č. 2 (2015), s. 175-182 ISSN 0263-0346 R&D Projects: GA MŠk ED2.1.00/01.0027; GA MŠk EE2.3.20.0143; GA MŠk EE2.3.30.0057 Grant - others:HILASE(XE) CZ.1.05/2.1.00/01.0027; OP VK 6(XE) CZ.1.07/2.3.00/20.0143; OP VK 4 POSTDOK(XE) CZ.1.07/2.3.00/30.0057 Institutional support: RVO:68378271 Keywords : laser-produced plasma * optical emission spectroscopy * plasma-B field interaction * plasma temperature and density * tin plasma Subject RIV: BH - Optics, Masers, Lasers OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.649, year: 2015

  4. Microstructure and deformation behavior of Ti-6Al-4V alloy by high-power laser solid forming

    International Nuclear Information System (INIS)

    Ren, Y.M.; Lin, X.; Fu, X.; Tan, H.; Chen, J.; Huang, W.D.

    2017-01-01

    This work investigated the microstructure and tensile deformation behavior of Ti-6Al-4V alloy fabricated using a high-power laser solid forming (LSF) additive manufacturing. The results show that the post-fabricated heat-treated microstructure consists of coarse columnar prior-β grains (630–1000 μm wide) and α-laths (5–9 μm) under different scanning velocities (900 and 1500 mm/min), which caused large elongation (∼18%) superior to the conventional laser additive manufacturing Ti-6Al-4V alloy. The deformation behavior of the LSF Ti-6Al-4V alloy was investigated using in situ tensile test scanning electron microscopy. The results show that shear-bands appeared along the α/β interface and slip-bands occurred within the α-laths, which lead to cracks decaying in a zigzag-pattern in the LSF Ti-6Al-4V alloy with basket-weave microstructure. These results demonstrate that the small columnar prior-β grains and fine basket-weave microstructure exhibiting more α/β interfaces and α-laths can disperse the load and resist the deformation in the LSF Ti-6Al-4V components. In addition, a modified microstructure selection map of the LSF Ti-6Al-4V alloy was established, which can reasonably predict the microstructure evolution and relative grain size in the LSF process.

  5. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    Science.gov (United States)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  6. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Jingfeng; Lu, Haidong; Gruverman, Alexei; Ducharme, Stephen; Li, Shumin; Tan, Li

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics. (paper)

  7. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    Science.gov (United States)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  8. Saturation of Langmuir waves in laser-produced plasmas

    International Nuclear Information System (INIS)

    Baker, K.L.

    1996-04-01

    This dissertation deals with the interaction of an intense laser with a plasma (a quasineutral collection of electrons and ions). During this interaction, the laser drives large-amplitude waves through a class of processes known as parametric instabilities. Several such instabilities drive one type of wave, the Langmuir wave, which involves oscillations of the electrons relative to the nearly-stationary ions. There are a number of mechanisms which limit the amplitude to which Langmuir waves grow. In this dissertation, these mechanisms are examined to identify qualitative features which might be observed in experiments and/or simulations. In addition, a number of experiments are proposed to specifically look for particular saturation mechanisms. In a plasma, a Langmuir wave can decay into an electromagnetic wave and an ion wave. This parametric instability is proposed as a source for electromagnetic emission near half of the incident laser frequency observed from laser-produced plasmas. This interpretation is shown to be consistent with existing experimental data and it is found that one of the previous mechanisms used to explain such emission is not. The scattering version of the electromagnetic decay instability is shown to provide an enhanced noise source of electromagnetic waves near the frequency of the incident laser

  9. Titanium Matrix Composite Ti/TiN Produced by Diode Laser Gas Nitriding

    Directory of Open Access Journals (Sweden)

    Aleksander Lisiecki

    2015-01-01

    Full Text Available A high power direct diode laser, emitting in the range of near infrared radiation at wavelength 808–940 nm, was applied to produce a titanium matrix composite on a surface layer of titanium alloy Ti6Al4V by laser surface gas nitriding. The nitrided surface layers were produced as single stringer beads at different heat inputs, different scanning speeds, and different powers of laser beam. The influence of laser nitriding parameters on the quality, shape, and morphology of the surface layers was investigated. It was found that the nitrided surface layers consist of titanium nitride precipitations mainly in the form of dendrites embedded in the titanium alloy matrix. The titanium nitrides are produced as a result of the reaction between molten Ti and gaseous nitrogen. Solidification and subsequent growth of the TiN dendrites takes place to a large extent at the interface of the molten Ti and the nitrogen gas atmosphere. The direction of TiN dendrites growth is perpendicular to the surface of molten Ti. The roughness of the surface layers depends strongly on the heat input of laser nitriding and can be precisely controlled. In spite of high microhardness up to 2400 HV0.2, the surface layers are crack free.

  10. Glass particles produced by laser ablation for ICP-MSmeasurements

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, J.; Liu, C.; Wen, S.; Mao, X.; Russo, R.E.

    2007-06-01

    Pulsed laser ablation (266nm) was used to generate glass particles from two sets of standard reference materials using femtosecond (150fs) and nanosecond (4ns) laser pulses with identical fluences of 50 J cm{sup -2}. Scanning electron microscopy (SEM) images of the collected particles revealed that there are more and larger agglomerations of particles produced by nanosecond laser ablation. In contrast to the earlier findings for metal alloy samples, no correlation between the concentration of major elements and the median particle size was found. When the current data on glass were compared with the metal alloy data, there were clear differences in terms of particle size, crater depth, heat affected zone, and ICP-MS response. For example, glass particles were larger than metal alloy particles, the craters in glass were less deep than craters in metal alloys, and damage to the sample was less pronounced in glass compared to metal alloys samples. The femtosecond laser generated more intense ICP-MS signals compared to nanosecond laser ablation for both types of samples, although glass sample behavior was more similar between ns and fs-laser ablation than for metals alloys.

  11. Modification of semiconductor materials using laser-produced ion streams additionally accelerated in the electric fields

    International Nuclear Information System (INIS)

    Rosinski, M.; Badziak, B.; Parys, P.; Wolowski, J.; Pisarek, M.

    2009-01-01

    The laser-produced ion stream may be attractive for direct ultra-low-energy ion implantation in thin layer of semiconductor for modification of electrical and optical properties of semiconductor devices. Application of electrostatic fields for acceleration and formation of laser-generated ion stream enables to control the ion stream parameters in broad energy and current density ranges. It also permits to remove the useless laser-produced ions from the ion stream designed for implantation. For acceleration of ions produced with the use of a low fluence repetitive laser system (Nd:glass: 2 Hz, pulse duration: 3.5 ns, pulse energy:∼0.5 J, power density: 10 10 W/cm 2 ) in IPPLM the special electrostatic system has been prepared. The laser-produced ions passing through the diaphragm (a ring-shaped slit in the HV box) have been accelerated in the system of electrodes. The accelerating voltage up to 40 kV, the distance of the diaphragm from the target, the diaphragm diameter and the gap width were changed for choosing the desired parameters (namely the energy band of the implanted ions) of the ion stream. The characteristics of laser-produced Ge ion streams were determined with the use of precise ion diagnostic methods, namely: electrostatic ion energy analyser and various ion collectors. The laser-produced and post-accelerated Ge ions have been used for implantation into semiconductor materials for nanocrystal fabrication. The characteristics of implanted samples were measured using AES

  12. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  13. Fusion reactions initiated by laser-accelerated particle beams in a laser-produced plasma

    International Nuclear Information System (INIS)

    Labaune, C.; Baccou, C.; Loisel, G.; Yahia, V.; Depierreux, S.; Goyon, C.; Rafelski, J.

    2013-01-01

    The advent of high-intensity-pulsed laser technology enables the generation of extreme states of matter under conditions that are far from thermal equilibrium. This in turn could enable different approaches to generating energy from nuclear fusion. Relaxing the equilibrium requirement could widen the range of isotopes used in fusion fuels permitting cleaner and less hazardous reactions that do not produce high-energy neutrons. Here we propose and implement a means to drive fusion reactions between protons and boron-11 nuclei by colliding a laser-accelerated proton beam with a laser-generated boron plasma. We report proton-boron reaction rates that are orders of magnitude higher than those reported previously. Beyond fusion, our approach demonstrates a new means for exploring low-energy nuclear reactions such as those that occur in astrophysical plasmas and related environments. (authors)

  14. Characterization of a plasma produced using a high power laser with a gas puff target for x-ray laser experiments

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Gac, K.; Parys, P.; Szczurek, M.; Tyl, J.

    1995-01-01

    A high temperature, high density plasma can be produced by using a nanosecond, high-power laser with a gas puff target. The gas puff target is formed by puffing a small amount of gas from a high-pressure reservoir through a nozzle into a vacuum chamber. In this paper we present the gas puff target specially designed for x-ray laser experiments. The solenoid valve with the nozzle in the form of a slit 0.3-mm wide and up to 40-mm long, allows to form an elongated gas puff suitable for the creation of an x-ray laser active medium by its perpendicular irradiation with the use of a laser beam focused to a line. Preliminary results of the experiments on the laser irradiation of the gas puff targets, produced by the new valve, show that hot plasma suitable for x-ray lasers is created

  15. Temperature-feedback direct laser reshaping of silicon nanostructures

    Science.gov (United States)

    Aouassa, M.; Mitsai, E.; Syubaev, S.; Pavlov, D.; Zhizhchenko, A.; Jadli, I.; Hassayoun, L.; Zograf, G.; Makarov, S.; Kuchmizhak, A.

    2017-12-01

    Direct laser reshaping of nanostructures is a cost-effective and fast approach to create or tune various designs for nanophotonics. However, the narrow range of required laser parameters along with the lack of in-situ temperature control during the nanostructure reshaping process limits its reproducibility and performance. Here, we present an approach for direct laser nanostructure reshaping with simultaneous temperature control. We employ thermally sensitive Raman spectroscopy during local laser melting of silicon pillar arrays prepared by self-assembly microsphere lithography. Our approach allows establishing the reshaping threshold of an individual nanostructure, resulting in clean laser processing without overheating of the surrounding area.

  16. Calculation of high-pressure argon plasma parameters produced by excimer laser

    International Nuclear Information System (INIS)

    Tsuda, Norio; Yamada, Jun

    2000-01-01

    When a XeCl excimer laser light was focused in a high-pressure argon gas up to 150 atm, a dense plasma developed not only backward but also forward. It is important to study on the electron density and temperature of the laser-induced plasma in the high-pressure gas. The electron density and temperature in high-pressure argon plasma produced by XeCl excimer laser has been calculated and compared with the experimental data. (author)

  17. Characteristics of X-ray photons in tilted incident laser-produced plasma

    International Nuclear Information System (INIS)

    Wang Ruirong; Chen Weimin; Xie Dongzhu

    2008-01-01

    Characteristics of X-ray and spout direction of heat plasma flow were studied on Shenguang-II laser facility. Using of pinhole X-ray camera, X-ray photons from the plasma of aluminum (Al) irradiated by 1.053 μm laser, was measured and analysed. It is observed that the spatial distribution of X-ray photons in Al plasma for tilted irradiation is symmetic at the center of the target. The spout direction of heat plasma flow is inferred by the distribution contour of X-ray photons. the experimental results show that the spout direction of heat plasma flow is normal to target plane and the output intensity of X-ray photons does not increase significantly for tilted laser incidence. Uniformity of laser energy deposition is improved by superposing tilted incident and laser perpendicularly incident laser. At the same time, it is found that the conversion efficiency from the tilted incident laser energy to X-ray photons of laser-produced plasma is decreased. (authors)

  18. Characteristics of a laser beam produced by using thermal lensing effect compensation in a fiber-coupled laser-diode-pumped Nd:YAG ceramic laser

    International Nuclear Information System (INIS)

    Kim, Duck-Lae; Kim, Byung-Tai

    2010-01-01

    The characteristics of a laser beam produced by using thermal lensing effect compensation in a fiber-coupled laser-diode Nd:YAG ceramic laser were investigated. The thermal lensing effect was compensated for by using a compensator, which was 25 mm away from the laser rod, with a focal length of 30 mm and an effective clear aperture of 22 mm. Using a compensator, the divergence and the beam propagation factor M 2 of the output beam were 5.5 mrad and 2.4, respectively, under a pump power of 12W. The high-frequency components in the compensated laser beam were removed.

  19. Trajectory Calculator for Finite-Radius Cutter on a Lathe

    Science.gov (United States)

    Savchenkov, Anatoliy; Strekalov, Dmitry; Yu, Nan

    2009-01-01

    A computer program calculates the two-dimensional trajectory (radial vs. axial position) of a finite-radius-of-curvature cutting tool on a lathe so as to cut a workpiece to a piecewise-continuous, analytically defined surface of revolution. (In the original intended application, the tool is a diamond cutter, and the workpiece is made of a crystalline material and is to be formed into an optical resonator disk.) The program also calculates an optimum cutting speed as F/L, where F is a material-dependent empirical factor and L is the effective instantaneous length of the cutting edge.

  20. Interaction of a laser-produced copper plasma jet with ambient plastic plasma

    Czech Academy of Sciences Publication Activity Database

    Kasperczuk, A.; Pisarczyk, T.; Badziak, J.; Borodziuk, S.; Chodukowski, T.; Gus’kov, S.Yu.; Demchenko, N. N.; Klir, D.; Kravarik, J.; Kubes, P.; Rezac, K.; Ullschmied, Jiří; Krouský, Eduard; Mašek, Karel; Pfeifer, Miroslav; Rohlena, Karel; Skála, Jiří; Pisarczyk, P.

    2011-01-01

    Roč. 53, č. 9 (2011), 095003-095003 ISSN 0741-3335 R&D Projects: GA MŠk(CZ) 7E09092; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508; CEZ:AV0Z10100523 Keywords : laser produced-plasma jets * PALS laser * laser ablation * copper plasma * plastic plasma Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.425, year: 2011 http://iopscience.iop.org/0741-3335/53/9/095003/pdf/0741-3335_53_9_095003.pdf

  1. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  2. Convective mechanism for inhibition of heat conduction in laser produced plasmas

    International Nuclear Information System (INIS)

    Lee, P.H.Y.; Willi, O.; Trainor, R.J.

    1984-01-01

    In laser-produced plasmas, the laser energy is absorbed only below and up to the critical density. For laser fusion applications, this energy must be transported beyond the corona via electron thermal conduction towards colder, higher density regions of the target to heat up material and cause ablation, which in turn generates an inward pressure to compress the fusion fuel. If the heat conduction is inhibited, the consequences will be a weaker ablation and therefore a weaker implosion. For many years now, the inhibition of heat conduction, i.e., the reduction of heat conduction relative to classical conduction, in laser-produced plasmas at relevant irradiances has been apparent from the large body of experimental evidence. Many mechanisms, such as dc magnetic fields, ion acoustic turbulence, and Weibel instabilities, have been proposed to be the cause of inhibition of heat conduction. Even improved calculations of the classical heat flux have been carried out to solve this problem. Nevertheless, no single one of the above mentioned mechanisms can explain the large inhibition observed in the experiments

  3. 3D shape measurements with a single interferometric sensor for in-situ lathe monitoring

    Science.gov (United States)

    Kuschmierz, R.; Huang, Y.; Czarske, J.; Metschke, S.; Löffler, F.; Fischer, A.

    2015-05-01

    Temperature drifts, tool deterioration, unknown vibrations as well as spindle play are major effects which decrease the achievable precision of computerized numerically controlled (CNC) lathes and lead to shape deviations between the processed work pieces. Since currently no measurement system exist for fast, precise and in-situ 3d shape monitoring with keyhole access, much effort has to be made to simulate and compensate these effects. Therefore we introduce an optical interferometric sensor for absolute 3d shape measurements, which was integrated into a working lathe. According to the spindle rotational speed, a measurement rate of 2,500 Hz was achieved. In-situ absolute shape, surface profile and vibration measurements are presented. While thermal drifts of the sensor led to errors of several mµm for the absolute shape, reference measurements with a coordinate machine show, that the surface profile could be measured with an uncertainty below one micron. Additionally, the spindle play of 0.8 µm was measured with the sensor.

  4. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  5. Ultraviolet out-of-band radiation studies in laser tin plasma sources

    Science.gov (United States)

    Parchamy, Homaira; Szilagyi, John; Masnavi, Majid; Richardson, Martin

    2017-11-01

    Out-of-band long wavelength emission measurements from high power, high-repetition-rate extreme-ultra-violet lithography (EUVL) laser plasma sources are imperative to estimating heat deposition in EUV mirrors, and the impact of short wavelength light transported through the imaging system to the wafer surface. This paper reports a series of experiments conducted to measure the absolute spectral irradiances of laser-plasmas produced from planar tin targets over the wavelength region of 124 to 164 nm by 1.06 μm wavelength, 10 ns full-width-at-half-maximum Gaussian laser pulses. The use of spherical targets is relevant to the EUVL source scenario. Although plasmas produced from planar surfaces evolve differently, there is a close similarity to the evolution of current from 10.6 μm CO2 laser EUVL sources, which use a pre-pulse from a lower energy solid-state laser to melt and reform an initial spherical droplet into a thin planar disc target. The maximum of radiation conversion efficiency in the 124-164 nm wavelength band (1%/2πsr) occurs at the laser intensity of 1010 W cm-2. A developed collisional-radiative model reveals the strong experimental spectra that originate mainly from the 4d105p2-4d105s5p, 4d105p-4d105s resonance lines, and 4d95p-4d95s unresolved transition arrays from Sn III, Sn IV, and Sn V ions, respectively. The calculated conversion efficiencies using a 2D radiation-hydrodynamics model are in agreement with the measurements. The model predicts the out-of-band (100-400 nm) radiation conversion efficiencies generated by both 1.06 and 10.6 μm pulses. The 10.6 μm laser pulse produces a higher conversion efficiency (12%/2πsr) at the lower laser intensity of 109 W cm-2.

  6. Size properties of colloidal nanoparticles produced by nanosecond pulsed laser ablation and studying the effects of liquid medium and laser fluence

    International Nuclear Information System (INIS)

    Mahdieh, Mohammad Hossein; Fattahi, Behzad

    2015-01-01

    Highlights: • Colloidal aluminum- and titanium-based nanoparticles fabricated by laser ablation. • Various liquid environments and laser fluences were applied as variable parameters. • Physical characteristics of liquid medium influence ablation process and nanoparticle formation. • Size properties of prepared nanoparticles depend on liquid medium and laser fluence. • Ablation of both metals in ethanol results in nanoparticles with smaller size. - Abstract: In this paper, pulsed laser ablation method was used for synthesis of colloidal nanoparticles of aluminum and titanium targets in distilled water, ethanol, and acetone as liquid environments. Ultraviolet–visible (UV–vis) absorption spectrophotometer and scanning electron microscope (SEM) were used for characterization of produced nanoparticles. Using image processing technique and analyzing the SEM images, nanoparticles’ mean size and size distribution were achieved. The results show that liquid medium has strong effect on size properties of produced nanoparticles. From the results, it was found that ablation of both metal targets in ethanol medium leads to formation of smaller size nanoparticles with narrower size distributions. The influence of laser fluence was also investigated. According to the results, higher laser fluence produces larger mean size nanoparticles with broader size distribution

  7. Size properties of colloidal nanoparticles produced by nanosecond pulsed laser ablation and studying the effects of liquid medium and laser fluence

    Energy Technology Data Exchange (ETDEWEB)

    Mahdieh, Mohammad Hossein, E-mail: mahdm@iust.ac.ir; Fattahi, Behzad

    2015-02-28

    Highlights: • Colloidal aluminum- and titanium-based nanoparticles fabricated by laser ablation. • Various liquid environments and laser fluences were applied as variable parameters. • Physical characteristics of liquid medium influence ablation process and nanoparticle formation. • Size properties of prepared nanoparticles depend on liquid medium and laser fluence. • Ablation of both metals in ethanol results in nanoparticles with smaller size. - Abstract: In this paper, pulsed laser ablation method was used for synthesis of colloidal nanoparticles of aluminum and titanium targets in distilled water, ethanol, and acetone as liquid environments. Ultraviolet–visible (UV–vis) absorption spectrophotometer and scanning electron microscope (SEM) were used for characterization of produced nanoparticles. Using image processing technique and analyzing the SEM images, nanoparticles’ mean size and size distribution were achieved. The results show that liquid medium has strong effect on size properties of produced nanoparticles. From the results, it was found that ablation of both metal targets in ethanol medium leads to formation of smaller size nanoparticles with narrower size distributions. The influence of laser fluence was also investigated. According to the results, higher laser fluence produces larger mean size nanoparticles with broader size distribution.

  8. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  9. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  10. Developing Lathing Parameters for PBX 9501

    Energy Technology Data Exchange (ETDEWEB)

    Woodrum, Randall Brock [Texas Tech Univ., Lubbock, TX (United States); Pantex Plant (PTX), Amarillo, TX (United States)

    2017-10-10

    This thesis presents the work performed on lathing PBX 9501 to gather and analyze cutting force and temperature data during the machining process. This data will be used to decrease federal-regulation-constrained machining time of the high explosive PBX 9501. The effects of machining parameters depth of cut, surface feet per minute, and inches per revolution on cutting force and cutting interface were evaluated. Cutting tools of tip radius 0.005 -inches and 0.05 -inches were tested to determine what effect the tool shape had on the machining process as well. A consistently repeatable relationship of temperature to changing depth of cut and surface feet per minute is found, while only a weak dependence was found to changing inches per revolution. Results also show the relation of cutting force to depth of cut and inches per revolution, while weak dependence on SFM is found. Conclusions suggest rapid, shallow cuts optimize machining time for a billet of PBX 9501, while minimizing temperature increase and cutting force.

  11. Simultaneous streak and frame interferometry for electron density measurements of laser produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Quevedo, H. J., E-mail: hjquevedo@utexas.edu; McCormick, M.; Wisher, M.; Bengtson, Roger D.; Ditmire, T. [Center for High Energy Density Science, Department of Physics, University of Texas at Austin, Austin, Texas 78712 (United States)

    2016-01-15

    A system of two collinear probe beams with different wavelengths and pulse durations was used to capture simultaneously snapshot interferograms and streaked interferograms of laser produced plasmas. The snapshots measured the two dimensional, path-integrated, electron density on a charge-coupled device while the radial temporal evolution of a one dimensional plasma slice was recorded by a streak camera. This dual-probe combination allowed us to select plasmas that were uniform and axisymmetric along the laser direction suitable for retrieving the continuous evolution of the radial electron density of homogeneous plasmas. Demonstration of this double probe system was done by measuring rapidly evolving plasmas on time scales less than 1 ns produced by the interaction of femtosecond, high intensity, laser pulses with argon gas clusters. Experiments aimed at studying homogeneous plasmas from high intensity laser-gas or laser-cluster interaction could benefit from the use of this probing scheme.

  12. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  13. Wafer-scale laser lithography. I. Pyrolytic deposition of metal microstructures

    International Nuclear Information System (INIS)

    Herman, I.P.; Hyde, R.A.; McWilliams, B.M.; Weisberg, A.H.; Wood, L.L.

    1982-01-01

    Mechanisms for laser-driven pyrolytic deposition of micron-scale metal structures on crystalline silicon have been studied. Models have been developed to predict temporal and spatial propeties of laser-induced pyrolytic deposition processes. An argon ion laser-based apparatus has been used to deposit metal by pyrolytic decomposition of metal alkyl and carbonyl compounds, in order to evaluate the models. These results of these studies are discussed, along with their implications for the high-speed creation of micron-scale metal structures in ultra-large scale integrated circuit systems. 4 figures

  14. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  15. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  16. Astrophysics of magnetically collimated jets generated from laser-produced plasmas.

    Science.gov (United States)

    Ciardi, A; Vinci, T; Fuchs, J; Albertazzi, B; Riconda, C; Pépin, H; Portugall, O

    2013-01-11

    The generation of astrophysically relevant jets, from magnetically collimated, laser-produced plasmas, is investigated through three-dimensional, magnetohydrodynamic simulations. We show that for laser intensities I∼10(12)-10(14) W cm(-2), a magnetic field in excess of ∼0.1  MG, can collimate the plasma plume into a prolate cavity bounded by a shock envelope with a standing conical shock at its tip, which recollimates the flow into a supermagnetosonic jet beam. This mechanism is equivalent to astrophysical models of hydrodynamic inertial collimation, where an isotropic wind is focused into a jet by a confining circumstellar toruslike envelope. The results suggest an alternative mechanism for a large-scale magnetic field to produce jets from wide-angle winds.

  17. Spectroscopic and corpuscular analysis of laser-produced carbon plasma

    International Nuclear Information System (INIS)

    Czarnecka, A.; Kubkowska, M.; Kowalska-Strzeciwilk, E.; Parys, P.; Sadowski, M.J.; Skladnik-Sadowska, E.; Malinowski, K.; Kwiatkowski, R.; Ladygina, M.

    2013-01-01

    The paper describes spectroscopic and corpuscular measurements of laser-produced carbon plasma, which was created at surfaces of three targets made of CFC of the Snecma-N11 type with different crystallographic orientations. In order to irradiate the investigated samples the use was made of a Nd:YAG laser. Experiments were performed in a vacuum chamber under the initial pressure equal to 5.10-5 mbar. A Mechelle 900 optical spectrometer equipped with a CCD detector was used to record spectra emitted from the produced carbon-plasma. The recorded optical spectra showed distinct carbon lines ranging from CI to CIV. Basing on the Stark broadening of the CII 426.7 nm line it was possible to estimate the electron density of plasma from each investigated sample. Corpuscular measurements of the emitted ions were carried out by means of an electrostatic ion-energy analyzer and ion collector.

  18. Interstitial Lung Disease due to Siderosis in a Lathe Machine Worker.

    Science.gov (United States)

    Gothi, D; Satija, B; Kumar, S; Kaur, Omkar

    2015-01-01

    Since its first description in 1936, siderosis of lung has been considered a benign pneumoconiosis due to absence of significant clinical symptoms or respiratory impairment. Subsequently, authors have questioned the non-fibrogenic property of iron. However, siderosis causing interstitial lung disease with usual interstitial pneumonia (UIP) pattern has not been described in the past. We report a case of UIP on high resolution computed tomography, proven to be siderosis on transbronchial lung biopsy in a lathe machine worker.

  19. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  20. Deposition and characterization of ITO films produced by laser ablation at 355 nm

    DEFF Research Database (Denmark)

    Holmelund, E.; Thestrup Nielsen, Birgitte; Schou, Jørgen

    2002-01-01

    Indium tin oxide (ITO) films have been deposited by pulsed laser deposition (PLD) at 355 nm. Even though the absorption of laser light at the wavelength 355 nm is much smaller than that of the standard excimer lasers for PLD at 248 nm and 193 nm, high-quality films can be produced. At high fluence...

  1. Studies of high repetition rate laser-produced plasma soft-X-ray amplifiers

    International Nuclear Information System (INIS)

    Cassou, K.

    2006-12-01

    The progress made as well on the Ti:Sa laser system, as in the control and the knowledge of laser produced X-UV sources allowed the construction of a X-UV laser station dedicated to the applications. My thesis work falls under the development of this station and more particularly on the characterization of a X-UV laser plasma amplifier. The experimental study relates to the coupling improvement of the pump infra-red laser with plasma within the framework of the transient collisional X-UV laser generation. These X-UV lasers are generated in a plasma formed by the interaction of a solid target and a laser pulse of approximately 500 ps duration, followed by a second infra-red laser pulse known as of pump (about 5 ps) impinging on the target in grazing incidence. For the first time, a complete parametric study was undertaken on the influence of the grazing angle on the pumping of the amplifying medium. One of the results was to reach very high peak brightness about 10 28 ph/s/mm 2 /mrad 2 /(0.1%bandwidth), which compares well with the free-electron laser brightness. Moreover, we modified then used a new two-dimensional hydrodynamic code with adaptive mesh refinement in order to understand the influence of the space-time properties of the infra-red laser on the formation and the evolution of the amplifying plasma. Our modeling highlighted the interest to use a super Gaussian transverse profile for the line focus leading to an increase in a factor two of the gain region size and a reduction of the electron density gradient by three orders of magnitude. These improvements should strongly increase the energy contained in X-UV laser beam. We thus used X-UV laser to study the appearance of transient defects produced by a laser IR on a beam-splitter rear side. We also began research on the mechanisms of DNA damage induced by a very intense X-UV radiation. (author)

  2. Properites of ultrathin films appropriate for optics capping layers in extreme ultraviolet lithography (EUVL)

    Energy Technology Data Exchange (ETDEWEB)

    Bajt, S; Edwards, N V; Madey, T E

    2007-06-25

    The contamination of optical surfaces by irradiation shortens optics lifetime and is one of the main concerns for optics used in conjunction with intense light sources, such as high power lasers, 3rd and 4th generation synchrotron sources or plasma sources used in extreme ultraviolet lithography (EUVL) tools. This paper focuses on properties and surface chemistry of different materials, which as thin layers, could be used as capping layers to protect and extend EUVL optics lifetime. The most promising candidates include single element materials such as ruthenium and rhodium, and oxides such as TiO{sub 2} and ZrO{sub 2}.

  3. The ergonomics of vertical turret lathe operation.

    Science.gov (United States)

    Pratt, F M; Corlett, E N

    1970-12-01

    A study of the work load of 14 vertical turret lathe operators engaged on different work tasks in two factories is reported. For eight of these workers continuous heart rate recordings were made throughout the day. It was shown that in four cases improved technology was unlikely to lead to higher output and certain aspects of posture and equipment manipulation were major contributors to the limitations on increased output. The role of the work-rest schedule in increasing work loads was also demonstrated. Improvements in technology and methods to reduce the extent of certain work loads to enable heavy work to be done in shorter periods followed by light work or rest periods are given as means to modify and improve the output of these machines. Finally, the direction for the development of a predictive model for man-machine matching is introduced.

  4. Recent developments in understanding the physics of laser produced plasmas

    International Nuclear Information System (INIS)

    Bezzerides, B.; DuBois, D.F.; Forslund, D.W.; Kindel, J.M.; Lee, K.; Lindman, E.L.

    1976-01-01

    The absorption of intense laser light by a plasma is known to produce a high energy component of electrons. Even though the hot electron pressure may be larger than the cold background pressure, the background temperature can control the self-consistent profile modification. Since temperatures in high intensity experiments seem to be similar for CO 2 and Nd glass lasers, the profile modification may be so severe for CO 2 and Nd glass lasers, the profile modification may be so severe for CO 2 that orders of magnitude change in density can occur over microns, leading to a softened electron spectrum. However, the resulting equilibrium of laser pressure balancing plasma pressure is unstable even when flow is properly taken into account. We also briefly discuss recent results for self-generated magnetic fields including important kinetic effects

  5. Advancing three-dimensional MEMS by complimentary laser micro manufacturing

    Science.gov (United States)

    Palmer, Jeremy A.; Williams, John D.; Lemp, Tom; Lehecka, Tom M.; Medina, Francisco; Wicker, Ryan B.

    2006-01-01

    This paper describes improvements that enable engineers to create three-dimensional MEMS in a variety of materials. It also provides a means for selectively adding three-dimensional, high aspect ratio features to pre-existing PMMA micro molds for subsequent LIGA processing. This complimentary method involves in situ construction of three-dimensional micro molds in a stand-alone configuration or directly adjacent to features formed by x-ray lithography. Three-dimensional micro molds are created by micro stereolithography (MSL), an additive rapid prototyping technology. Alternatively, three-dimensional features may be added by direct femtosecond laser micro machining. Parameters for optimal femtosecond laser micro machining of PMMA at 800 nanometers are presented. The technical discussion also includes strategies for enhancements in the context of material selection and post-process surface finish. This approach may lead to practical, cost-effective 3-D MEMS with the surface finish and throughput advantages of x-ray lithography. Accurate three-dimensional metal microstructures are demonstrated. Challenges remain in process planning for micro stereolithography and development of buried features following femtosecond laser micro machining.

  6. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  7. Applications of laser produced ion beams to nuclear analysis of materials

    International Nuclear Information System (INIS)

    Mima, K.; Azuma, H.; Fujita, K.; Yamazaki, A.; Okuda, C.; Ukyo, Y.; Kato, Y.; Arrabal, R. Gonzalez; Soldo, F.; Perlado, J. M.; Nishimura, H.; Nakai, S.

    2012-01-01

    Laser produced ion beams have unique characteristics which are ultra-short pulse, very low emittance, and variety of nuclear species. These characteristics could be used for analyzing various materials like low Z ion doped heavy metals or ceramics. Energies of laser produced ion beam extend from 0.1MeV to 100MeV. Therefore, various nuclear processes can be induced in the interactions of ion beams with samples. The ion beam driven nuclear analysis has been developed for many years by using various electrostatic accelerators. To explore the applicability of laser ion beam to the analysis of the Li ion battery, a proton beam with the diameter of ∼ 1.0 μm at Takasaki Ion Acceleration for Advanced Radiation Application (TIARA), JAEA was used. For the analysis, the PIGE (Particle-Induced Gamma Ray Emission) is used. The proton beam scans over Li battery electrode samples to diagnose Li density in the LiNi 0.85 Co 0.15 O 2 anode. As the results, PIGE images for Li area density distributions are obtained with the spatial resolution of better than 1.5μm FWHM. By the Li PIGE images, the depth dependence of de-intercalation levels of Li in the anode is obtained. By the POP experiments at TIARA, it is clarified that laser produced ion beam is appropriate for the Li ion battery analysis. 41.85.Lc, 41.75.Jv, 42.62.cf.

  8. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  9. Stark broadening in hot, dense laser-produced plasmas

    International Nuclear Information System (INIS)

    Tighe, R.J.; Hooper, C.F. Jr.

    1976-01-01

    Broadened Lyman-α x-ray lines from neon X and argon XVIII radiators, which are immersed in a hot, dense deuterium or deuterium-tritium plasma, are discussed. In particular, these lines are analyzed for several temperature-density cases, characteristic of laser-produced plasmas; special attention paid to the relative importance of ion, electron, and Doppler effects. Static ion microfield distribution functions are tabulated

  10. Study of charged fusion products in laser produced plasmas

    International Nuclear Information System (INIS)

    Rosenblum, M.

    1981-07-01

    Charged reaction products play a central role in inertial confinement fusion. The investigation of the various processes these particles undergo in laser produced plasmas, their influence on the dynamics of the fusion and their utilization as a diagnostic tool are the main subjects of this thesis. (author)

  11. Work Hardening, Dislocation Structure, and Load Partitioning in Lath Martensite Determined by In Situ Neutron Diffraction Line Profile Analysis

    Science.gov (United States)

    Harjo, Stefanus; Kawasaki, Takuro; Tomota, Yo; Gong, Wu; Aizawa, Kazuya; Tichy, Geza; Shi, Zengmin; Ungár, Tamas

    2017-09-01

    A lath martensite steel containing 0.22 mass pct carbon was analyzed in situ during tensile deformation by high-resolution time-of-flight neutron diffraction to clarify the large work-hardening behavior at the beginning of plastic deformation. The diffraction peaks in plastically deformed states exhibit asymmetries as the reflection of redistributions of the stress and dislocation densities/arrangements in two lath packets: soft packet, where the dislocation glides are favorable, and hard packet, where they are unfavorable. The dislocation density was as high as 1015 m-2 in the as-heat-treated state. During tensile straining, the load and dislocation density became different between the two lath packets. The dislocation character and arrangement varied in the hard packet but hardly changed in the soft packet. In the hard packet, dislocations that were mainly screw-type in the as-heat-treated state became primarily edge-type and rearranged towards a dipole character related to constructing cell walls. The hard packet played an important role in the work hardening in martensite, which could be understood by considering the increase in dislocation density along with the change in dislocation arrangement.

  12. Aging effect of AlF3 coatings for 193 nm lithography

    Science.gov (United States)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  13. Comprehensive reliability allocation method for CNC lathes based on cubic transformed functions of failure mode and effects analysis

    Science.gov (United States)

    Yang, Zhou; Zhu, Yunpeng; Ren, Hongrui; Zhang, Yimin

    2015-03-01

    Reliability allocation of computerized numerical controlled(CNC) lathes is very important in industry. Traditional allocation methods only focus on high-failure rate components rather than moderate failure rate components, which is not applicable in some conditions. Aiming at solving the problem of CNC lathes reliability allocating, a comprehensive reliability allocation method based on cubic transformed functions of failure modes and effects analysis(FMEA) is presented. Firstly, conventional reliability allocation methods are introduced. Then the limitations of direct combination of comprehensive allocation method with the exponential transformed FMEA method are investigated. Subsequently, a cubic transformed function is established in order to overcome these limitations. Properties of the new transformed functions are discussed by considering the failure severity and the failure occurrence. Designers can choose appropriate transform amplitudes according to their requirements. Finally, a CNC lathe and a spindle system are used as an example to verify the new allocation method. Seven criteria are considered to compare the results of the new method with traditional methods. The allocation results indicate that the new method is more flexible than traditional methods. By employing the new cubic transformed function, the method covers a wider range of problems in CNC reliability allocation without losing the advantages of traditional methods.

  14. Intense pulsed sources of ions and electrons produced by lasers

    International Nuclear Information System (INIS)

    Bourrabier, G.; Consoli, T.; Slama, L.

    1966-11-01

    We describe a device for the acceleration of the plasma burst produced by focusing a laser beam into a metal target. We extract the electrons and the ions from the plasma. The maximum current is around 2000 amperes during few microseconds. The study of the effect of the kind of the target on the characteristics of the current shows the great importance of the initial conditions that is the ionisation potential of the target and the energy laser. (authors) [fr

  15. Extending the performance of KrF laser for microlithography by using novel F2 control technology

    Science.gov (United States)

    Zambon, Paolo; Gong, Mengxiong; Carlesi, Jason; Padmabandu, Gunasiri G.; Binder, Mike; Swanson, Ken; Das, Palash P.

    2000-07-01

    Exposure tools for 248nm lithography have reached a level of maturity comparable to those based on i-line. With this increase in maturity, there is a concomitant requirement for greater flexibility from the laser by the process engineers. Usually, these requirements pertain to energy, spectral width and repetition rate. By utilizing a combination of laser parameters, the process engineers are often able to optimize throughput, reduce cost-of-operation or achieve greater process margin. Hitherto, such flexibility of laser operation was possible only via significant changes to various laser modules. During our investigation, we found that the key measure of the laser that impacts the aforementioned parameters is its F2 concentration. By monitoring and controlling its slope efficiency, the laser's F2 concentration may be precisely controlled. Thus a laser may tune to operate under specifications as diverse as 7mJ, (Delta) (lambda) FWHM < 0.3 pm and 10mJ, (Delta) (lambda) FWHM < 0.6pm and still meet the host of requirements necessary for lithography. We discus this new F2 control technique and highlight some laser performance parameters.

  16. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  17. Final Technical Report: Magnetic Reconnection in High-Energy Laser-Produced Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Germaschewski, Kai [Univ. of New Hampshire, Durham, NH (United States); Fox, William [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States); Bhattacharjee, Amitava [Princeton Plasma Physics Lab. (PPPL), Princeton, NJ (United States)

    2017-04-06

    This report describes the final results from the DOE Grant DE-SC0007168, “Fast Magnetic Reconnection in HED Laser-Produced Plasmas.” The recent generation of laboratory high-energy-density physics facilities has opened significant physics opportunities for experimentally modeling astrophysical plasmas. The goal of this proposal is to use these new tools to study fundamental problems in plasma physics and plasma astrophysics. Fundamental topics in this area involve study of the generation, amplification, and fate of magnetic fields, which are observed to pervade the plasma universe and govern its evolution. This project combined experiments at DOE laser facilities with kinetic plasma simulation to study these processes. The primary original goal of the project was to study magnetic reconnection using a new experimental platform, colliding magnetized laser-produced plasmas. However through a series of fortuitous discoveries, the work broadened out to allow significant advancement on multiple topics in laboratory astrophysics, including magnetic reconnection, Weibel instability, and collisionless shocks.

  18. Uses of laser optical pumping to produce polarized ion beams

    International Nuclear Information System (INIS)

    Anderson, L.W.

    1983-01-01

    Laser optical pumping can be used to produce polarized alkali atom beams or polarized alkali vapor targets. Polarized alkali atom beams can be converted into polarized alkali ion beams, and polarized alkali vapor targets can be used to produce polarized H - or 3 He - ion beams. In this paper the authors discuss how the polarized alkali atom beams and polarized alkali vapor targets are used to produce polarized ion beams with emphasis on the production of polarized negative ion beams

  19. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    Science.gov (United States)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  20. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  1. Sugeno-Fuzzy Expert System Modeling for Quality Prediction of Non-Contact Machining Process

    Science.gov (United States)

    Sivaraos; Khalim, A. Z.; Salleh, M. S.; Sivakumar, D.; Kadirgama, K.

    2018-03-01

    Modeling can be categorised into four main domains: prediction, optimisation, estimation and calibration. In this paper, the Takagi-Sugeno-Kang (TSK) fuzzy logic method is examined as a prediction modelling method to investigate the taper quality of laser lathing, which seeks to replace traditional lathe machines with 3D laser lathing in order to achieve the desired cylindrical shape of stock materials. Three design parameters were selected: feed rate, cutting speed and depth of cut. A total of twenty-four experiments were conducted with eight sequential runs and replicated three times. The results were found to be 99% of accuracy rate of the TSK fuzzy predictive model, which suggests that the model is a suitable and practical method for non-linear laser lathing process.

  2. Optimization of soft x-ray line emission from laser-produced carbon ...

    Indian Academy of Sciences (India)

    Intense XUV soft x-ray emission from laser-produced plasma sources is currently ... absorption edges of oxygen and carbon respectively) is particularly attractive as it permits ... ability of the target element producing intense discrete lines in the water .... ficient due to Pert [17] and dielectronic recombination coefficient due to ...

  3. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  4. Spectroscopic analysis of coal plasma emission produced by laser ablation

    OpenAIRE

    Vera-Londoño, Liliana Patricia; Pérez-Taborda, Jaime Andrés; Riascos-Landázuri, Henry

    2016-01-01

    An analysis of plasma produced by laser ablation using 1,064 nm of laser radiation from a Q-switched Nd:YAG on coal mineral samples under air ambient, was performed. The emission of molecular band systems such as C2 Swan System , the First Negative System N2 (Band head at 501.53 nm) and different emission lines were investigated using the optical emission spectroscopy technique. The C2 molecular spectra (Swan band) were analyzed to determine vibrational temperature (0.62 eV). The density and ...

  5. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  6. Femtosecond laser ablation of transparent microphotonic devices and computer-generated holograms.

    Science.gov (United States)

    Alqurashi, Tawfiq; Montelongo, Yunuen; Penchev, Pavel; Yetisen, Ali K; Dimov, Stefan; Butt, Haider

    2017-09-21

    Femtosecond laser ablation allows direct patterning of engineering materials in industrial settings without requiring multistage processes such as photolithography or electron beam lithography. However, femtosecond lasers have not been widely used to construct volumetric microphotonic devices and holograms with high reliability and cost efficiency. Here, a direct femtosecond laser writing process is developed to rapidly produce transmission 1D/2D gratings, Fresnel Zone Plate lenses, and computer-generated holograms. The optical properties including light transmission, angle-dependent resolution, and light polarization effects for the microphotonic devices have been characterized. Varying the depth of the microgratings from 400 nm to 1.5 μm allowed the control over their transmission intensity profile. The optical properties of the 1D/2D gratings were validated through a geometrical theory of diffraction model involving 2D phase modulation. The produced Fresnel lenses had transmission efficiency of ∼60% at normal incidence and they preserved the polarization of incident light. The computer-generated holograms had an average transmission efficiency of 35% over the visible spectrum. These microphotonic devices had wettability resistance of contact angle ranging from 44° to 125°. These devices can be used in a variety of applications including wavelength-selective filters, dynamic displays, fiber optics, and biomedical devices.

  7. Striated filamentary sparks produced by a CO2 TEA laser

    International Nuclear Information System (INIS)

    Schmieder, R.W.

    1979-01-01

    Sparks in the form of long, thin filaments having quasi-periodic longitudinal light and dark regions (striations) in time-integrated images have been ovserved in various gases using a CO 2 TEA laser. Typically, a 50-mJ pulse will produce a filament 1 cm long and 130 μm in diameter, with more than 150 striations spaced 50 μm apart in atmospheric air. Each striation is associated with the formation of a plasma region by one pulse in train of pulses from the mode-locked laser, and the filament results from the formation of successive (nearly identical) region, each displaced from the previous one toward the laser. The possible use of these sparks as a light source in diagnostics is noted

  8. Calculation for laser-produced plasmas conditions of thin middle-Z targets: Pt.I

    International Nuclear Information System (INIS)

    Peng Huimin; Zhang Guoping; Sheng Jiatian; Shao Yunfeng; Zhang Yinchun

    1988-01-01

    An one-dimentional non-LTE laser irradiated code was used to simulate the laser-produced plasmas conditions of thin middle Z targets with high intensities (about 10 13 W/cm 2 ) irradiation. Following physical processes are considered: bremsstrahlung, radiative ionization, collisional ionization by electrons and their inverse processes, Compton scattering. Fokker-Planck approximtaion is used in Compton scattering; the thermal flux limits are taken for electrons and ions in the calculating, and the multigroup flux-limited diffusion approximation is taken for the radiative transport equations. The average-atom model is used to calculate the population probabilities of atoms. Laser absorption via inverse bremsstrahlung is considered to be the most important in the simulation. Using laser beams with intensities 5 x 10 13 W/cm 2 and 1 x 10 14 W/cm 2 , λ L = 0.53 μm, τ = 450 ps to irradiate thin Se target from single-side and double-sides separately, the computational results for laser-produced plasmas conditions are well agree with experimental results

  9. Low-Cost Fabrication of Hollow Microneedle Arrays Using CNC Machining and UV Lithography

    DEFF Research Database (Denmark)

    Lê Thanh, Hoà; Ta, B.Q.; Le The, H.

    2015-01-01

    In order to produce disposable microneedles for blood-collection devices in smart homecare monitoring systems, we have developed a simple low-cost scalable process for mass fabrication of sharp-tipped microneedle arrays. The key feature in this process is a design of computer numerical control......-machined aluminum sample (CAS). The inclined sidewalls on the CAS enable microfabricated traditional-shaped microneedles (TMNs) to be produced in the desired shape. This process provides significant advantages over other methods that use inclined lithography or anisotropic wet etching. TMNs with a length of 1510 mu...

  10. Determination of dislocation density by electron backscatter diffraction and X-ray line profile analysis in ferrous lath martensite

    International Nuclear Information System (INIS)

    Berecz, Tibor; Jenei, Péter; Csóré, András; Lábár, János; Gubicza, Jenő

    2016-01-01

    The microstructure and the dislocation density in as-quenched ferrous lath martensite were studied by different methods. The blocks, packets and variants formed due to martensitic transformation were identified and their sizes were determined by electron backscatter diffraction (EBSD). Concomitant transmission electron microscopy (TEM) investigation revealed that the laths contain subgrains with the size between 50 and 100 nm. A novel evaluation procedure of EBSD images was elaborated for the determination of the density and the space distribution of geometrically necessary dislocations from the misorientation distribution. The total dislocation density obtained by X-ray diffraction line profile analysis was in good agreement with the value determined by EBSD, indicating that the majority of dislocations formed due to martensitic transformation during quenching are geometrically necessary dislocations.

  11. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  12. Characteristics and applications of ion streams produced by long-pulse lasers

    International Nuclear Information System (INIS)

    Rohlena, K.; Laska, L.; Jungwirth, K.; Krasa, J.; Krousky, E.; Masek, M.; Pleifer, M.; Ullschmied, J.; Badziak, J.; Parys, P.; Wolowski, J.; Gammino, S.; Torrisi, L.; Boody, F. P.

    2005-01-01

    If a laser plasma generated on a target with a high Z if left to expand it becomes a very efficient source of highly charged ions. Depending on the parameters of the laser driver, ions with charge states from 1+up to more than 50+can be produced, with ion energies ranging from tens of eV up to tens of MeV, with no external acceleration. The ion current density may reach tens of mA/cm''3 at a distance of 1 m from the target. they can be used either for a direct to accelerator injection, for a hybrid ion source based on coupling of a laser with an Electron Cyclotron Resonance Ion Source for easier evaporation and pre-ionisation of the target material and a subsequent charge state enhancement, or for a direct ion implantation. As substrates for the implantation metallic and polymer materials are usually exposed to the laser produced ion streams with an appropriate tuning of the implantation regime to modify their surface properties. Although the interaction of the laser beam with the plasma is a fairly complex process certain fundamental phenomena have been identified based on a careful analysis of the charge-energy spectra of the outgoing ion streams. The most striking feature is a multi peak structure of the energy spectra suggesting the presence of several fast electron groups guiding the plasma expansion and assisting the charge freezing by its acceleration. On the other hand, an inherent asymmetry of the ion spectra with respect to the laser caustic can be interpreted as the onset of self focusing of the heating laser-beam inside the self-created plasma of the developing laser corona (or a pre-pulse plasma either formed by an engineered double pulse or generated spontaneously in the case of an unduly bad contrast of the heating pulse) with a dramatic increase in the power density impinging on the target. Experimental and theoretical arguments are given in support of this notion, which was first advanced by Hora. (Author)

  13. Polarization spectroscopy on laser-produced plasmas and Z-pinch plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Dong E. [POSTECH, Kyungbuk (Korea); Baronova, Elena O. [RRC Kurchatov Institute, Nuclear Fusion Institute, Moscow (Russian Federation); Jakubowski, Lech [Soltan Institute for Nuclear Studies, Swierk-Otwock (Poland)

    2002-08-01

    PPS experiments on laser-produced plasmas are reviewed. Polarization is interpreted in terms of the anisotropic velocity distribution of electrons due to non-local transport. The polarization of an x-ray laser, and recent results regarding the recombining plasma are also presented. X-ray polarization spectroscopy experiments on heliumlike ion lines from a vacuum spark and from a plasma focus are presented: in both cases, the resonance line of the heliumlike ions shows polarization in the direction perpendicular to the discharge axis. Two possible interpretations are suggested. (author)

  14. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    Energy Technology Data Exchange (ETDEWEB)

    Halbwax, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Sarnet, T. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France)], E-mail: sarnet@lp3.univ-mrs.fr; Hermann, J.; Delaporte, Ph.; Sentis, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Fares, L.; Haller, G. [STMicroelectronics, 190 Avenue Celestin Coq, ZI, 13106 Rousset Cedex (France)

    2007-12-15

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring.

  15. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    International Nuclear Information System (INIS)

    Halbwax, M.; Sarnet, T.; Hermann, J.; Delaporte, Ph.; Sentis, M.; Fares, L.; Haller, G.

    2007-01-01

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring

  16. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    Science.gov (United States)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  17. Overview of the program on soft x-ray lasers and their applications at Princeton

    International Nuclear Information System (INIS)

    Suckewer, S.; Ilcisin, K.; Princeton Univ., NJ

    1991-05-01

    In the last several years, rapid progress in the development of soft x-ray lasers (SXL) has been observed at a number of laboratories worldwide. Although SXLs are very ''young'' devices they have already been used for microscopy and holography, and new ideas emerging for broader application of SXLs to microscopy, holography and lithography. This paper describes the work at Princeton University on the development of a soft x-ray imaging transmission microscopy using a SXL as a radiation source and work on the development of a novel soft x-ray reflection microscope and its application to biological cell studies and lithography. Progress in the development of a photopumped VUV laser (60 nm), and programs for the development of a small scale SXL and for the application of a powerful subpicosecond KrF laser system are also discussed. 35 refs., 9 figs., 1 tab

  18. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    Science.gov (United States)

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Morphology, crystallography, and crack paths of tempered lath martensite in a medium-carbon low-alloy steel

    International Nuclear Information System (INIS)

    Wang, Chengduo; Qiu, Hai; Kimura, Yuuji; Inoue, Tadanobu

    2016-01-01

    The tempered lath martensite and its crack propagation have significant influence on the ductility and toughness of the warm tempformed medium-carbon steel. The martensitic microstructures of these medium-carbon steels are transformed from twinned austenite and the orientation relationship of lath martensite (α′) with prior austenite (γ) is distinctive. In the present paper we investigate the microstructure and fracture mode of a quenched and tempered 0.4%C-2%Si-1%Cr-1%Mo steel using electron backscatter diffraction technique. The results showed that the orientation relationship between γ and α′ is Greninger-Troiano (G-T) relationship. A single γ grain was divided into 4 packets and each packet was subdivided into 3 blocks. The misorientation angles between adjacent blocks were ~54.3° or ~60.0° in a packet. Most γ grains were twins sharing a {111} γ plane. There were 7 packets in a twinned γ grain and the twin boundaries were in a special packet. Besides the common packet, there were three packets in each twin. Being different from the cleavage fracture along the {001} planes in conventional martensitic steels, both cleavage and intergranular cracks were present in our medium-carbon steel. The former was in the larger blocks and it propagated along the {001}, {011}, and {112} planes. The latter propagated along the block, packet, or prior austenite boundaries. The intergranular cracks were generally in the fine block region. These results suggested that the block size is the key factor in controlling the brittle fracture mode of lath martensitic steel.

  20. Researches Concerning to Minimize Vibrations when Processing Normal Lathe

    Directory of Open Access Journals (Sweden)

    Lenuța Cîndea

    2015-09-01

    Full Text Available In the cutting process, vibration is inevitable appearance, and in situations where the amplitude exceeds the limits of precision dimensional and shape of the surfaces generated vibrator phenomenon is detrimental.Field vibration is an issue of increasingly developed, so the futures will a better understanding of them and their use even in other sectors.The paper developed experimental measurement of vibrations at the lathe machining normal. The scheme described kinematical machine tool, cutting tool, cutting conditions, presenting experimental facility for measuring vibration occurring at turning. Experimental results have followed measurement of amplitude, which occurs during interior turning the knife without silencer incorporated. The tests were performed continuously for different speed, feed and depth of cut.

  1. Potential of the FLASH FEL technology for the construction of a kW-scale light source for the next generation lithography

    Energy Technology Data Exchange (ETDEWEB)

    Schneidmiller, E.A.; Vogel, V.F.; Weise, H.; Yurkov, M.V.

    2011-08-15

    The driving engine of the Free Electron Laser in Hamburg (FLASH) is an L-band superconducting accelerator. It is designed to operate in burst mode with 800 microsecond pulse duration at a repetition rate of 10 Hz. The maximum accelerated beam current during the macropulse is 9 mA. Our analysis shows that the FLASH technology has great potential since it is possible to construct a FLASH like free electron laser operating at the wavelength of 13.5 and 6.8 nanometer with an average power up to 2.6 kW. Such a source meets the physical requirements for the light source for the next generation lithography. (orig.)

  2. Seeding High Gain Harmonic Generation with Laser Harmonics produced in Gases

    CERN Document Server

    Lambert, Guillaume; Couprie, Marie Emmanuelle; Garzella, David; Doria, Andrea; Giannessi, Luca; Hara, Toru; Kitamura, Hideo; Shintake, Tsumoru

    2004-01-01

    Free electron Lasers employing High Gain Harmonic generation (HGHG) schemes are very promising coherent ligth sources for the soft X-ray regime. They offer both transverse and longitudinal coherence, while Self Amplified Spontaneous Emission schemes have a longitudinal coherence limited. We propose here to seed HGHG with high harmonics produced by a Ti:Sa femtosecond laser focused on a gas jet, tuneable in the 100-10 nm spectral region. Specifities concerning the implementation of this particular laser source as a seed for HGHG are investigated. Semi analytical , numerical 1D and 3D calculations are given, for the cases of the SCSS, SPARC and ARC-EN-CIEL projects.

  3. Experimental studies of particle acceleration with ultra-intense lasers - Applications to nuclear physics experiments involving laser-produced plasmas

    International Nuclear Information System (INIS)

    Plaisir, C.

    2010-11-01

    For the last ten years, the Ultra High Intensity Lasers offer the opportunity to produce accelerated particle beams which contain more than 10 12 electrons, protons accelerated into a few ps. We have simulated and developed some diagnostics based on nuclear activation to characterize both the angular and the energy distributions of the particle beams produced with intense lasers. The characterization methods which are presented are illustrated by means of results obtained in different experiments. We would use the particle beams produced to excite nuclear state in a plasma environment. It can modify intrinsic characteristics of the nuclei such as the half-life of some isomeric states. To prepare this kind of experiments, we have measured the nuclear reaction cross section (gamma,n) to produce the isomeric state of the 84 Rb, which has an excitation energy of 463 keV, with the electron accelerator ELSA of CEA/DIF in Bruyeres-le-Chatel (France). (author)

  4. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  5. High level compressive residual stresses produced in aluminum alloys by laser shock processing

    International Nuclear Information System (INIS)

    Gomez-Rosas, G.; Rubio-Gonzalez, C.; Ocana, J.L; Molpeceres, C.; Porro, J.A.; Chi-Moreno, W.; Morales, M.

    2005-01-01

    Laser shock processing (LSP) has been proposed as a competitive alternative technology to classical treatments for improving fatigue and wear resistance of metals. We present a configuration and results for metal surface treatments in underwater laser irradiation at 1064 nm. A convergent lens is used to deliver 1.2 J/cm 2 in a 8 ns laser FWHM pulse produced by 10 Hz Q-switched Nd:YAG, two laser spot diameters were used: 0.8 and 1.5 mm. Results using pulse densities of 2500 pulses/cm 2 in 6061-T6 aluminum samples and 5000 pulses/cm 2 in 2024 aluminum samples are presented. High level of compressive residual stresses are produced -1600 MPa for 6061-T6 Al alloy, and -1400 MPa for 2024 Al alloy. It has been shown that surface residual stress level is higher than that achieved by conventional shot peening and with greater depths. This method can be applied to surface treatment of final metal products

  6. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  7. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  8. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  9. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  10. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  11. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  12. Properties of Laser-Produced Highly Charged Heavy Ions for Direct Injection Scheme

    CERN Document Server

    Sakakibara, Kazuhiko; Hayashizaki, Noriyosu; Ito, Taku; Kashiwagi, Hirotsugu; Okamura, Masahiro

    2005-01-01

    To accelerate highly charged intense ion beam, we have developed the Direct Plasma Injection Scheme (DPIS) with laser ion source. In this scheme an ion beam from a laser ion source is injected directly to a RFQ linac without a low energy beam transport (LEBT) and the beam loss in the LEBT can be avoided. We achieved high current acceleration of carbon ions (60mA) by DPIS with the high current optimized RFQ. As the next setp we will use heavier elements like Ag, Pb, Al and Cu as target in LIS (using CO2, Nd-YAG or other laser) for DPIS and will examine properties of laser-produced plasma (the relationship of between charge state and laser power density, the current dependence of the distance from the target, etc).

  13. Fault Diagnosis and Condition Monitoring of an All Geared Lathe Machine Using Piezoelectric Sensor

    Directory of Open Access Journals (Sweden)

    Amiya BHAUMIK

    2008-12-01

    Full Text Available Undesired vibrations are serious problems that affect and deteriorate the quality of the product. This paper investigates dynamic and vibrational characteristics of a newly installed All Geared Lathe Machine with piezoelectric sensor. A comparison is drawn with the data measured and acceptable data as per ISO 10816 and thus concluded that the machine is in working condition.

  14. XUV laser-produced plasma sheet beam and microwave agile mirror

    International Nuclear Information System (INIS)

    Shen, W.; Scharer, J.E.; Porter, B.; Lam, N.T.

    1994-01-01

    An excimer-laser (λ = 193 nm) produced plasma in an organic gas (TMAE) has been generated and studied. These studies have determined the ion-electron recombination coefficient and the photon absorption cross-section, of the neutral gas. The dependences of wave transmission, reflection and absorption on plasma density are obtained. A new optical system with an array of cylindrical XUV coated lenses has been implemented to form a plasma sheet to study its usage as agile mirror microwave reflector. The lens system expands the incident laser beam in X direction and compresses it in Y direction to form a sheet beam. The expanded beam then passes through a vacuum chamber filled with TMAE at 50--500 nTorr to produce the plasma sheet. Space-time measurements of the plasma density and temperature as measured by a Langmuir probe are presented. XUV optical measurements of the laser beam as measured by a photodiode are presented. Initial experiments have generated a plasma sheet of 5--10 mm x 11 cm with peak plasma density of 5 x 10 13 cm -3 . A microwave source will be utilized to study the agile mirror character of the plasma sheet. Modeling of the microwave reflection from the plasma profile will also be discussed

  15. Ultrafast gated imaging of laser produced plasmas using the optical Kerr effect

    International Nuclear Information System (INIS)

    Symes, D. R.; Wegner, U.; Ahlswede, H.-C.; Streeter, M. J. V.; Gallegos, P. L.; Divall, E. J.; Rajeev, P. P.; Neely, D.; Smith, R. A.

    2010-01-01

    Optical imaging is a versatile diagnostic for investigations of plasmas generated under intense laser irradiation. Electro-optic gating techniques operating on the >100 ps timescale are commonly used to reduce the amount of light detected from self-emission of hot plasma or improve the temporal resolution of the detector. The use of an optical Kerr gate enables a superior dynamic range and temporal resolution compared to electronically gated devices. The application of this method for enhanced imaging of laser produced plasmas with gate time ∼100 fs is demonstrated, and the possibility to produce a sub-10 fs, high dynamic range 'all optical' streak camera is discussed.

  16. High-intensity laser-accelerated ion beam produced from cryogenic micro-jet target

    Energy Technology Data Exchange (ETDEWEB)

    Gauthier, M., E-mail: maxence.gauthier@stanford.edu; Kim, J. B.; Curry, C. B.; Gamboa, E. J.; Göde, S.; Propp, A.; Glenzer, S. H. [SLAC National Accelerator Laboratory, Menlo Park, California 94025 (United States); Aurand, B.; Willi, O. [Heinrich-Heine-University Düsseldorf, Düsseldorf (Germany); Goyon, C.; Hazi, A.; Pak, A.; Ruby, J.; Williams, G. J. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States); Kerr, S. [University of Alberta, Edmonton, Alberta T6G 1R1 (Canada); Ramakrishna, B. [Indian Institute of Technology, Hyderabad (India); Rödel, C. [SLAC National Accelerator Laboratory, Menlo Park, California 94025 (United States); Friedrich-Schiller-University Jena, Jena (Germany)

    2016-11-15

    We report on the successful operation of a newly developed cryogenic jet target at high intensity laser-irradiation. Using the frequency-doubled Titan short pulse laser system at Jupiter Laser Facility, Lawrence Livermore National Laboratory, we demonstrate the generation of a pure proton beam a with maximum energy of 2 MeV. Furthermore, we record a quasi-monoenergetic peak at 1.1 MeV in the proton spectrum emitted in the laser forward direction suggesting an alternative acceleration mechanism. Using a solid-density mixed hydrogen-deuterium target, we are also able to produce pure proton-deuteron ion beams. With its high purity, limited size, near-critical density, and high-repetition rate capability, this target is promising for future applications.

  17. Electrodeless excimer laser; Laser a eccimeri senza elettrodi

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, N. [ENEA, Divisione Nuovi Materiali, Centro Ricerche Casaccia, Rome (Italy)

    2001-07-01

    In this paper it is proposed how to build an excimer laser based on an electrodeless discharge (or Dielectric Barrier Discharge). Such laser could operate with a low energy per pulse (<100 mJ) and a high repetition rate (<100 kHz). The most relevant advantage an electrodeless DBD laser is the much longer gas mixture lifetime. This feature could allow the operation of a sealed laser emitting higher average power with respect to commercially available excimer lasers. Such discharge scheme could be advantageous in order to excite the F{sub 2} excimer molecule, whose emission wavelength in the VUV range (157 nm) at high reprate is particularly interesting in the micro-lithography field. [Italian] In questo documento viene proposto come costruire un laser a eccimeri basato su una scarica priva di elettrodi, o Dielectric Barrier Discharge. Tale laser puo' funzionare con una bassa energia per impulso (<100 mJ) ad alta frequenza di ripetizione (<100 kHz). Il vantaggio fondamentale di un laser a DBD e quindi privo di elettrodi e' la vita media della miscela gassosa molto piu' alta che potrebbe permettere alla camera laser di operare sigillata ad una potenza media superiore a quella dei laser a eccimeri attuali. Tale schema di pompaggio potrebbe essere particolarmente vantaggioso per eccitare la molecola eccimero F{sub 2} la cui lunghezza di emissione nel VUV (157 nm) ad elevata frequenza di ripetizione presenta un notevole interesse nel campo della produzione di microcircuiti.

  18. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  19. Antibacterial Titanium Produced Using Selective Laser Melting

    Science.gov (United States)

    Macpherson, Andrew; Li, Xiaopeng; McCormick, Paul; Ren, Ling; Yang, Ke; Sercombe, Timothy B.

    2017-12-01

    Titanium and titanium alloys used in current medical and dental applications do not possess antibacterial properties, and therefore, postoperative infection remains a significant risk. Recently, the addition of silver and copper to conventional biomaterials has been shown to produce a material with good antibacterial properties. In this article, we investigate selective laser melting as a method of producing antibacterial Ti-6Al-4V containing elemental additions of Cu or Ag. The addition of Ag had no effect on the microstructure or strength, but it did result in a 300% increase in the ductility of the alloy. In contrast, the addition of Cu resulted in an increase in strength but in a decrease in ductility, along with a change in the structure of the material. The Cu-containing alloy also showed moderate antibacterial properties and was superior to the Ag-containing alloy.

  20. Increase efficiency CNC lathe with the help of fuzzy logic controller (FLC

    Directory of Open Access Journals (Sweden)

    Mošorinski Predrag R.

    2016-01-01

    Full Text Available This paper discusses the process of increasing the effectiveness of CNC lathe for carrying out the appropriate experiments. Experiments are related to the plastics processing machine and programming fuzzy logic controller (FLC for the requirements of machining. Input parameters of the FLCare obtained as a result of previous experimental parameters set by experience and with a great subjective impact of technologists. Expected results of FLC's settings are based on the complete autonomy of the process and eliminating subjective errors.

  1. Study of electrons distribution produced by laser-plasma interaction on x-ray generation

    International Nuclear Information System (INIS)

    Nikzad, L.; Sadighi-Bonabi, R.

    2010-01-01

    Complete text of publication follows. In the present work, X-ray beams are generated from interaction of relativistic electron beams produced by interaction of 500 mJ, 30 femtosecond Ti:sapphire laser pulses with thin solid targets such as lead, molybdenum and tungsten. After interaction of an intense pulsed laser with He gas-jet, a micron-scale laser produced plasma, creates and accelerates electron bunches, which propagate in the ion channel produced in the wake of the laser pulse. When an electron bunch is injected into the bubble in phase with its field, it will gain relativistic energies within very short distance. These accelerated electrons with Megaelectron-Volt energy and different distributions, can interact with targets to generate X-ray radiation with Kiloelectron-Volt energy, providing to be close enough to the gas-jet, where the relativistic accelerated electrons exist. Here, to determine the results, Monte Carlo simulation (MCNP-4C code) is employed to present Bremsstrahlung and characteristic X-ray production by quasi-Maxwellian and quasi-monoenergetic electron beams for three samples with different thicknesses. The outcome shows that for one specific electron spectrum and one definite target, the energy which the maximum characteristic x-ray flux takes place, varies with thickness. Also, for each material the energy which this maximum happens is constant for all thicknesses, for both produced electron spectra. For each sample, x-ray flux is calculated for different thicknesses and the thickness which the maximum characteristic x-ray flux occurs is obtained. Besides, it is concluded that by increasing the atomic number of the target, maximum X-ray flux moves towards higher energy. Also, comparison of the results for three targets and two electron distributions shows that by using quasi-monoenergetic electron spectra, more intense and narrower characteristic X-ray can be produced compared to the quasi-Maxwellian electron distribution, almost for all

  2. Spatial-Resolved Measurement and Analysis of Extreme-Ultraviolet Emission Spectra from Laser-Produced Al Plasmas

    International Nuclear Information System (INIS)

    Cao Shi-Quan; Su Mao-Gen; Sun Dui-Xiong; Min Qi; Dong Chen-Zhong

    2016-01-01

    Extreme ultraviolet emission from laser-produced Al plasma is experimentally and theoretically investigated. Spatial-evolution emission spectra are measured by using the spatio-temporally resolved laser produced plasma technique. Based on the assumptions of a normalized Boltzmann distribution among the excited states and a steady-state collisional-radiative model, we succeed in reproducing the spectra at different detection positions, which are in good agreement with experiments. The decay curves about the electron temperature and electron density, as well as the fractions of individual Al ions and average ionization stage with increasing the detection distance are obtained by comparison with the experimental measurements. These parameters are critical points for deeply understanding the expanding and cooling of laser produced plasmas in vacuum. (paper)

  3. Precision tool holder with flexure-adjustable, three degrees of freedom for a four-axis lathe

    Science.gov (United States)

    Bono, Matthew J [Pleasanton, CA; Hibbard, Robin L [Livermore, CA

    2008-03-04

    A precision tool holder for precisely positioning a single point cutting tool on 4-axis lathe, such that the center of the radius of the tool nose is aligned with the B-axis of the machine tool, so as to facilitate the machining of precision meso-scale components with complex three-dimensional shapes with sub-.mu.m accuracy on a four-axis lathe. The device is designed to fit on a commercial diamond turning machine and can adjust the cutting tool position in three orthogonal directions with sub-micrometer resolution. In particular, the tool holder adjusts the tool position using three flexure-based mechanisms, with two flexure mechanisms adjusting the lateral position of the tool to align the tool with the B-axis, and a third flexure mechanism adjusting the height of the tool. Preferably, the flexures are driven by manual micrometer adjusters. In this manner, this tool holder simplifies the process of setting a tool with sub-.mu.m accuracy, to substantially reduce the time required to set the tool.

  4. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  5. Target surface structure effects on x-ray generation from laser produced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Nishikawa, Tadashi; Nakano, Hidetoshi; Uesugi, Naoshi [NTT Basic Research Laboratories, Atsugi, Kanagawa (Japan)

    2000-03-01

    We demonstrated two different methods to increase the x-ray conversion efficiency of laser-produced plasma by modifying the target surface structure. One way is making a rectangular groove on a target surface and confining a laser-produced plasma in it. By the plasma collision process, a time and wavelength (4-10 nm) integrated soft x-ray fluence enhancement of 35 times was obtained at a groove width of 20 {mu}m and a groove depth of 100 {mu}m on a Nd-doped glass target. The other way is making an array of nanoholes on an alumina target and increasing the laser interaction depth with it. The x-ray fluence enhancement increases as the ionization level of Al becomes higher and the x-ray wavelength becomes shorter. Over 50-fold enhancement was obtained at a soft x-ray wavelength around 6 nm, which corresponds to the emission from Al{sup 8+,9+} ions. (author)

  6. Target surface structure effects on x-ray generation from laser produced plasma

    International Nuclear Information System (INIS)

    Nishikawa, Tadashi; Nakano, Hidetoshi; Uesugi, Naoshi

    2000-01-01

    We demonstrated two different methods to increase the x-ray conversion efficiency of laser-produced plasma by modifying the target surface structure. One way is making a rectangular groove on a target surface and confining a laser-produced plasma in it. By the plasma collision process, a time and wavelength (4-10 nm) integrated soft x-ray fluence enhancement of 35 times was obtained at a groove width of 20 μm and a groove depth of 100 μm on a Nd-doped glass target. The other way is making an array of nanoholes on an alumina target and increasing the laser interaction depth with it. The x-ray fluence enhancement increases as the ionization level of Al becomes higher and the x-ray wavelength becomes shorter. Over 50-fold enhancement was obtained at a soft x-ray wavelength around 6 nm, which corresponds to the emission from Al 8+,9+ ions. (author)

  7. Influence of the focal point position on the properties of a laser-produced plasma

    International Nuclear Information System (INIS)

    Kasperczuk, A.; Pisarczyk, T.; Badziak, J.; Miklaszewski, R.; Parys, P.; Rosinski, M.; Wolowski, J.; Stenz, CH.; Ullschmied, J.; Krousky, E.; Masek, K.; Pfeifer, M.; Rohlena, K.; Skala, J.; Pisarczyk, P.

    2007-01-01

    This paper deals with investigations of the influence of the focusing lens focal point position on the properties of a plasma produced by a defocused laser beam. The experiment was carried out at the Prague Asterix Laser System iodine laser [K. Jungwirth, A. Cejnarova, L. Juha, B. Kralikova, J. Krasa, E. Krousky, P. Krupickova, L. Laska, K. Masek, T. Mocek, M. Pfeifer, A. Prag, O. Renner, K. Rohlena, B. Rus, J. Skala, P. Straka, and J. Ullschmied, Phys. Plasmas 8, 2495 (2001)] by using the third harmonic of laser radiation (λ=0.438 μm), laser energy of 70 J, pulse duration of 250 ps (full width at half-maximum), and beam spot radii of 250 and 400 μm. Cu and Ta were chosen as target materials. The experimental data were obtained by means of a three-frame interferometric system, ion collectors, and crater replica techniques. The reported results allow formulating an important hypothesis that the laser-produced plasma modifies strongly the laser intensity distribution. It is shown how such a modification depends on the relative position and distance of the focal point to the target surface. Of particular importance is whether the focal point is located inside or in front of the target. The irradiation geometry is crucial for the possibility of generating plasma jets by laser radiation. Well-formed jet-like plasma structures can be created if an initially homogeneous laser intensity distribution is transformed in the plasma to an annular one

  8. CO2-laser--produced plasma columns in a solenoidal magnetic field

    International Nuclear Information System (INIS)

    Offenberger, A.A.; Cervenan, M.R.; Smy, P.R.

    1976-01-01

    A 1-GW CO 2 laser pulse has been used to produce extended column breakdown of hydrogen at low pressure in a 20-cm-long solenoid. Magnetic fields of up to 110 kG were used to inhibit radial losses of the plasma column. A differential pumping scheme was devised to prevent formation of an opaque absorption wave travelling out of the solenoid back toward the focusing lens. Target burns give direct evidence for trapped laser beam propagation along the plasma column

  9. Influence of femtosecond laser produced nanostructures on biofilm growth on steel

    Science.gov (United States)

    Epperlein, Nadja; Menzel, Friederike; Schwibbert, Karin; Koter, Robert; Bonse, Jörn; Sameith, Janin; Krüger, Jörg; Toepel, Jörg

    2017-10-01

    Biofilm formation poses high risks in multiple industrial and medical settings. However, the robust nature of biofilms makes them also attractive for industrial applications where cell biocatalysts are increasingly in use. Since tailoring material properties that affect bacterial growth or its inhibition is gaining attention, here we focus on the effects of femtosecond laser produced nanostructures on bacterial adhesion. Large area periodic surface structures were generated on steel surfaces using 30-fs laser pulses at 790 nm wavelength. Two types of steel exhibiting a different corrosion resistance were used, i.e., a plain structural steel (corrodible) and a stainless steel (resistant to corrosion). Homogeneous fields of laser-induced periodic surface structures (LIPSS) were realized utilizing laser fluences close to the ablation threshold while scanning the sample under the focused laser beam in a multi-pulse regime. The nanostructures were characterized with optical and scanning electron microscopy. For each type of steel, more than ten identical samples were laser-processed. Subsequently, the samples were subjected to microbial adhesion tests. Bacteria of different shape and adhesion behavior (Escherichia coli and Staphylococcus aureus) were exposed to laser structures and to polished reference surfaces. Our results indicate that E. coli preferentially avoids adhesion to the LIPSS-covered areas, whereas S. aureus favors these areas for colonization.

  10. Dynamics expansion of laser produced plasma with different materials in magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Rabia Qindeel; Noriah Bte Bidin; Yaacob Mat daud [Laser Technology Laboratory, Physics Department, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia)], E-mail: plasmaqindeel@yahoo.com

    2008-12-01

    The dynamics expansion of the plasma generated by laser ablation of different materials has been investigated. The dynamics and confinement of laser generated plasma plumes are expanding across variable magnetic fields. A Q-switched neodymium-doped yttrium aluminum garnet laser with 1064 nm, 8 ns pulse width and 0.125 J laser energy was used to generate plasma that was allowed to expand across variable magnetic within 0.1 - 0.8 T. The expansions of laser-produced plasma of different materials are characterized by using constant laser power. CCD video camera was used to visualize and record the activities in the focal region. The plasma plume length, width and area were measured by using Matrox Inpector 2.1 and video Test 0.5 software. Spectrums of plasma beam from different materials are studied via spectrometer. The results show that the plasma generated by aluminum target is the largest than Brass and copper. The optical radiation from laser generated plasma beam spectrums are obtained in the range of UV to visible light.

  11. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  12. Shack-Hartmann Electron Densitometer (SHED): An Optical System for Diagnosing Free Electron Density in Laser-Produced Plasmas

    Science.gov (United States)

    2016-11-01

    Free Electron Density in Laser-Produced Plasmas by Anthony R Valenzuela Approved for public release; distribution is...AND SUBTITLE Shack-Hartmann Electron Densitometer (SHED): An Optical System for Diagnosing Free Electron Density in Laser-Produced Plasmas 5a...SUPPLEMENTARY NOTES 14. ABSTRACT The Shack-Hartmann Electron Densitometer is a novel method to diagnose ultrashort pulse laser–produced plasmas

  13. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  14. Resonant heating of a cluster plasma by intense laser light

    International Nuclear Information System (INIS)

    Antonsen, Thomas M. Jr.; Taguchi, Toshihiro; Gupta, Ayush; Palastro, John; Milchberg, Howard M.

    2005-01-01

    Gases of atomic clusters are interaction media for laser pulse propagation with properties useful for applications such as extreme ultraviolet (EUV) and x-ray microscopy, harmonic generation, EUV lithography, and laser plasma acceleration. To understand cluster heating and expansion, a series of two- and three-dimensional electrostatic particle in cell simulations of the explosion of argon clusters of diameter in the range 20 nm-53 nm have been preformed. The studies show that heating is dominated by a nonlinear, resonant absorption process that gives rise to a size-dependent intensity threshold for strong absorption and that controls the dielectric properties of the cluster. Electrons are first accelerated out from the cluster and then driven back into it by the combined effects of the laser field and the electrostatic field produced by the laser-driven charge separation. Above the intensity threshold for strong heating there is a dramatic increase in the production of energetic particles and harmonic radiation. The dielectric properties of a gas of clusters are determined by the ensemble average cluster polarizability. Individual electrons contribute to the polarizability differently depending on whether they are in the core of the cluster or in the outer edge. Consequently, there can be large fluctuations in polarizability during the heating of a cluster

  15. Protein deposition on a lathe-cut silicone hydrogel contact lens material.

    Science.gov (United States)

    Subbaraman, Lakshman N; Woods, Jill; Teichroeb, Jonathan H; Jones, Lyndon

    2009-03-01

    To determine the quantity of total protein, total lysozyme, and the conformational state of lysozyme deposited on a novel, lathe-cut silicone hydrogel (SiHy) contact lens material (sifilcon A) after 3 months of wear. Twenty-four subjects completed a prospective, bilateral, daily-wear, 9-month clinical evaluation in which the subjects were fitted with a novel, custom-made, lathe-cut SiHy lens material. The lenses were worn for three consecutive 3-month periods, with lenses being replaced after each period of wear. After 3 months of wear, the lenses from the left eye were collected and assessed for protein analysis. The total protein deposited on the lenses was determined by a modified Bradford assay, total lysozyme using Western blotting and the lysozyme activity was determined using a modified micrococcal assay. The total protein recovered from the custom-made lenses was 5.3 +/- 2.3 microg/lens and the total lysozyme was 2.4 +/- 1.2 microg/lens. The denatured lysozyme found on the lenses was 1.9 +/- 1.0 microg/lens and the percentage of lysozyme denatured was 80 +/- 10%. Even after 3 months of wear, the quantity of protein and the conformational state of lysozyme deposited on these novel lens materials was very similar to that found on similar surface-coated SiHy lenses after 2 to 4 weeks of wear. These results indicate that extended use of the sifilcon A material is not deleterious in terms of the quantity and quality of protein deposited on the lens.

  16. Nonlinear processes in laser-produced dense plasma (observation of the fractional harmonics)

    International Nuclear Information System (INIS)

    Lyu, K.S.

    1988-01-01

    One of the main issues of laser plasma physics interactions is harmonic generation. The harmonic emission spectrum provides clues as to which non-linear processes take place in the plasma. Several effects contribute to a given line as judged from the complexity of the actual spectra. Unfolding of them has not been done satisfactorily yet. Harmonic lines with half integer or integer orders have been observed, but the physics are far from complete. In this dissertation research, we observed the usual second harmonic generation and a set of fractional harmonics which we believe have been observed for the first time in plasma physics. The plasma was produced by a high power laser and we have characterized its properties from the analysis of the radiation spectra, including the harmonic lines, as measured using the methods of transient spectroscopy. We produced the plasma with a Nd:glass laser which had a 65 nsec pulse width (FWHM) with a total energy of up to 6 Joules. The targets were steel alloys, copper, and aluminum. The harmonic generation from the plasma with a planar metal target was not strong. But, it became stronger when we made a dead hole (cavity) at the laser spot on the target surface. The second harmonic line appears first before the time of the peak of laser pulse. The fractional harmonics, which are related to the laser wavelength by rational number other than integers or half integers, appear near or after the time of the laser peak and weaker in UV wavelength range but stronger if some atomic emission line are near by. To understand the plasma evolution better, we developed computer simulation codes. The codes contain all relevant processes necessary to compute the plasma evolution

  17. Sub-10-nm suspended nano-web formation by direct laser writing

    Science.gov (United States)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  18. Charge-exchange-induced formation of hollow atoms in high-intensity laser-produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Rosmej, F.B. [TU-Darmstadt, Institut fuer Kernphysik, Darmstadt (Germany); Faenov, A.Ya.; Pikuz, T.A.; Magunov, A.I.; Skobelev, I.Yu. [Multicharged Ions Spectra Data Center of VNIIFTRI, Mendeleevo (Russian Federation); Auguste, T.; D' Oliveira, P.; Hulin, S.; Monot, P. [Commissariat a lEnergie Atomique DSM/DRECAM/SPAM, Gif-Sur-Yvette Cedex (France); Andreev, N.E.; Chegotov, M.V.; Veisman, M.E. [High Energy Density Research Centre, Institute of High Temperatures of Russian Academy of Sciences, Moscow (Russian Federation)

    1999-03-14

    For the first time registration of high-resolution soft x-ray emission and atomic data calculations of hollow-atom dielectronic satellite spectra of highly charged nitrogen have been performed. Double-electron charge-exchange processes from excited states are proposed for the formation of autoionizing levels nln'l' in high-intensity laser-produced plasmas, when field-ionized ions penetrate into the residual gas. Good agreement is found between theory and experiment. Plasma spectroscopy with hollow ions is proposed and a temperature diagnostic for laser-produced plasmas in the long-lasting recombining regime is developed. (author). Letter-to-the-editor.

  19. Effect of laser beam focus position on ion emission from plasmas produced by picosecond and sub-nanosecond laser pulses from solid targets

    Czech Academy of Sciences Publication Activity Database

    Woryna, E.; Badziak, J.; Makowski, J.; Parys, P.; Wolowski, J.; Krása, Josef; Láska, Leoš; Rohlena, Karel; Vankov, A. B.

    2001-01-01

    Roč. 31, č. 4 (2001), s. 791-798 ISSN 0078-5466 R&D Projects: GA AV ČR IAA1010105 Grant - others:KBN(PL) 2 P03B 082 19 Institutional research plan: CEZ:AV0Z1010921 Keywords : laser-produced plasma * laser beam focus position influence Subject RIV: BH - Optics, Masers, Lasers Impact factor: 0.298, year: 2001

  20. Blue laser diode (LD) and light emitting diode (LED) applications

    International Nuclear Information System (INIS)

    Bergh, Arpad A.

    2004-01-01

    The family of blue LEDs, edge emitting and surface emitting lasers, enable a number of applications. Blue lasers are used in digital applications such as optical storage in high density DVDs. The resolution of the spot size and hence the storage density is diffraction limited and is inversely proportional to the square of the wavelength of the laser. Other applications include printing, optical scanners, and high-resolution photo-lithography. As light emitters, blue LEDs are used for signaling and in direct view large area emissive displays. They are also making inroads into signage and LCD back-lighting, mobile platforms, and decorative accent lighting in curtains, furniture, etc. Blue LEDs produce white light either with phosphor wavelength converters or in combination with red and green LEDs. The full potential of LED light sources will require three devices to enable complete control over color and intensity. Sensing and medical/bio applications have a major impact on home security, on monitoring the environment, and on health care. New emerging diagnostic and therapeutic applications will improve the quality and reduce the cost of health care. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Blue laser diode (LD) and light emitting diode (LED) applications

    Energy Technology Data Exchange (ETDEWEB)

    Bergh, Arpad A [Optoelectronics Industry Development Association (OIDA), 1133 Connecticut Avenue, NW, Suite 600, Washington, DC 20036-4329 (United States)

    2004-09-01

    The family of blue LEDs, edge emitting and surface emitting lasers, enable a number of applications. Blue lasers are used in digital applications such as optical storage in high density DVDs. The resolution of the spot size and hence the storage density is diffraction limited and is inversely proportional to the square of the wavelength of the laser. Other applications include printing, optical scanners, and high-resolution photo-lithography. As light emitters, blue LEDs are used for signaling and in direct view large area emissive displays. They are also making inroads into signage and LCD back-lighting, mobile platforms, and decorative accent lighting in curtains, furniture, etc. Blue LEDs produce white light either with phosphor wavelength converters or in combination with red and green LEDs. The full potential of LED light sources will require three devices to enable complete control over color and intensity. Sensing and medical/bio applications have a major impact on home security, on monitoring the environment, and on health care. New emerging diagnostic and therapeutic applications will improve the quality and reduce the cost of health care. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Chemistry, spectroscopy and isotope separation of zirconium and its compounds as revealed by laser diagnostics of laser produced metal beams

    International Nuclear Information System (INIS)

    Hackett, P.A.; Humphries, M.; Rayner, D.M.; Bourne, O.L.; Mitchell, A.

    1986-01-01

    Recent work from the author's laboratory on zirconium beams is reviewed. Zirconium metal beams have been produced by laser vaporization of solid zirconium targets coupled with supersonic expansion of helium gas. The resultant supersonic metal beam is shown to present an ideal environment for various spectroscopic techniques. The state distribution of zirconium atoms in the beam is obtained from low resolution laser induced fluorescence (LIF) studies. High resolution LIF studies give information on the hyperfine splitting in the ground state of the zirconium-91 isotope. Information on the hyperfine splitting in the excited state is obtained from quantum beat spectroscopy. Low resolution 2 color multiphoton ionization spectroscopy using a XeCl laser allows isotope separation of all isotopes of zirconium. These metal beams are highly reactive and can be used to produce novel chemical species. The results of two studies in which a reactant is added to the expansion gas are reported here. Zirconium oxide (ZrO), a molecule observed in the emission spectra of cool stars and in laboratory studies at high temperatures, is produced in a low temperature, collision free environment by adding small quantities of oxygen to the expansion gas. Zirconium fluoride (ZrF), a molecule previously unobserved, is produced by the addition of small quantities of CF/sub 4/

  3. Direct isotope ratio measurement of uranium metal by emission spectrometry on a laser-produced plasma

    International Nuclear Information System (INIS)

    Pietsch, W.; Petit, A.; Briand, A.

    1995-01-01

    The method of Optical Emission Spectrometry on a Laser-Produced Plasma (OES/LPP) at reduced pressure has been studied for the determination of the uranium isotope ratio ( 235 U/ 238 U). Spectral profiles of the investigated transition U-II 424.437 nm show the possibility to obtain an isotopic spectral resolution in a laser-produced plasma under exactly defined experimental conditions. Spectroscopic data and results are presented. (author)

  4. Influence of low atomic number plasma component on the formation of laser-produced plasma jets

    Czech Academy of Sciences Publication Activity Database

    Kasperczuk, A.; Pisarczyk, T.; Badziak, J.; Borodziuk, S.; Chodukowski, T.; Gus’kov, S.Yu.; Demchenko, N. N.; Ullschmied, Jiří; Krouský, Eduard; Mašek, Karel; Pfeifer, Miroslav; Rohlena, Karel; Skála, Jiří; Pisarczyk, P.

    2010-01-01

    Roč. 17, č. 11 (2010), s. 114505 ISSN 1070-664X R&D Projects: GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508; CEZ:AV0Z10100523 Keywords : Composed laser targets * target material * laser produced-plasma jets * PALS laser Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.320, year: 2010 http://pop.aip.org/ resource /1/phpaen/v17/i11/p114505_s1

  5. Pengaruh Penambahan Paladium Terhadap Perilaku Thermal Amalgam Tembaga Tinggi Tipe Lathe Cut

    Directory of Open Access Journals (Sweden)

    Ellyza Herda

    2015-09-01

    Full Text Available Effects of additing 1 percent (w/o palladium (Pd on the thermal behavior of a lathe cut type high copper amalgam (13 w/o copper were studied. The identical alloys, with and without 1% Pd were fabricated. X-ray diffraction studies of the amalgams revealed the elimination of the γ2-phase by Pd addition DSC thermogram of non-Pd containing amalgam indicated the existence of two γ1-phaseone with the transition temperature (endothermic peak at 88◦C and the other at 109◦C. The thermogram data of the Pd containing amalgam showed an endothermic peak at 110.7◦C. The transition temperature of the n phase of the palladium containing amalgam is 4.9◦C lower than the transition temperature of the n phase of the non Pd containing amalgam. This result indicates that the n phase of the Pd containing amalgam includes more of Tin (Sn than the non-Pd containing amalgam. The thermogravimetri diagram showed that the phase decomposition occurred at about 390◦C for the non-Pd containing amalgam and at about 410◦C for the Pd containing amalgam. It is concluded that the addition of 1% Pd into a lathe cut type of high copper amalgam (13% could eliminate the formation of γ2 phase as well as an unstable γ1 phase, promoting strong mercury bonding to Silver.

  6. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  7. Spectral investigation of highly ionized bismuth plasmas produced by subnanosecond Nd:YAG laser pulses

    Science.gov (United States)

    Wu, Tao; Higashiguchi, Takeshi; Li, Bowen; Arai, Goki; Hara, Hiroyuki; Kondo, Yoshiki; Miyazaki, Takanori; Dinh, Thanh-Hung; Dunne, Padraig; O'Reilly, Fergal; Sokell, Emma; O'Sullivan, Gerry

    2016-02-01

    The unresolved transition arrays (UTAs) emitted from laser produced bismuth (Bi) plasma sources show potential for single-shot live cell imaging. We have measured extreme ultraviolet spectra from bismuth laser produced plasmas in the 1-7 nm region using a λ = 1064 nm Nd:YAG laser with a pulse duration of 150 ps. Comparison of spectra obtained under different laser power densities with calculations using the Hartree-Fock with configuration interaction Cowan suite of codes and the UTA formalism, as well as consideration of previous predictions of isoelectronic trends, are employed to identify lines and a number of new features in spectra from Bi XXIII to Bi XLVII. The results show that Δn = 0, n = 4-4 emission from highly charged ions merges to form intense UTAs in the 4 nm region and Δn = 1, n = 4-5 resonance transitions UTAs dominate the 1-3 nm region of the Bi spectrum.

  8. Spectral investigation of highly ionized bismuth plasmas produced by subnanosecond Nd:YAG laser pulses

    International Nuclear Information System (INIS)

    Wu, Tao; Higashiguchi, Takeshi; Arai, Goki; Hara, Hiroyuki; Kondo, Yoshiki; Miyazaki, Takanori; Dinh, Thanh-Hung; Li, Bowen; Dunne, Padraig; O’Reilly, Fergal; Sokell, Emma; O’Sullivan, Gerry

    2016-01-01

    The unresolved transition arrays (UTAs) emitted from laser produced bismuth (Bi) plasma sources show potential for single-shot live cell imaging. We have measured extreme ultraviolet spectra from bismuth laser produced plasmas in the 1–7 nm region using a λ = 1064 nm Nd:YAG laser with a pulse duration of 150 ps. Comparison of spectra obtained under different laser power densities with calculations using the Hartree–Fock with configuration interaction Cowan suite of codes and the UTA formalism, as well as consideration of previous predictions of isoelectronic trends, are employed to identify lines and a number of new features in spectra from Bi XXIII to Bi XLVII. The results show that Δn = 0, n = 4–4 emission from highly charged ions merges to form intense UTAs in the 4 nm region and Δn = 1, n = 4–5 resonance transitions UTAs dominate the 1–3 nm region of the Bi spectrum. (paper)

  9. Energy Spread Reduction of Electron Beams Produced via Laser Wake

    Energy Technology Data Exchange (ETDEWEB)

    Pollock, Bradley Bolt [Univ. of California, San Diego, CA (United States)

    2012-01-01

    Laser wakefield acceleration of electrons holds great promise for producing ultra-compact stages of GeV scale, high quality electron beams for applications such as x-ray free electron lasers and high energy colliders. Ultra-high intensity laser pulses can be self-guided by relativistic plasma waves over tens of vacuum diffraction lengths, to give >1 GeV energy in cm-scale low density plasma using ionization-induced injection to inject charge into the wake at low densities. This thesis describes a series of experiments which investigates the physics of LWFA in the self-guided blowout regime. Beginning with high density gas jet experiments the scaling of the LWFA-produced electron beam energy with plasma electron density is found to be in excellent agreement with both phenomenological theory and with 3-D PIC simulations. It is also determined that self-trapping of background electrons into the wake exhibits a threshold as a function of the electron density, and at the densities required to produce electron beams with energies exceeding 1 GeV a different mechanism is required to trap charge into low density wakes. By introducing small concentrations of high-Z gas to the nominal He background the ionization-induced injection mechanism is enabled. Electron trapping is observed at densities as low as 1.3 x 1018 cm-3 in a gas cell target, and 1.45 GeV electrons are demonstrated for the first time from LWFA. This is currently the highest electron energy ever produced from LWFA. The ionization-induced trapping mechanism is also shown to generate quasi-continuous electron beam energies, which is undesirable for accelerator applications. By limiting the region over which ionization-induced trapping occurs, the energy spread of the electron beams can be controlled. The development of a novel two-stage gas cell target provides the capability to tailor the gas composition in the longitudinal direction, and confine the trapping process to occur only in a

  10. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  11. Investigation of micro-plasma in physiological saline produced by a high-power YAG laser

    International Nuclear Information System (INIS)

    Lu Jian; Ni Xiaowu; He Anzhi

    1994-01-01

    Micro-plasma and shock waves in the physiological saline produced by a Q-switched pulse YAG laser with nearby optical breakdown threshold energy are investigated by using optical shadowing exploring method, and a series of optical shadow graphs of micro-plasma and shock waves versus the incident laser energy and the delay time are obtained. Influence of mechanical action of shock waves for the high-power pulse laser on the ophthalmic treatment is discussed

  12. Automated aberration correction of arbitrary laser modes in high numerical aperture systems

    OpenAIRE

    Hering, Julian; Waller, Erik H.; Freymann, Georg von

    2016-01-01

    Controlling the point-spread-function in three-dimensional laser lithography is crucial for fabricating structures with highest definition and resolution. In contrast to microscopy, aberrations have to be physically corrected prior to writing, to create well defined doughnut modes, bottlebeams or multi foci modes. We report on a modified Gerchberg-Saxton algorithm for spatial-light-modulator based automated aberration compensation to optimize arbitrary laser-modes in a high numerical aperture...

  13. ANALYTICAL MODEL FOR LATHE TOOL DISPLACEMENTS CALCULUS IN THE MANUFACTURING P ROCESS

    Directory of Open Access Journals (Sweden)

    Catălin ROŞU

    2014-01-01

    Full Text Available In this paper, we present an analytical model for lathe tools displacements calculus in the manufacturing process. We will present step by step the methodology for the displacements calculus and in the end we will insert these relations in a program for automatic calculus and we extract the conclusions. There is taken into account only the effects of the bending moments (because these insert the highest displacements. The simplifying assumptions and the calculus relations for the displacements (linea r and angular ones are presented in an original way.

  14. High-quality laser-produced proton beam realized by the application of a synchronous RF electric field

    International Nuclear Information System (INIS)

    Nakamura, Shu; Ikegami, Masahiro; Iwashita, Yoshihisa; Shirai, Toshiyuki; Tongu, Hiromu; Souda, Hikaru; Noda, Akira; Daido, Hiroyuki; Mori, Michiaki; Kado, Masataka; Sagisaka, Akito; Ogura, Koichi; Nishiuchi, Mamiko; Orimo, Satoshi; Hayashi, Yukio; Yogo, Akifumi; Pirozhkov, Alexander S.; Bulanov, Sergei V.; Esirkepov, Timur; Nagashima, Akira; Kimura, Toyoaki; Tajima, Toshiki; Takeuchi, Takeshi; Fukumi, Atsushi; Li, Zhong

    2007-01-01

    A short-pulse (∼210fs) high-power (∼1 TW) laser was focused on a tape target 3 and 5 μm in thickness to a size of 11 x 15 μm 2 with an intensity of 3 x 10 17 W/cm 2 . Protons produced by this laser with an energy spread of 100% were found to be improved to create peaks in the energy distribution with a spread of ∼7% by the application of the RF electric field with an amplitude of ±40kV synchronous to the pulsed laser. This scheme combines the conventional RF acceleration technique with laser-produced protons for the first time. It is possible to be operated up to 10 Hz, and is found to have good reproducibility for every laser shot with the capability of adjusting the peak positions by control of the relative phase between the pulsed laser and the RF electric field. (author)

  15. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  16. Morphological changes produced by acid dissolution in Er:YAG laser irradiated dental enamel.

    Science.gov (United States)

    Manuela Díaz-Monroy, Jennifer; Contreras-Bulnes, Rosalía; Fernando Olea-Mejía, Oscar; Emma Rodríguez-Vilchis, Laura; Sanchez-Flores, Ignacio

    2014-06-01

    Several scientific reports have shown the effects of Er:YAG laser irradiation on enamel morphology. However, there is lack of information regarding the morphological alterations produced by the acid attack on the irradiated surfaces. The aim of this study was to evaluate the morphological changes produced by acid dissolution in Er:YAG laser irradiated dental enamel. Forty-eight enamel samples were divided into four groups (n = 12). GI (control); Groups II, III, and IV were irradiated with Er:YAG at 100 mJ (12.7 J/cm(2) ), 200 mJ (25.5 J/cm(2) ), and 300 mJ (38.2 J/cm(2) ), respectively, at 10 Hz without water irrigation. Enamel morphology was evaluated before-irradiation, after-irradiation, and after-acid dissolution, by scanning electron microscopy (SEM). Sample coating was avoided and SEM analysis was performed in a low-vacuum mode. To facilitate the location of the assessment area, a reference point was marked. Morphological changes produced by acid dissolution of irradiated enamel were observed, specifically on laser-induced undesired effects. These morphological changes were from mild to severe, depending on the presence of after-irradiation undesired effects. © 2014 Wiley Periodicals, Inc.

  17. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  18. Sub-10 nm colloidal lithography for circuit-integrated spin-photo-electronic devices

    Directory of Open Access Journals (Sweden)

    Adrian Iovan

    2012-12-01

    Full Text Available Patterning of materials at sub-10 nm dimensions is at the forefront of nanotechnology and employs techniques of various complexity, efficiency, areal scale, and cost. Colloid-based patterning is known to be capable of producing individual sub-10 nm objects. However, ordered, large-area nano-arrays, fully integrated into photonic or electronic devices have remained a challenging task. In this work, we extend the practice of colloidal lithography to producing large-area sub-10 nm point-contact arrays and demonstrate their circuit integration into spin-photo-electronic devices. The reported nanofabrication method should have broad application areas in nanotechnology as it allows ballistic-injection devices, even for metallic materials with relatively short characteristic relaxation lengths.

  19. Spectra of neutrons and fusion charged products produced in a dense laser plasma

    International Nuclear Information System (INIS)

    Burtsev, V.A.; Dyatlov, V.D.; Krzhizhanovskij, R.E.; Levkovskij, A.A.

    1977-01-01

    The possibility of laser-produced plasma diagnostics has been investigated by measuring spectra of neutrons and alpha particles produced in the T(d,n) 4 He reaction. Using the Monte Carlo method the spectra have been calculated for nine states of the deuterium-tritium plasma with the temperature of 1;5 and 10 keV and the density of 0.2; 1 and 10 g/cm 3 respectively. The initial radius of the target was assumed to be 0.01 cm at the density of 0.2 g/cm 3 . It is shown that the neutron and alpha spectra can serve as plasma diagnostics parameters in laser fusion

  20. Transition from isentropic to isothermal expansion in laser produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Barrero, A; Santartin, J R

    1980-07-01

    The transition that the expansion flow of laser-produced plasmas experiences when ones moves from long, low intensity pulses (temperature vanishing at the Isentropic plasma-vacuum front, lying at finite distance) to short, intense ones (non-zero, uni- form temperature at the plasma-vacuum front, lying at infinity) is studied. For planar geometry and large Ion number Z{sub j} the transition occurs for d {phi} / d t {approx_equal} 0.14(27/8)k{sup 7}/2 Z{sub j}{sup 3}/2/m{sub j}{sup 3}/2 K; {phi}, k, m{sub j}, and K are laser intensity, Boltzmann s constant, ion mass, and Spitzer s heat conduction coefficient. This result remains valid for finite Z{sub j} though the numerical factor in d{phi} / d t is different. In spherical geometry a similar transition occurs even in steady conditions. Shorter wavelength lasers and higher Z{sub j} plasmas allow faster rising pulses below transition. (Author) 13 refs.

  1. A Metallurgical Evaluation of the Powder-Bed Laser Additive Manufactured 4140 Steel Material

    Science.gov (United States)

    Wang, Wesley; Kelly, Shawn

    2016-03-01

    Using laser powder bed fusion (PBF-L) additive manufacturing (AM) process for steel or iron powder has been attempted for decades. This work used a medium carbon steel (AISI 4140) powder to explore the feasibility of AM. The high carbon equivalent of 4140 steel (CEIIW ≈ 0.83) has a strong tendency toward cold cracking. As such, the process parameters must be carefully controlled to ensure the AM build quality. Through an orthogonally designed experimental matrix, a laser-welding procedure was successfully developed to produce 4140 steel AM builds with no welding defects. In addition, the microstructure and micro-cleanliness of the as-welded PBF-L AM builds were also examined. The results showed an ultra-fine martensite lath structure and an ultra-clean internal quality with minimal oxide inclusion distribution. After optimizing the PBF-L AM process parameters, including the laser power and scan speed, the as-welded AM builds yielded an average tensile strength higher than 1482 MPa and an average 33 J Charpy V-notch impact toughness at -18°C. The surface quality, tensile strength, and Charpy V-notch impact toughness of AM builds were comparable to the wrought 4140 steel. The excellent mechanical properties of 4140 steel builds created by the PBF-L AM AM process make industrial production more feasible, which shows great potential for application in the aerospace, automobile, and machinery industries.

  2. Analysis of extreme ultraviolet spectra from laser produced rhenium plasmas

    Science.gov (United States)

    Wu, Tao; Higashiguchi, Takeshi; Li, Bowen; Suzuki, Yuhei; Arai, Goki; Dinh, Thanh-Hung; Dunne, Padraig; O'Reilly, Fergal; Sokell, Emma; Liu, Luning; O'Sullivan, Gerry

    2015-08-01

    Extreme ultraviolet spectra of highly-charged rhenium ions were observed in the 1-7 nm region using two Nd:YAG lasers with pulse lengths of 150 ps and 10 ns, respectively, operating at a number of laser power densities. The maximum focused peak power density was 2.6 × 1014 W cm-2 for the former and 5.5 × 1012 W cm-2 for the latter. The Cowan suite of atomic structure codes and unresolved transition array (UTA) approach were used to calculate and interpret the emission properties of the different spectra obtained. The results show that n = 4-n = 4 and n = 4-n = 5 UTAs lead to two intense quasi-continuous emission bands in the 4.3-6.3 nm and 1.5-4.3 nm spectral regions. As a result of the different ion stage distributions in the plasmas induced by ps and ns laser irradiation the 1.5-4.3 nm UTA peak moves to shorter wavelength in the ps laser produced plasma spectra. For the ns spectrum, the most populated ion stage during the lifetime of this plasma that could be identified from the n = 4-n = 5 transitions was Re23+ while for the ps plasma the presence of significantly higher stages was demonstrated. For the n = 4-n = 4 4p64dN-4p54dN+1 + 4p64dN-14f transitions, the 4d-4f transitions contribute mainly in the most intense 4.7-5.5 nm region while the 4p-4d subgroup gives rise to a weaker feature in the 4.3-4.7 nm region. A number of previously unidentified spectral features produced by n = 4-n = 5 transitions in the spectra of Re XVI to Re XXXIX are identified.

  3. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  4. Internal stress evolution in Fe laths deformed at low temperature analysed by dislocation dynamics simulations

    International Nuclear Information System (INIS)

    Chaussidon, Julien; Fivel, Marc; Robertson, Christian; Marini, Bernard

    2010-01-01

    Stress evolution in Fe laths undergoing plastic deformation is investigated using three-dimensional dislocation dynamics simulations adapted to body centred cubic crystals, in the ductile to brittle transition temperature range. The selected boundary conditions, applied stress tensor and initial dislocation structures account for the realistic microstructure observed in bainitic steels. The effective stress field projected in the three different {1 0 0}cleavage planes is calculated for two different temperatures (50 and 200 K) and presented quantitatively, in the form of stress/frequency diagrams. It is shown that plastic activity tends to relax the stress acting in certain cleavage planes (the (0 1 0) and (0 0 1) planes) while, at the same time, amplifying the stress acting in other cleavage planes (the (1 0 0) planes). The selective stress amplification in the latter planes depends on the applied load direction, in combination with the limited set of available slip systems and the lath geometry. In the examined configuration, this selection effect is more pronounced with decreasing temperature, emphasizing the role of thermally activated plasticity on deformation-induced stress concentrations

  5. Method and device for the powerful compression of laser-produced plasmas for nuclear fusion

    International Nuclear Information System (INIS)

    Hora, H.

    1975-01-01

    According to the invention, more than 10% of the laser energy are converted into mechanical energy of compression, in that the compression is produced by non-linear excessive radiation pressure. The time and local spectral and intensity distribution of the laser pulse must be controlled. The focussed laser beams must increase to over 10 15 W/cm 2 in less than 10 -9 seconds and the time variation of the intensities must be carried out so that the dynamic absorption of the outer plasma corona by rippling consumes less than 90% of the laser energy. (GG) [de

  6. Study of the state of the plasma produced by oblique-incident laser

    International Nuclear Information System (INIS)

    Sheng Jiatian; Zhang Guoping; Liu Wei; Ye Chunfu; Hu Shengyong

    1997-01-01

    The plasma state and the gain region produced by the oblique-incidence laser on Ge target are studied and are compared with that produced by the vertical one. As a result of study, the absorption efficiency of the pumping energy turns far smaller, the plasma state changes remarkable and the gain region becomes much narrower when incident angle is greater than 30 degree

  7. Unresolved spectral structures emitted from heavy atom plasmas produced by short pulse laser

    International Nuclear Information System (INIS)

    Fraenkel, M.; Zigler, A.

    1999-01-01

    Spectra of rare earth elements emitted from ultra short pulse laser produced plasma were recorded using simultaneously high and low resolution, spectrometers. A study of the broad band emission of the Δn = 1 transitions in highly ionized Ba and Sm plasma showed that this band is completely unresolved. The spectra were analyzed using the LTE based on super-transition array (STA) model. The theory reconstructs the entire Ba spectrum using a single temperature and density, whereas for Sm the discrepancies between the theory and experiment are not reconcilable. The agreement in the Ba case is attributed to the fact that BaF 2 target is transparent to the laser's prepulse effects, producing a homogeneous dense plasma, whereas for Sm the dilute plasma created by the prepulse is far from LTE. The obtained results posses a significant implication to the applicability of the STA model, in particular for calculations of opacities and conversion of laser light to X-rays. (orig.)

  8. Unresolved spectral structures emitted from heavy atom plasmas produced by short pulse laser

    Energy Technology Data Exchange (ETDEWEB)

    Fraenkel, M.; Zigler, A. [Hebrew Univ., Jerusalem (Israel). Racah Inst. of Physics; Bar-Shalom, A.; Oreg, J. [Israel Atomic Energy Commission, Beersheba (Israel). Nuclear Research Center-Negev; Faenov, A.Ya.; Pikuz, T.A. [Multicharged Ions Spectra Data Center of VNIIFTRI, Russian Committee of Standards Moscow region (Russian Federation)

    1999-09-01

    Spectra of rare earth elements emitted from ultra short pulse laser produced plasma were recorded using simultaneously high and low resolution, spectrometers. A study of the broad band emission of the {delta}n = 1 transitions in highly ionized Ba and Sm plasma showed that this band is completely unresolved. The spectra were analyzed using the LTE based on super-transition array (STA) model. The theory reconstructs the entire Ba spectrum using a single temperature and density, whereas for Sm the discrepancies between the theory and experiment are not reconcilable. The agreement in the Ba case is attributed to the fact that BaF{sub 2} target is transparent to the laser's prepulse effects, producing a homogeneous dense plasma, whereas for Sm the dilute plasma created by the prepulse is far from LTE. The obtained results posses a significant implication to the applicability of the STA model, in particular for calculations of opacities and conversion of laser light to X-rays. (orig.)

  9. Relativistic electron drift in overdense plasma produced by a superintense femtosecond laser pulse

    International Nuclear Information System (INIS)

    Rastunkov, V.S.; Krainov, V.P.

    2004-01-01

    The general peculiarities of electron motion in the skin layer at the irradiation of overdense plasma by a superintense linearly polarized laser pulse of femtosecond duration are considered. The quiver electron energy is assumed to be a relativistic quantity. Relativistic electron drift along the propagation of laser radiation produced by a magnetic part of a laser field remains after the end of the laser pulse, unlike the relativistic drift of a free electron in underdense plasma. As a result, the penetration depth is much larger than the classical skin depth. The conclusion has been made that the drift velocity is a nonrelativistic quantity even at the peak laser intensity of 10 21 W/cm 2 . The time at which an electron penetrates into field-free matter from the skin layer is much less than the pulse duration

  10. Properties of amalgams made from lathe-cut, high Cu amalgam alloys.

    Science.gov (United States)

    Espevik, S

    1980-01-01

    Two alloys for dental amalgams made from lathe-cut powder with high Cu content have been developed. The alloys have been characterized with respect to physical properties and microstructure. The strongest amalgam exhibited minimal dimensional changes during setting and had low flow and creep values. It had the highest Cu content of the two amalgams investigated and no gamma 2 phase. The epsilon and eta' phases may dispersion-strenthen the amalgam which in compressive strength was comparable to the strongest amalgams available. A new mechanism for gamma 2 disappearance is suggested where Cu replaces Hg directly in the gamma 2 phase thus forming the eta' phase.

  11. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  12. Progress in compact soft x-ray lasers and their applications

    International Nuclear Information System (INIS)

    Suckewer, S.; Skinner, C.H.

    1995-01-01

    The ultra-high brightness and short pulse duration of soft x-ray lasers provide unique advantages for novel applications. A crucial factor in the availability of these devices is their scale and cost. Recent breakthroughs in this field has brought closer the advent of table-top devices, suitable for applications to fields such as x-ray microscopy, chemistry, material science, plasma diagnostics, and lithography. In this article we review recent progress in the development of compact (table-top) soft x-ray lasers

  13. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  14. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  15. Refractive microlenses produced by excimer laser machining of poly(methyl methacrylate)

    DEFF Research Database (Denmark)

    Jensen, Martin Frøhling; Krühne, Ulrich; H., L.

    2005-01-01

    A method has been developed whereby refractive microlenses can be produced in poly (methyl methacrylate) by excimer laser irradiation at λ = 248 nm. The lenses are formed by a combined photochemical and thermal process. The lenses are formed as depressions in the substrate material (negative foca...

  16. Sn ion energy distributions of ns- and ps-laser produced plasmas

    Science.gov (United States)

    Bayerle, A.; Deuzeman, M. J.; van der Heijden, S.; Kurilovich, D.; de Faria Pinto, T.; Stodolna, A.; Witte, S.; Eikema, K. S. E.; Ubachs, W.; Hoekstra, R.; Versolato, O. O.

    2018-04-01

    Ion energy distributions arising from laser-produced plasmas of Sn are measured over a wide laser parameter space. Planar-solid and liquid-droplet targets are exposed to infrared laser pulses with energy densities between 1 J cm‑2 and 4 kJ cm‑2 and durations spanning 0.5 ps to 6 ns. The measured ion energy distributions are compared to two self-similar solutions of a hydrodynamic approach assuming isothermal expansion of the plasma plume into vacuum. For planar and droplet targets exposed to ps-long pulses, we find good agreement between the experimental results and the self-similar solution of a semi-infinite simple planar plasma configuration with an exponential density profile. The ion energy distributions resulting from solid Sn exposed to ns-pulses agrees with solutions of a limited-mass model that assumes a Gaussian-shaped initial density profile.

  17. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    Science.gov (United States)

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  18. Diagnostics of Carbon Nanotube Formation in a Laser Produced Plume: An Investigation of the Metal Catalyst by Laser Ablation Atomic Fluorescence Spectroscopy

    Science.gov (United States)

    deBoer, Gary; Scott, Carl

    2003-01-01

    Carbon nanotubes, elongated molecular tubes with diameters of nanometers and lengths in microns, hold great promise for material science. Hopes for super strong light-weight material to be used in spacecraft design is the driving force behind nanotube work at JSC. The molecular nature of these materials requires the appropriate tools for investigation of their structure, properties, and formation. The mechanism of nanotube formation is of particular interest because it may hold keys to controlling the formation of different types of nanotubes and allow them to be produced in much greater quantities at less cost than is currently available. This summer's work involved the interpretation of data taken last summer and analyzed over the academic year. The work involved diagnostic studies of carbon nanotube formation processes occurring in a laser-produced plume. Laser ablation of metal doped graphite to produce a plasma plume in which carbon nanotubes self assemble is one method of making carbon nanotube. The laser ablation method is amenable to applying the techniques of laser spectroscopy, a powerful tool for probing the energies and dynamics of atomic and molecular species. The experimental work performed last summer involved probing one of the metal catalysts, nickel, by laser induced fluorescence. The nickel atom was studied as a function of oven temperature, probe laser wavelength, time after ablation, and position in the laser produced plume. This data along with previously obtained data on carbon was analyzed over the academic year. Interpretations of the data were developed this summer along with discussions of future work. The temperature of the oven in which the target is ablated greatly influences the amount of material ablated and the propagation of the plume. The ablation conditions and the time scale of atomic and molecular lifetimes suggest that initial ablation of the metal doped carbon target results in atomic and small molecular species. The metal

  19. Intensity and shape of spectral lines from laser-produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jamelot, G; Jaegle, P; Carillon, A; Wehenkel, C [Centre National de la Recherche Scientifique, 91 - Orsay (France); Paris-11 Univ., 91 - Orsay (France); Ecole Polytechnique, 91 - Palaiseau (France))

    1979-01-01

    In starting from spectral studies of multicharged ions in dense laser-produced plasmas, the main processes which determine the intensity and the shape of lines in the X-UV range are described. The role of radiation transfer is underlined. Intensity anomalies resulting from occurrence of population inversions are considered and a recent experiment performed for investigating such anomalies is described.

  20. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  1. Selective Laser Melting Produced Ti-6Al-4V: Post-Process Heat Treatments to Achieve Superior Tensile Properties.

    Science.gov (United States)

    Ter Haar, Gerrit M; Becker, Thorsten H

    2018-01-17

    Current post-process heat treatments applied to selective laser melting produced Ti-6Al-4V do not achieve the same microstructure and therefore superior tensile behaviour of thermomechanical processed wrought Ti-6Al-4V. Due to the growing demand for selective laser melting produced parts in industry, research and development towards improved mechanical properties is ongoing. This study is aimed at developing post-process annealing strategies to improve tensile behaviour of selective laser melting produced Ti-6Al-4V parts. Optical and electron microscopy was used to study α grain morphology as a function of annealing temperature, hold time and cooling rate. Quasi-static uniaxial tensile tests were used to measure tensile behaviour of different annealed parts. It was found that elongated α'/α grains can be fragmented into equiaxial grains through applying a high temperature annealing strategy. It is shown that bi-modal microstructures achieve a superior tensile ductility to current heat treated selective laser melting produced Ti-6Al-4V samples.

  2. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  3. Instantaneous x-ray radiation energy from laser produced polystyrene plasmas for shock ignition conditions

    International Nuclear Information System (INIS)

    Shang, Wanli; Wei, Huiyue; Li, Zhichao; Yi, Rongqing; Zhu, Tuo; Song, Tianmin; Huang, Chengwu; Yang, Jiamin

    2013-01-01

    Laser target energy coupling mechanism is crucial in the shock ignition (SI) scheme, and x-ray radiation energy is a non-negligible portion of the laser produced plasma energy. To evaluate the x-ray radiation energy amount at conditions relevant to SI scheme, instantaneous x-ray radiation energy is investigated experimentally with continuum phase plates smoothed lasers irradiating layer polystyrene targets. Comparative laser pulses without and with shock spike are employed. With the measured x-ray angular distribution, full space x-ray radiation energy and conversion efficiency are observed. Instantaneous scaling law of x-ray conversion efficiency is obtained as a function of laser intensity and time. It should be pointed out that the scaling law is available for any laser pulse shape and intensity, with which irradiates polystyrene planar target with intensity from 2 × 10 14 to 1.8 × 10 15 W/cm 2 . Numerical analysis of the laser energy transformation is performed, and the simulation results agree with the experimental data

  4. Vision of low astigmats through thick and thin lathe-cut soft contact lenses.

    Science.gov (United States)

    Cho, P; Woo, G C

    2001-01-01

    Distance and near visual acuity of 13 low astigmats were determined in a double-masked experiment through thick and thin (centre thickness 0.12 mm and 0.06 mm, respectively) spherical lathe-cut soft lenses. For each lens type, distance and near LogMAR VA and over-refraction were assessed with different logMAR VA charts. For 70% of the subjects, the residual astigmatism was significantly lower than the refractive astigmatism with thicker lenses. No statistically significant differences in the distance and near logMAR VA was found between the two lens types using any of the charts used, though, in general, logMAR VA obtained through the thicker lens was better than logMAR VA through the thinner lens. The variabilities in distance and near logMAR VA between the two lens types increased with decreased contrast. The variabilities in distance logMAR VA were greater with Chinese charts than with English charts, and LogMAR VA with Chinese charts were significantly worse for both lens types. Based on the results of this study, we concluded that thicker spherical lathe-cut soft lenses provide better vision in low astigmats. The Snellen acuity test is inadequate for vision assessment of soft contact lens wearers. When a patient wearing thin soft contact lenses complains of poor vision in spite of 6/6 or 6/5 Snellen acuity, changing to thicker lenses may be considered.

  5. Fabrication of hexagonal star-shaped and ring-shaped patterns arrays by Mie resonance sphere-lens-lithography

    Science.gov (United States)

    Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui

    2018-05-01

    Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.

  6. Effects produced by different types of laser in cornea of Guinea pigs: Identification of a laser capable of producing superficial lesions without leaving scars.

    Science.gov (United States)

    Suárez, A C; Suárez, M F; Crim, N; Monti, R; Urrets-Zavalía, J A; Serra, H M

    2015-10-01

    Climatic droplets keratopathy (CDK) is closely associated with superficial corneal erosions and lack of protective mechanisms against the harmful effects of ultraviolet radiation (UVR) during a prolonged period of time. One of the difficulties in studying the pathogenic mechanisms involved in this human disease is the lack of an experimental animal model. In this paper, a study is conducted on the effects of 4 types of lasers at various powers and time conditions on the normal guinea pig corneas in order to select only one laser condition that reversibly injures the epithelium and superficial stroma, without leaving scarring. Damage was induced in the cornea of Guinea pigs using different powers and exposure times of 4 types of laser: argon, CO2, diode and Nd-Yag, and any injuries were evaluated by biomicroscopy (BM) and optical microscopy. Corneas from other normal animals were exposed to argon laser (350 mW, 0.3s, 50 μm of diameter), and the induced alterations were studied at different times using BM, optical coherence tomography (OCT) and transmission electron microscopy (TEM). Only argon laser at 350 mW, 0.3s, 50 μm of diameter produced epithelium and superficial stroma lesions. Some leukomas were observed by BM, and they disappeared by day 15. Corneal thickness measured by OCT decreased in the eyes treated with argon laser during the first week. Using TEM, different ultra structural alterations in corneal epithelium and stroma were observed during the early days, which disappeared by day 15. It was possible to develop reproducible corneal epithelium and anterior stroma injuries using Argon laser at 350 mW, 0.3s, 50 μm of diameter. In vivo and in vitro studies showed that injured corneas with these laser conditions did not leave irreversible microscopic or ultra structural alterations. This protocol of corneal erosion combined with exposure to UVR and partial deficiency of ascorbate in the diets of the animals for an extended period of time has been used in

  7. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  8. Self-limiting and complete oxidation of silicon nanostructures produced by laser ablation in water

    Energy Technology Data Exchange (ETDEWEB)

    Vaccaro, L.; Messina, F.; Camarda, P.; Gelardi, F. M.; Cannas, M., E-mail: marco.cannas@unipa.it [Dipartimento di Fisica e Chimica, Università di Palermo, Via Archirafi 36, I-90123 Palermo (Italy); Popescu, R.; Schneider, R.; Gerthsen, D. [Laboratory for Electron Microscopy, Karlsruhe Institute of Technology, Engesserstrasse 7, 76131 Karlsruhe (Germany)

    2016-07-14

    Oxidized Silicon nanomaterials produced by 1064 nm pulsed laser ablation in deionized water are investigated. High-resolution transmission electron microscopy coupled with energy dispersive X-ray spectroscopy allows to characterize the structural and chemical properties at a sub-nanometric scale. This analysis clarifies that laser ablation induces both self-limiting and complete oxidation processes which produce polycrystalline Si surrounded by a layer of SiO{sub 2} and amorphous fully oxidized SiO{sub 2}, respectively. These nanostructures exhibit a composite luminescence spectrum which is investigated by time-resolved spectroscopy with a tunable laser excitation. The origin of the observed luminescence bands agrees with the two structural typologies: Si nanocrystals emit a μs-decaying red band; defects of SiO{sub 2} give rise to a ns-decaying UV band and two overlapping blue bands with lifetime in the ns and ms timescale.

  9. Producing a Linear Laser System for 3d Modelimg of Small Objects

    Science.gov (United States)

    Amini, A. Sh.; Mozaffar, M. H.

    2012-07-01

    Today, three dimensional modeling of objects is considered in many applications such as documentation of ancient heritage, quality control, reverse engineering and animation In this regard, there are a variety of methods for producing three-dimensional models. In this paper, a 3D modeling system is developed based on photogrammetry method using image processing and laser line extraction from images. In this method the laser beam profile is radiated on the body of the object and with video image acquisition, and extraction of laser line from the frames, three-dimensional coordinates of the objects can be achieved. In this regard, first the design and implementation of hardware, including cameras and laser systems was conducted. Afterwards, the system was calibrated. Finally, the software of the system was implemented for three dimensional data extraction. The system was investigated for modeling a number of objects. The results showed that the system can provide benefits such as low cost, appropriate speed and acceptable accuracy in 3D modeling of objects.

  10. Fabrication of large area homogeneous metallic nanostructures for optical sensing using colloidal lithography

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    2010-01-01

    We propose a simple and reproducible method for fabricating large area metal films with inter-connected nanostructures using a combination of colloidal lithography, metal deposition and a template stripping technique. The method is generic in the sense that it is possible to produce a variety...... to fabricate metal films with inter-connected nanostructures consisting of either partial spherical shells or the inverted structures: spherical cavities. The substrates are characterized by optical reflectance and transmittance spectroscopy. We demonstrate, in the case of partial spherical shells...

  11. Importance of layer thermal conductivity on the sharpness of patterns produced by laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Peláez, R.J., E-mail: rpelaez@io.cfmac.csic.es [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain); Afonso, C.N. [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain); Škereň, M. [Faculty of Nuclear Sciences and Physical Engineering, Czech Technical University in Prague, Brehova 7, 115 19 Prague 1 (Czech Republic); Bulíř, J. [Institute of Physics, ASCR, v.v.i., Na Slovance 2, Prague (Czech Republic)

    2016-06-30

    Highlights: • Temperature profile matches laser intensity profile in poor thermally conducting layers. • Patterns produced in poor thermally conducting layers have sharp interfaces. • Lateral heat flow smears the temperature profile in thermally conducting layers. • Both liquid and solid state dewetting occurs upon patterning thermally conducting layers. • The thermal conductivity of layers limits the minimum period achievable. - Abstract: In this work, we compare patterns produced in Ag layers having similar thickness in the range 8.3–10.8 nm but having different initial nanostructure, i.e. behaving either as discontinuous or continuous layers and thus having very different thermal conductivities. The patterns are produced by exposing a phase mask to an excimer laser operating at 193 nm and using a projection optics that leads to similar fringed patterns with periods in the range 6.3–6.7 μm. The layer breaks up into isolated NPs due to laser induced melting at the regions around the intensity maxima sites. The resulting fringes have sharp interfaces in the case of discontinuous layers while a variety of regions across the pattern with no sharp interfaces are produced in the case of continuous layers. The results show that while the temperature distribution across the pattern matches almost perfectly the laser beam intensity profile for the former case, it becomes smeared due to lateral heat flow for the latter case. These results provide evidences for significant heating at the intensity minima sites that lead to solid-state dewetting and will eventually limit the minimum period achievable in the case of continuous metal layers or thermally conducting layers.

  12. Fast ion emission from the plasma produced by the PALS laser system

    Czech Academy of Sciences Publication Activity Database

    Wolowski, J.; Badziak, J.; Boody, F. P.; Hora, H.; Hnatowicz, Vladimír; Jungwirth, Karel; Krása, Josef; Láska, Leoš; Parys, P.; Peřina, Vratislav; Pfeifer, Miroslav; Rohlena, Karel; Ryc, L.; Ullschmied, Jiří; Woryna, E.

    2002-01-01

    Roč. 44, - (2002), s. 1277-1283 ISSN 0741-3335 Institutional research plan: CEZ:AV0Z1048901 Keywords : emission * plasma produced * PALS laser system ? Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 2.121, year: 2002

  13. Ion emission from laser-produced plasmas with two electron temperatures

    International Nuclear Information System (INIS)

    Wickens, L.M.; Allen, J.E.; Rumsby, P.T.

    1978-01-01

    An analytic theory for the expansion of a laser-produced plasma with two electron temperatures is presented. It is shown that from the ion-emission velocity spectrum such relevant parameters as the hot- to -cold-electron density ratio, the absolute hot- and cold-electron temperatures, and a sensitive measure of hot- and cold-electron temperature ratio can be deduced. A comparison with experimental results is presented

  14. GaAs circuit restructuring by multi-level laser-direct-written tungsten process

    International Nuclear Information System (INIS)

    Black, J.G.; Doran, S.P.; Rothschild, M.; Sedlacek, J.H.C.; Ehrlich, D.J.

    1987-01-01

    Laser-direct-writing processes are employed to fabricate a GaAs digital integrated circuit. The lithography-free techniques deposit and etch conductors and resistors, and remove insulating layers, thus enabling multilevel interconnections. These combined direct-write processes provide the flexibility of clip-lead prototyping on a micrometer scale

  15. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  16. Analysis of extreme ultraviolet spectra from laser produced rhenium plasmas

    International Nuclear Information System (INIS)

    Wu, Tao; Dunne, Padraig; O’Reilly, Fergal; Sokell, Emma; Liu, Luning; O’Sullivan, Gerry; Higashiguchi, Takeshi; Suzuki, Yuhei; Arai, Goki; Dinh, Thanh-Hung; Li, Bowen

    2015-01-01

    Extreme ultraviolet spectra of highly-charged rhenium ions were observed in the 1–7 nm region using two Nd:YAG lasers with pulse lengths of 150 ps and 10 ns, respectively, operating at a number of laser power densities. The maximum focused peak power density was 2.6 × 10 14 W cm −2 for the former and 5.5 × 10 12 W cm −2 for the latter. The Cowan suite of atomic structure codes and unresolved transition array (UTA) approach were used to calculate and interpret the emission properties of the different spectra obtained. The results show that n = 4-n = 4 and n = 4-n = 5 UTAs lead to two intense quasi-continuous emission bands in the 4.3–6.3 nm and 1.5–4.3 nm spectral regions. As a result of the different ion stage distributions in the plasmas induced by ps and ns laser irradiation the 1.5–4.3 nm UTA peak moves to shorter wavelength in the ps laser produced plasma spectra. For the ns spectrum, the most populated ion stage during the lifetime of this plasma that could be identified from the n = 4-n = 5 transitions was Re 23+ while for the ps plasma the presence of significantly higher stages was demonstrated. For the n = 4-n = 4 4p 6 4d N -4p 5 4d N+1  + 4p 6 4d N−1 4f transitions, the 4d-4f transitions contribute mainly in the most intense 4.7–5.5 nm region while the 4p-4d subgroup gives rise to a weaker feature in the 4.3–4.7 nm region. A number of previously unidentified spectral features produced by n = 4-n = 5 transitions in the spectra of Re XVI to Re XXXIX are identified. (paper)

  17. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  18. A Novel Spectrometer for Measuring Laser-Produced Plasma X-Ray in Inertial Confinement Fusion

    Directory of Open Access Journals (Sweden)

    Zhu Gang

    2012-01-01

    Full Text Available In the experimental investigations of inertial confinement fusion, the laser-produced high-temperature plasma contains very abundant information, such as the electron temperature and density, ionization. In order to diagnose laser-plasma distribution in space and evolution in time, an elliptical curved crystal spectrometer has been developed and applied to diagnose X-ray of laser-produced plasma in 0.2~2.46 nm region. According to the theory of Bragg diffraction, four kinds of crystal including LiF, PET, MiCa, and KAP were chosen as dispersive elements. The distance of crystal lattice varies from 0.4 to 2.6 nm. Bragg angle is in the range of 30°~67.5°, and the spectral detection angle is in 55.4°~134°. The curved crystal spectrometer mainly consists of elliptical curved crystal analyzer, vacuum configuration, aligning device, spectral detectors and three-dimensional microadjustment devices. The spectrographic experiment was carried out on the XG-2 laser facility. Emission spectrum of Al plasmas, Ti plasma, and Au plasmas have been successfully recorded by using X-ray CCD camera. It is demonstrated experimentally that the measured wavelength is accorded with the theoretical value.

  19. Effect of substructure on mechanical properties and fracture behavior of lath martensite in 0.1C–1.1Si–1.7Mn steel

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shengci [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Zhu, Guoming, E-mail: zhuguoming@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Kang, Yonglin, E-mail: kangylin@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); State Key Laboratory for Advanced Metals and Materials, University of Science and Technology Beijing, Beijing 100083 (China)

    2016-08-05

    The purpose of this study was to analyze the microstructure of lath martensite in 0.1C–1.1Si–1.7Mn (wt.%) steel and its effect on mechanical properties and fracture behavior. The microstructure was characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD) and electron back scattering diffraction (EBSD). Charpy V-notch impact samples and compact tension (CT) samples were used to investigate the Charpy impact properties and fatigue crack growth behavior of the steel, respectively. The propagation of cleavage crack and fatigue crack were analyzed to figure out the effective grain size. The results showed that the typical hierarchical lath martensite structure contained prior austenite grains, packets, blocks and laths; packet size and block width were positively correlated to prior austenite grain size, while lath width was maintained at about 0.29 μm. Yield strength was related to prior austenite grain size, packet size and block width, and obeyed Hall–Petch relationship. Grain refinement was effective in improving the resistance to cleavage fracture by introducing barriers to crack propagation; packet boundaries and block boundaries hold similar ability to impede the propagation of crack. Paris model can well describe the FCG behavior of the investigated steel. Block width governs the effective grain size for strength, toughness and fatigue crack propagation. - Graphical abstract: Mechanical properties and fracture behavior of 0.1C–1.1Si–1.7Mn steel. - Highlights: • Hall–Petch relationship is obeyed between yield strength and martensite microstructure size. • Packet boundaries and block boundaries hold similar ability to impede the propagation of crack. • Block width is the effective grain size for strength, toughness and fatigue crack propagation.

  20. Laser borided composite layer produced on austenitic 316L steel

    Directory of Open Access Journals (Sweden)

    Mikołajczak Daria

    2016-12-01

    Full Text Available Abstract Austenitic 316L steel is well-known for its good resistance to corrosion and oxidation. Therefore, this material is often used wherever corrosive media or high temperatures are to be expected. The main drawback of this material is very low hardness and low resistance to mechanical wear. In this study, the laser boriding was used in order to improve the wear behavior of this material. As a consequence, a composite surface layer was produced. The microstructure of laser-borided steel was characterized by only two zones: re-melted zone and base material. In the re-melted zone, a composite microstructure, consisting of hard ceramic phases (borides and a soft austenitic matrix, was observed. A significant increase in hardness and wear resistance of such a layer was obtained.

  1. Experimental investigation of linear mode conversion in laser-produced plasmas

    International Nuclear Information System (INIS)

    Maaswinkel, A.G.M.

    1980-12-01

    In this work absorption mechanisms are investigated in hot dense plasmas produced by intense laser irradiation of planar targets. Central in this investigation stands the absorption by linear mode conversion; this process occurs in inhomogeneous plasmas if the electric field vector of the incident EM-wave has a component parallel to the density gradient; this causes electrostatic oscillations at the critical density (where ωsub(p)sub(e) = ω). In addition, absorption of the laser light by inverse bremsstrahlung is investigated. The absorption is determined by the reflection of the laser light from the plasma. To this aim optical diagnostics are used. The reflection into 4π sr is measured with an Ulbricht sphere, also the reflection in specular (geometric) direction is recorded. The absorption mechanisms have been isolated by variation of the polarization of the beam and the angle of incidence to the target. An essential part of the work has been the frequency up-conversion of the laser beam by nonlinear crystals; in this way the wavelength-dependence of the absorption in the plasma has been investigated at wavelengths 1.06 μm, 0.53 μm and 0.26 μm; the pulse duration in the experiments was 30 ps, the maximum irradiation on target was 10 14 W/cm 2 . (orig./HT)

  2. Time-resolved spectroscopy of nonequilibrium ionization in laser-produced plasmas

    International Nuclear Information System (INIS)

    Marjoribanks, R.S.

    1988-01-01

    The highly transient ionization characteristic of laser-produced plasmas at high energy densities has been investigated experimentally, using x-ray spectroscopy with time resolution of less than 20 ps. Spectroscopic diagnostics of plasma density and temperature were used, including line ratios, line profile broadening and continuum emission, to characterize the plasma conditions without relying immediately on ionization modeling. The experimentally measured plasma parameters were used as independent variables, driving an ionization code, as a test of ionization modeling, divorced from hydrodynamic calculations. Several state-of-the-art streak spectrographs, each recording a fiducial of the laser peak along with the time-resolved spectrum, characterized the laser heating of thin signature layers of different atomic numbers imbedded in plastic targets. A novel design of crystal spectrograph, with a conically curved crystal, was developed. Coupled with a streak camera, it provided high resolution (λ/ΔΛ > 1000) and a collection efficiency roughly 20-50 times that of planar crystal spectrographs, affording improved spectra for quantitative reduction and greater sensitivity for the diagnosis of weak emitters. Experimental results were compared to hydrocode and ionization code simulations, with poor agreement. The conclusions question the appropriateness of describing electron velocity distributions by a temperature parameter during the time of laser illumination and emphasis the importance of characterizing the distribution more generally

  3. Dynamics of the plume produced by nanosecond ultraviolet laser ablation of metals

    DEFF Research Database (Denmark)

    Christensen, Bo Toftmann; Schou, Jørgen; Lunney, J.G.

    2003-01-01

    The dynamics of the ablation plume of a partially ionized plasma produced by a nanosecond UV laser with different irradiation spot geometries has been explored. We have used an ensemble of quartz crystal microbalances to make the first systematic and quantitative study of how the shape of the plume...... varies as the aspect ratio (b/a) of the elliptical laser spot is varied by about a factor of ten. The flip-over effect can be described by the adiabatic expansion model of Anisimov using a value of the adiabatic constant of about gamma = 1.4. We have also studied the forward peaking of the ablation plume...... for a large number of metals at the same laser fluence. Contrary to earlier reports, we find that the more refractory metals have the broader angular distributions....

  4. Polycrystalline diamond film UV detectors for excimer lasers

    International Nuclear Information System (INIS)

    Ralchenko, V G; Savel'ev, A V; Konov, Vitalii I; Mazzeo, G; Spaziani, F; Conte, G; Polyakov, V I

    2006-01-01

    Photoresistive metal-semiconductor-metal detectors based on polycrystalline diamond films are fabricated for recording cw and pulsed UV radiation. The detectors have a high spectral selectivity (the UV-to-VIS response ratio is ∼10 5 ) and a temporal resolution of the order of 10 9 s. 'Solar-blind' photostable diamond detectors are promising for applications in UV lithography, laser micromachining, medicine, and space research. (letters)

  5. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  6. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  7. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  8. Selective Laser Melting Produced Ti-6Al-4V: Post-Process Heat Treatments to Achieve Superior Tensile Properties

    Directory of Open Access Journals (Sweden)

    Gerrit M. Ter Haar

    2018-01-01

    Full Text Available Current post-process heat treatments applied to selective laser melting produced Ti-6Al-4V do not achieve the same microstructure and therefore superior tensile behaviour of thermomechanical processed wrought Ti-6Al-4V. Due to the growing demand for selective laser melting produced parts in industry, research and development towards improved mechanical properties is ongoing. This study is aimed at developing post-process annealing strategies to improve tensile behaviour of selective laser melting produced Ti-6Al-4V parts. Optical and electron microscopy was used to study α grain morphology as a function of annealing temperature, hold time and cooling rate. Quasi-static uniaxial tensile tests were used to measure tensile behaviour of different annealed parts. It was found that elongated α’/α grains can be fragmented into equiaxial grains through applying a high temperature annealing strategy. It is shown that bi-modal microstructures achieve a superior tensile ductility to current heat treated selective laser melting produced Ti-6Al-4V samples.

  9. Real-time two-photon lithography in controlled flow to create a single-microparticle array and particle-cluster array for optofluidic imaging.

    Science.gov (United States)

    Xu, Bing; Shi, Yang; Lao, Zhaoxin; Ni, Jincheng; Li, Guoqiang; Hu, Yanlei; Li, Jiawen; Chu, Jiaru; Wu, Dong; Sugioka, Koji

    2018-01-30

    Microarray technology provides an excellent platform for biomedical and biochemical research including basic scientific studies, drug discovery, and diagnostics. Here, we develop a novel method referred to as real-time two-photon lithography in a controlled flow in which femtosecond laser two-photon lithography is performed in situ in the sequential mode stopping and flowing the flow of liquid resin containing microparticles to achieve 100% trapping on a one-bead-to-one-trap basis. Polydisperse particles can be all trapped to form a desired array by freely designing trap structures, resulting in an unprecedentedly high capture efficiency of ∼100%. No persistent pressure is needed after trapping which reduces the complexity of the system. In addition, trapping of particle-cluster arrays with a controlled number of particles is also achieved via this method. The trapped particles inside the microchip are successfully applied as microlenses for high quality imaging. The present technology marks an essential step towards a versatile platform for the integration of bead-based assays and paves the way for developing innovative microfluidics, optofluidics, micro-optics and single-cell analysis devices.

  10. Ralicon anodes for image photon counting fabricated by electron beam lithography

    International Nuclear Information System (INIS)

    Burton, W.M.

    1982-01-01

    The Anger wedge and strip anode event location system developed for microchannel plate image photon detectors at the Space Sciences Laboratory of the University of California, Berkeley, has been extended in the present work by the use of electron beam lithography (EBL). This method of fabrication can be used to produce optical patterns for the subsequent manufacture of anodes by conventional photo-etching methods and has also enabled anodes to be produced directly by EBL microfabrication techniques. Computer-aided design methods have been used to develop several types of RALICON (Readout Anodes of Lithographic Construction) for use in photon counting microchannel plate imaging detectors. These anodes are suitable for linear, two dimensional or radial position measurements and they incorporate novel design features made possible by the EBL fabrication technique which significantly extend their application relative to published wedge-strip anode designs. (author)

  11. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  12. Gas and Pressure Dependence for the Mean Size of Nanoparticles Produced by Laser Ablation of Flowing Aerosols

    International Nuclear Information System (INIS)

    Nichols, William T.; Malyavanatham, Gokul; Henneke, Dale E.; Brock, James R.; Becker, Michael F.; Keto, John W.; Glicksman, Howard D.

    2000-01-01

    Silver nanoparticles were produced by laser ablation of a continuously flowing aerosol of microparticles entrained in argon, nitrogen and helium at a variety of gas pressures. Nanoparticles produced in this new, high-volume nanoparticle production technique are compared with our earlier experiments using laser ablation of static microparticles. Transmission electron micrographs of the samples show the nanoparticles to be spherical and highly non-agglomerated under all conditions tested. These micrographs were analyzed to determine the effect of carrier gas type and pressure on size distributions. We conclude that mean diameters can be controlled from 4 to 20 nm by the choice of gas type and pressure. The smallest nanoparticles were produced in helium, with mean sizes increasing with increasing molecular weight of the carrier gas. These results are discussed in terms of a model based on cooling via collisional interaction of the nanoparticles, produced in the laser exploded microparticle, with the ambient gas

  13. Nanoimprinted distributed feedback lasers comprising TiO2 thin films

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Smith, Cameron; Leung, Michael C.

    2013-01-01

    Design guidelines for optimizing the sensing performance of nanoimprinted second order distributed feedback dye lasers are presented. The guidelines are verified by experiments and simulations. The lasers, fabricated by UV-nanoimprint lithography into Pyrromethene doped Ormocomp thin films on glass......, have their sensor sensitivity enhanced by a factor of up to five via the evaporation of a titanium dioxide (TiO2) waveguiding layer. The influence of the TiO2 layer thickness on the device sensitivity is analyzed with a simple model that accurately predicts experimentally measured wavelength shifts...

  14. Comparison of Maraging Steel Micro- and Nanostructure Produced Conventionally and by Laser Additive Manufacturing

    Directory of Open Access Journals (Sweden)

    Eric A. Jägle

    2016-12-01

    Full Text Available Maraging steels are used to produce tools by Additive Manufacturing (AM methods such as Laser Metal Deposition (LMD and Selective Laser Melting (SLM. Although it is well established that dense parts can be produced by AM, the influence of the AM process on the microstructure—in particular the content of retained and reversed austenite as well as the nanostructure, especially the precipitate density and chemistry, are not yet explored. Here, we study these features using microhardness measurements, Optical Microscopy, Electron Backscatter Diffraction (EBSD, Energy Dispersive Spectroscopy (EDS, and Atom Probe Tomography (APT in the as-produced state and during ageing heat treatment. We find that due to microsegregation, retained austenite exists in the as-LMD- and as-SLM-produced states but not in the conventionally-produced material. The hardness in the as-LMD-produced state is higher than in the conventionally and SLM-produced materials, however, not in the uppermost layers. By APT, it is confirmed that this is due to early stages of precipitation induced by the cyclic re-heating upon further deposition—i.e., the intrinsic heat treatment associated with LMD. In the peak-aged state, which is reached after a similar time in all materials, the hardness of SLM- and LMD-produced material is slightly lower than in conventionally-produced material due to the presence of retained austenite and reversed austenite formed during ageing.

  15. Comparison of Maraging Steel Micro- and Nanostructure Produced Conventionally and by Laser Additive Manufacturing.

    Science.gov (United States)

    Jägle, Eric A; Sheng, Zhendong; Kürnsteiner, Philipp; Ocylok, Sörn; Weisheit, Andreas; Raabe, Dierk

    2016-12-24

    Maraging steels are used to produce tools by Additive Manufacturing (AM) methods such as Laser Metal Deposition (LMD) and Selective Laser Melting (SLM). Although it is well established that dense parts can be produced by AM, the influence of the AM process on the microstructure-in particular the content of retained and reversed austenite as well as the nanostructure, especially the precipitate density and chemistry, are not yet explored. Here, we study these features using microhardness measurements, Optical Microscopy, Electron Backscatter Diffraction (EBSD), Energy Dispersive Spectroscopy (EDS), and Atom Probe Tomography (APT) in the as-produced state and during ageing heat treatment. We find that due to microsegregation, retained austenite exists in the as-LMD- and as-SLM-produced states but not in the conventionally-produced material. The hardness in the as-LMD-produced state is higher than in the conventionally and SLM-produced materials, however, not in the uppermost layers. By APT, it is confirmed that this is due to early stages of precipitation induced by the cyclic re-heating upon further deposition-i.e., the intrinsic heat treatment associated with LMD. In the peak-aged state, which is reached after a similar time in all materials, the hardness of SLM- and LMD-produced material is slightly lower than in conventionally-produced material due to the presence of retained austenite and reversed austenite formed during ageing.

  16. Dynamics of C2 formation in laser-produced carbon plasma in helium environment

    International Nuclear Information System (INIS)

    Al-Shboul, K. F.; Harilal, S. S.; Hassanein, A.; Polek, M.

    2011-01-01

    We investigated the role of helium ambient gas on the dynamics of C 2 species formation in laser-produced carbon plasma. The plasma was produced by focusing 1064 nm pulses from an Nd:YAG laser onto a carbon target. The emission from the C 2 species was studied using optical emission spectroscopy, and spectrally resolved and integrated fast imaging. Our results indicate that the formation of C 2 in the plasma plume is strongly affected by the pressure of the He gas. In vacuum, the C 2 emission zone was located near the target and C 2 intensity oscillations were observed both in axial and radial directions with increasing the He pressure. The oscillations in C 2 intensity at higher pressures in the expanding plume could be caused by various formation zones of carbon dimers.

  17. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    Science.gov (United States)

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  18. Wafer-scale fabrication of polymer distributed feedback lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Balslev, Søren

    2006-01-01

    The authors demonstrate wafer-scale, parallel process fabrication of distributed feedback (DFB) polymer dye lasers by two different nanoimprint techniques: By thermal nanoimprint lithography (TNIL) in polymethyl methacrylate and by combined nanoimprint and photolithography (CNP) in SU-8. In both...... techniques, a thin film of polymer, doped with rhodamine-6G laser dye, is spin coated onto a Borofloat glass buffer substrate and shaped into a planar waveguide slab with first order DFB surface corrugations forming the laser resonator. When optically pumped at 532 nm, lasing is obtained in the wavelength...... range between 576 and 607 nm, determined by the grating period. The results, where 13 laser devices are defined across a 10 cm diameter wafer substrate, demonstrate the feasibility of NIL and CNP for parallel wafer-scale fabrication of advanced nanostructured active optical polymer components...

  19. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  20. Pulse radiolysis of liquid water using picosecond electron pulses produced by a table-top terawatt laser system

    International Nuclear Information System (INIS)

    Saleh, Ned; Flippo, Kirk; Nemoto, Koshichi; Umstadter, Donald; Crowell, Robert A.; Jonah, Charles D.; Trifunac, Alexander D.

    2000-01-01

    A laser based electron generator is shown, for the first time, to produce sufficient charge to conduct time resolved investigations of radiation induced chemical events. Electron pulses generated by focussing terawatt laser pulses into a supersonic helium gas jet are used to ionize liquid water. The decay of the hydrated electrons produced by the ionizing electron pulses is monitored with 0.3 μs time resolution. Hydrated electron concentrations as high as 22 μM were generated. The results show that terawatt lasers offer both an alternative to linear accelerators and a means to achieve subpicosecond time resolution for pulse radiolysis studies. (c) 2000 American Institute of Physics

  1. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  2. PRODUCING A LINEAR LASER SYSTEM FOR 3D MODELIMG OF SMALL OBJECTS

    Directory of Open Access Journals (Sweden)

    A. Sh. Amini

    2012-07-01

    Full Text Available Today, three dimensional modeling of objects is considered in many applications such as documentation of ancient heritage, quality control, reverse engineering and animation In this regard, there are a variety of methods for producing three-dimensional models. In this paper, a 3D modeling system is developed based on photogrammetry method using image processing and laser line extraction from images. In this method the laser beam profile is radiated on the body of the object and with video image acquisition, and extraction of laser line from the frames, three-dimensional coordinates of the objects can be achieved. In this regard, first the design and implementation of hardware, including cameras and laser systems was conducted. Afterwards, the system was calibrated. Finally, the software of the system was implemented for three dimensional data extraction. The system was investigated for modeling a number of objects. The results showed that the system can provide benefits such as low cost, appropriate speed and acceptable accuracy in 3D modeling of objects.

  3. Crystallography of [0 1 1]/54.7 deg. lath boundary and cementite in tempered 0.2C steel

    International Nuclear Information System (INIS)

    Wei Fugao; Tsuzaki, Kaneaki

    2005-01-01

    The crystallographic structures of the [0 1 1]/54.7 deg. lath boundary and the intralath and interlath cementite precipitates in a 0.2C steel tempered at 400 deg C have been observed by high resolution transmission electron microscopy and discussed in terms of the O-lattice model. The [0 1 1]/54.7 deg lath boundary which is composed of one Kurdjumov-Sachs variant (α1) and one Nishiyama-Wasserman variant (α2) is likely to facet on the (0 12 7) α1 //(5 19 14) α2 irrational plane. The O-lattice analysis indicated that the facet coincides with a plane with a high density of O-points. Intralath cementite obeys the Isaichev orientation relationship (OR) with ferrite and has a habit plane of (1 0 1) θ //(1 2 1) α . Interlath cementite precipitating on the [0 1 1]/54.7 deg boundary maintains a strict Bagaryatskii OR with the ferrite on one side of the boundary and has a (1 0 0) θ habit plane

  4. The application of photoconductive detectors to the measurement of x-ray production in laser produced plasmas

    International Nuclear Information System (INIS)

    Kania, D.R.; Bell, P.; Trebes, J.

    1987-08-01

    Photoconductive detectors (PCDs) offer an attractive alternative for the measurement of pulsed x-rays from laser produced plasmas. These devices are fast (FWHM ∼100 ps), sensitive and simple to use. We have used InP, GaAs, and Type IIa diamond as PCDs to measure x-rays emission from 100 eV to 100 keV. Specifically, we have used these detectors to measure total radiation yields, corona temperatures, and hot electron generated x-rays from laser produced plasmas. 5 refs., 4 figs

  5. Stimulated Brillouin backscattering losses in weakly inhomogeneous laser-produced plasmas

    International Nuclear Information System (INIS)

    Eidmann, K.; Brederlow, G.; Brodmann, R.; Petsch, R.; Sigel, R.; Tsarkiris, G.; Volk, R.; Witkowski, S.

    1979-02-01

    Studies of the reflection from a plane solid target plasma produced with a 1TW iodine laser (lambda = 1.3μm) at pulse durations of 300 ps are presented. The specularly reflected and the backscattered light was observed separately at different angles of incidence, intensities and spot sizes (up to 400 μm). Stimulated Brillouin scattering was identified as the main mechanism for backscattering with saturation at 20 - 30% reflection. (orig.) [de

  6. Characterization of the fast electrons distribution produced in a high intensity laser target interaction

    Energy Technology Data Exchange (ETDEWEB)

    Westover, B. [Department of Mechanical and Aerospace Engineering, University of California San Diego, La Jolla, California 92093 (United States); Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Chen, C. D.; Patel, P. K.; McLean, H. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Beg, F. N., E-mail: fbeg@ucsd.edu [Department of Mechanical and Aerospace Engineering, University of California San Diego, La Jolla, California 92093 (United States)

    2014-03-15

    Experiments on the Titan laser (∼150 J, 0.7 ps, 2 × 10{sup 20} W cm{sup −2}) at the Lawrence Livermore National Laboratory were carried out in order to study the properties of fast electrons produced by high-intensity, short pulse laser interacting with matter under conditions relevant to Fast Ignition. Bremsstrahlung x-rays produced by these fast electrons were measured by a set of compact filter-stack based x-ray detectors placed at three angles with respect to the target. The measured bremsstrahlung signal allows a characterization of the fast electron beam spectrum, conversion efficiency of laser energy into fast electron kinetic energy and angular distribution. A Monte Carlo code Integrated Tiger Series was used to model the bremsstrahlung signal and infer a laser to fast electron conversion efficiency of 30%, an electron slope temperature of about 2.2 MeV, and a mean divergence angle of 39°. Simulations were also performed with the hybrid transport code ZUMA which includes fields in the target. In this case, a conversion efficiency of laser energy to fast electron energy of 34% and a slope temperature between 1.5 MeV and 4 MeV depending on the angle between the target normal direction and the measuring spectrometer are found. The observed temperature of the bremsstrahlung spectrum, and therefore the inferred electron spectrum are found to be angle dependent.

  7. Facile fabrication of functional PDMS surfaces with tunable wettablity and high adhesive force via femtosecond laser textured templating

    Directory of Open Access Journals (Sweden)

    Yanlei Hu

    2014-12-01

    Full Text Available Femtosecond laser processing is emerged as a promising tool to functionalize surfaces of various materials, including metals, semiconductors, and polymers. However, the productivity of this technique is limited by the low efficiency of laser raster scanning. Here we report a facile approach for efficiently producing large-area functional polymer surfaces, by which metal is firstly textured by a femtosecond laser, and the as-prepared hierarchical structures are subsequently transferred onto polydimethylsiloxane (PDMS surfaces. Aluminum pieces covered by laser induced micro/nano-structures act as template masters and their performance of displaying diverse colors are investigated. Polymer replicas are endowed with tunable wetting properties, which are mainly attributed to the multi-scale surface structures. Furthermore, the surfaces are found to have extremely high adhesive force for water drops because of the high water penetration depth and the resultant high contact angle hysteresis. This characteristic facilitates many potential applications like loss-free tiny water droplets transportation. The reusability of metal master and easiness of soft lithography make it to be a very simple, fast and cost-efficient way for mass production of functional polymeric surfaces.

  8. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  9. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  10. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  11. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  12. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  13. Plasma conditions for non-Maxwellian electron distributions in high current discharges and laser-produced plasmas

    International Nuclear Information System (INIS)

    Whitney, K.G.; Pulsifer, P.E.

    1993-01-01

    Results from the standard quasilinear theory of ion-acoustic and Langmuir plasma microturbulence are incorporated into the kinetic theory of the electron distribution function. The theory is then applied to high current discharges and laser-produced plasmas, where either the current flow or the nonlinear laser-light absorption acts, respectively, as the energy source for the microturbulence. More specifically, the theory is applied to a selenium plasma, whose charge state is determined under conditions of collisional-radiative equilibrium, and plasma conditions are found under which microturbulence strongly influences the electron kinetics. In selenium, we show that this influence extends over a wide range of plasma conditions. For ion-acoustic turbulence, a criterion is derived, analogous to one previously obtained for laser heated plasmas, that predicts when Ohmic heating dominates over electron-electron collisions. This dominance leads to the generation of electron distributions with reduced high-energy tails relative to a Maxwellian distribution of the same temperature. Ion-acoustic turbulence lowers the current requirements needed to generate these distributions. When the laser heating criterion is rederived with ion-acoustic turbulence included in the theory, a similar reduction in the laser intensity needed to produce non-Maxwellian distributions is found. Thus we show that ion-acoustic turbulence uniformly (i.e., by the same numerical factor) reduces the electrical and heat conductivities, as well as the current (squared) and laser intensity levels needed to drive the plasma into non-Maxwellian states

  14. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Holmelund, E.; Schou, Jørgen; Tougaard, S.

    2002-01-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...

  15. Characterization of lysozyme films produced by matrix assisted pulsed laser evaporation (MAPLE)

    DEFF Research Database (Denmark)

    Purice, Andreea; Schou, Jørgen; Kingshott, Peter

    2007-01-01

    Thin lysozyme films of thickness up to more than 100 nm have been produced in a dry environment by MAPLE (matrix assisted pulsed laser evaporation) from a water ice matrix. Analysis of the films demonstrates that a significant part of the lysozyme molecules is transferred to the substrate without...

  16. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  17. Nanolithography and nanochemistry utilizing scanning probe techniques: directed self-assembly of sub-micrometer-sized structures by scanning probe lithography defined templates

    NARCIS (Netherlands)

    Wouters, D.; Sturms, J.P.E.; Schubert, U.S.

    2004-01-01

    The octadecyl trichlorosilane (OTS) monolayer was formed on Si carrier, and the template regulated by a local probe oxidation method from this was produced using a scanning probe lithography. The local probe oxidation was done by moving an AFM tip along an axle line. When the chip contacts a OTS

  18. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  19. Few femtosecond, few kilo-ampere electron bunch produced by a laser-plasma accelerator

    International Nuclear Information System (INIS)

    Lundh, O.; Lim, J.; Rechatin, C.; Ammoura, L.; Goddet, J.P.; Malka, V.; Faure, J.; Ben-Ismail, A.; Davoine, X.; Lefebvre, E.; Gallot, G.

    2011-01-01

    Particle accelerators driven by the interaction of ultra-intense and ultrashort laser pulses with a plasma can generate accelerating electric fields of several hundred giga-volts per meter and deliver high-quality electron beams with low energy spread, low emittance and up to 1 GeV peak energy. Moreover, it is expected they may soon be able to produce bursts of electrons shorter than those produced by conventional particle accelerators, down to femtosecond durations and less. Here we present wide-band spectral measurements of coherent transition radiation which we use for temporal characterization. Our analysis shows that the electron beam, produced using controlled optical injection, contains a temporal feature that can be identified as a 15 pC, 1.4-1.8 fs electron bunch (root mean square) leading to a peak current of 3-4 kA depending on the bunch shape. We anticipate that these results will have a strong impact on emerging applications such as short-pulse and short-wavelength radiation sources, and will benefit the realization of laboratory-scale free-electron lasers. (authors)

  20. Bimodal Nanoparticle Size Distributions Produced by Laser Ablation of Microparticles in Aerosols

    International Nuclear Information System (INIS)

    Nichols, William T.; Malyavanatham, Gokul; Henneke, Dale E.; O'Brien, Daniel T.; Becker, Michael F.; Keto, John W.

    2002-01-01

    Silver nanoparticles were produced by laser ablation of a continuously flowing aerosol of microparticles in nitrogen at varying laser fluences. Transmission electron micrographs were analyzed to determine the effect of laser fluence on the nanoparticle size distribution. These distributions exhibited bimodality with a large number of particles in a mode at small sizes (3-6-nm) and a second, less populated mode at larger sizes (11-16-nm). Both modes shifted to larger sizes with increasing laser fluence, with the small size mode shifting by 35% and the larger size mode by 25% over a fluence range of 0.3-4.2-J/cm 2 . Size histograms for each mode were found to be well represented by log-normal distributions. The distribution of mass displayed a striking shift from the large to the small size mode with increasing laser fluence. These results are discussed in terms of a model of nanoparticle formation from two distinct laser-solid interactions. Initially, laser vaporization of material from the surface leads to condensation of nanoparticles in the ambient gas. Material evaporation occurs until the plasma breakdown threshold of the microparticles is reached, generating a shock wave that propagates through the remaining material. Rapid condensation of the vapor in the low-pressure region occurs behind the traveling shock wave. Measurement of particle size distributions versus gas pressure in the ablation region, as well as, versus microparticle feedstock size confirmed the assignment of the larger size mode to surface-vaporization and the smaller size mode to shock-formed nanoparticles