WorldWideScience

Sample records for ion implantation studies

  1. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  2. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  3. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  4. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  5. Magnetoreflection studies of ion implanted bismuth

    International Nuclear Information System (INIS)

    Nicolini, C.; Chieu, T.C.; Dresselhaus, M.S.; Massachusetts Inst. of Tech., Cambridge; Dresselhaus, G.

    1982-01-01

    The effect of the implantation of Sb ions on the electronic structure of the semimetal bismuth is studied by the magnetoreflection technique. The results show long electronic mean free paths and large implantation-induced increases in the band overlap and L-point band gap. These effects are opposite to those observed for Bi chemically doped with Sb. (author)

  6. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  7. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  8. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  9. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  10. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  11. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  12. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  13. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  14. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  15. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  16. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  17. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  18. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  19. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  20. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  1. Electron microscopy studies of ion implanted silicon

    International Nuclear Information System (INIS)

    Seshan, K.

    1975-11-01

    The nature of defects resulting from the implantation of phosphorous ions into doped silicon and a model of how they form are reported. This involved an electron microscope study of the crystallographic defects (in the 300A size range in concentration of 10 15 /cm 3 ) that form upon annealing. Images formed by these crystallographic defects are complex and that nonconventional imaging techniques are required for their characterization. The images of these small defects (about 300A) are sensitive to various parameters, such as foil thickness, their position in the foil, and diffracting conditions. The defects were found to be mostly interstitial hexagonal Frank loops lying on the four [111] planes and a few perfect interstitial loops; these loops occurred in concentrations of about 10 16 /cm 3 . In addition, ''rod like'' linear defects that are shown to be interstitial are also found in concentrations of 10 13 /cm 3 . It was found that the linear defects require boron for their formation. A model is proposed to account for the interstitial defects. The number of point defects that make up the defects is of the same order as the number of implanted ions. The model predicts that only interstitial loops ought to be observed in agreement with several recent investigations. Dislocation models of the loops are examined and it is shown that phosphorous ions could segregate to the Frank loops, changing their displacement vectors to a/x[111]. (x greater than 3) thus explaining the contrast effects observed. It would also explain the relative electrical inactivity of P + ion implants

  2. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  3. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  4. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  5. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  6. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  7. A study on mutagenic effects of antibiotic-producers by ion implantation

    International Nuclear Information System (INIS)

    Xie Liqing; Zhang Yinfen; Chen Ruyi; Zhou Ruiying; Zhang Peiling; Ying Hengfeng; Yang Guorong; Yang Guifang

    1995-01-01

    Mutagenic effects of Streptomyces ribosidificus, Streptomyces kanamyceticus and the phage-resistant culture of Streptomyces kanamyceticus induced by N + and C + ion implantation with different doses have been investigated. The experimental results show that the death rates of antibiotic-producers increase with the increase of ion implantation dose, and the form mutation of the antibiotic-producers is rather obvious. After N + ion implantation, the titer units increase by 10%-25%, 5.2%-12.1% and 2.1%-12.75% for the above three strains respectively; while after C + ion implantation the titer units increase by 10%-16.9%, 1.05%-3.08% and 5%-20% respectively. The selected strains of Micromonospora echimospoora and Streptomyces kanamyceticus after N + ion implantation have been used in the factory. The increase of production is 20% and 12.5% respectively and marked economic benefits are obtained

  8. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  9. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  10. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  11. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  12. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  13. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  14. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  15. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    International Nuclear Information System (INIS)

    Fedorov, A.V.; Huis, M.A. van; Veen, A. van

    2002-01-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2 . The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of T max =1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3x10 11 s -1

  16. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  17. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  18. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  19. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  20. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  1. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  2. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  3. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  4. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  5. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  6. Study and realisation of plane optical waveguides in amorphous silica by ion implantation

    International Nuclear Information System (INIS)

    Moutonnet, Danielle

    1974-01-01

    Within the framework of the replacement of radio-electric waves by light waves as support of information transmission in telecommunications, this research thesis addresses the use of ion implantation for the development of small waveguides with low losses. The author first describes how such waveguides can be characterised by studying the propagation of an electromagnetic wave in a plane waveguide, and the different ways to introduce energy in these waveguides. Then, she discusses how the obtained results can be used to determine the main parameters of an optical waveguide, or more generally of a thin transparent layer for a chosen wavelength. In the second part, the author reports the application of this general method to the case of guides obtained by ion implantation. She notably identifies the possibilities of ion implantation as technological tool to develop waveguides, and discusses how the performed experiments allow a better understanding of physical mechanisms occurring during implantation. In this second part, she recalls generally admitted theories about ion implantation, describes experiment principles (implantation of oxygen or nitrogen ions into amorphous silica followed by annealing) and discusses the obtained results (increase of the refraction index, i.e. of the guiding effect, stronger for oxygen than for nitrogen) [fr

  7. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  8. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  9. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  10. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  11. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  12. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  13. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  14. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  15. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  16. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  17. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  18. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  19. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  20. Non-destructive study of the ion-implantation-affected zone (the long-range effect) in titanium nitride

    International Nuclear Information System (INIS)

    Perry, A.J.; Treglio, J.R.; Schaffer, J.P.; Brunner, J.; Valvoda, V.; Rafaja, D.

    1994-01-01

    The depth to which metal ion implantation can change the structure of titanium nitride coatings is studied using two techniques - positron annihilation spectroscopy (PAS) and glancing-angle X-ray diffraction (GA-XRD) -which are normally applied to the study of bulk materials. The PAS results indicate that the depth to which vacancies are found greatly exceeds the depth at which the implanted material resides. In addition, the concentration of vacancies continues to increase with the dose of implanted ions. The GA-XRD data show that the implantation does not change the residual stress - it remains slightly tensile. Furthermore, there is an increase in the diffraction peak broadening, which is attributed to an increase in the local strain distribution resulting from the generation of a dislocation network at depths of up to several tenths of a micrometer below the implanted zone. The data support the view of a long-range effect, where metal ion implantation causes lattice defect generation within an implantation-affected zone (IAZ) to depths well beyond the implanted zone. The defective nature of the IAZ depends on the implanted dose and the acceleration voltage, as well as on the nature of the ions implanted. In the present work, there is no residual stress in the samples, so this cannot induce the IAZ. ((orig.))

  1. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  2. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  3. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  4. Ion implantation and annealing studies in III-V nitrides

    International Nuclear Information System (INIS)

    Zolper, J.C.; Pearton, S.J.

    1996-01-01

    Ion implantation doping and isolation is expected to play an enabling role for the realization of advanced III-Nitride based devices. In fact, implantation has already been used to demonstrate n- and p-type doping of GaN with Si and Mg or Ca, respectively, as well as to fabricate the first GaN junction field effect transistor. Although these initial implantation studies demonstrated the feasibility of this technique for the III-Nitride materials, further work is needed to realize its full potential. After reviewing some of the initial studies in this field, the authors present new results for improved annealing sequences and defect studies in GaN. First, sputtered AlN is shown by electrical characterization of Schottky and Ohmic contacts to be an effect encapsulant of GaN during the 1,100 C implant activation anneal. The AlN suppresses N-loss from the GaN surface and the formation of a degenerate n + -surface region that would prohibit Schottky barrier formation after the implant activation anneal. Second, they examine the nature of the defect generation and annealing sequence following implantation using both Rutherford Backscattering (RBS) and Hall characterization. They show that for a Si-dose of 1 x 10 16 cm -2 50% electrical donor activation is achieved despite a significant amount of residual implantation-induced damage in the material

  5. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  6. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  7. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  8. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  9. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  10. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  11. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  12. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  13. Direction-dependent RBS channelling studies in ion implanted LiNbO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Wendler, E., E-mail: elke.wendler@uni-jena.de; Becker, G.; Rensberg, J.; Schmidt, E.; Wolf, S.; Wesch, W.

    2016-07-15

    Damage formation in ion implanted LiNbO{sub 3} was studied by Rutherford backscattering spectrometry (RBS) along various directions of the LiNbO{sub 3} crystal. From the results obtained it can be unambiguously concluded that Nb atoms being displaced during ion implantation preferably occupy the free octahedron sites of the LiNbO{sub 3} lattice structure and most likely also form Nb{sub Li} antisite defects.

  14. Contribution to 57Fe ion implanted garnet study by Moessbauer effect

    International Nuclear Information System (INIS)

    Kornilios, N.

    1986-11-01

    Due to because of their numerous physical properties, garnets are often used in new technologies. In order to improve their properties, ion implantation of inert gases has been proved to be a powerful technique to elaborate bubble memories. Recently it has been shown that high doses implanted ions, chemically reacting with the matrix could give interesting results. If the bulk is completely amorphized after implantation, further annealings can recrystallize it. In this study Y 3 F 5 O 12 , Y 3 Al 5 O 12 and Gd 3 Ga 5 O 12 single crystals were 100 keV implanted with 57 Fe ions at doses ranging between 10 16 and 10 17 ions cm -2 . The iron chemical states were determined thanks to Conversion Electron Moessbauer Spectroscopy (CEMS) and it was shown that the alloying elements of the matrix could influence the nature of the created phases. After thermal treatments the sample superficial layers were characterized using CEMS, grazing angle X-ray Diffraction (XRD), Transmission Electron Microscopy (TEM) and Rutherford Backscattering Spectroscopy (RBS). Different annealing stages were put forward: the oxidation of the implanted iron below 650 0 C and the garnet recrystallization around 850 0 C with some iron substitution in the garnet octahedral and tetraedral sites. The overstoechiometric iron forms particles of mixed oxides (FeAl) 2 O 3 , (FeGa) 2 O 3 or particles of pure Fe 2 O 3 oxide depending on the garnet. For the first time it is proved that after annealing around 1200-1300 0 C a complete rebuilding of the garnet matrix can induce for these oxide particles at room temperature a Morin transition [fr

  15. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  16. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  17. Studies on the surface modification of TiN coatings using MEVVA ion implantation with selected metallic species

    International Nuclear Information System (INIS)

    Ward, L.P.; Purushotham, K.P.; Manory, R.R.

    2016-01-01

    Highlights: • Reduced surface roughness was observed after ion implantation. • W implantation increased residual stress. • Reduced friction and wear accompanied Mo implantation. • Mo implanted layer was more resistant to breakdown during wear testing. • Ion implantation effects can be complex on various implanting species properties. - Abstract: Improvement in the performance of TiN coatings can be achieved using surface modification techniques such as ion implantation. In the present study, physical vapor deposited (PVD) TiN coatings were implanted with Cr, Zr, Nb, Mo and W using the metal evaporation vacuum arc (MEVVA) technique at a constant nominal dose of 4 × 10 16 ions cm −2 for all species. The samples were characterized before and after implantation, using Rutherford backscattering (RBS), glancing incident angle X-ray diffraction (GIXRD), atomic force microscopy (AFM) and optical microscopy. Friction and wear studies were performed under dry sliding conditions using a pin-on-disc CSEM Tribometer at 1 N load and 450 m sliding distance. A reduction in the grain size and surface roughness was observed after implantation with all five species. Little variation was observed in the residual stress values for all implanted TiN coatings, except for W implanted TiN which showed a pronounced increase in compressive residual stress. Mo-implanted samples showed a lower coefficient of friction and higher resistance to breakdown during the initial stages of testing than as-received samples. Significant reduction in wear rate was observed after implanting with Zr and Mo ions compared with unimplanted TiN. The presence of the Ti 2 N phase was observed with Cr implantation.

  18. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  19. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  20. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  1. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  2. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  3. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  4. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  5. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  6. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  7. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  8. Study of the Local Environment of Mn Ions Implanted in GaSb

    International Nuclear Information System (INIS)

    Wolska, A.; Lawniczak-Jablonska, K.; Klepka, M.T.; Barcz, A.; Hallen, A.; Arvanitis, D.

    2010-01-01

    The first attempts to establish an implantation process leading to formation of ferromagnetic inclusions inside the GaSb matrix are presented. Gallium antimonide containing ferromagnetic MnSb precipitations is considered as a promising material for novel spintronic applications. It is possible to obtain such inclusions during the molecular beam epitaxy (MBE) growth. However, for commercial application it would be also important to find an optimal way of producing this kind of inclusions by Mn ions implantation. In order to achieve this goal, several parameters of implantation and post annealing procedures were tested. The ion energy was kept at 10 keV or 150 keV and four different ion doses were applied, as well as various annealing conditions. The analysis of X-ray absorption spectra allowed to estimate the local atomic order around Mn atoms. Depending on the implantation energy and annealing processes, the manganese oxides or manganese atoms located in a heavily defected GaSb matrix were observed. The performed analysis helped in indicating the main obstacles in formation of MnSb inclusions inside the GaSb matrix by Mn ion implantation. (author)

  9. Study on tribological behaviors of Fe+ ion implanted in 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Zhang Aimin; Chen Jianmin; Shi Weidong; Liu Zhenmin

    2000-01-01

    2024 aluminum alloy was implanted with Fe + ions at a dose of 7x10 16 -3 x 10 17 Fe + /cm 2 . The depth profile of Fe element was investigated by Auger electron spectroscopy (AES). The composition of the surface layer was investigated by XRD with sample-tilting diffraction (STD) mode. The worn out surface was observed by scanning electron microscopy (SEM). Micro-hardness, friction and wear properties have been studied before and after Fe + implantation. An AES analysis shows Fe display Gaussian shape distributions. STD shows Al 5 Fe 2 formed during the implantation. Micro-hardness of surface layer was reduced after implantation, but it did not simply decrease with the increasing implantation doses. The friction and wear tests of implanted and unimplanted samples were carried out on a static-dynamic friction precise measuring apparatus. After implantation, the friction coefficient was reduced from 0.7 to 0.1; the wear resistance was improved remarkably, but decreased with increasing implantation dose. The wear mechanism of the unimplanted sample was adhesive wear, abrasive wear and plastic deformation. The wear reducing effect of Fe + ion induced on 2024 aluminum alloy is mainly attributed to tribooxidation of iron and transfixion of line defect. These two factors prevent the adhesive wear, abrasive wear and plastic deformation of 2024 aluminum alloy

  10. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  11. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  12. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  13. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  14. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  15. Residual stress in ion implanted titanium nitride studied by parallel beam glancing incidence x-ray diffraction

    International Nuclear Information System (INIS)

    Geist, D.E.; Perry, A.J.; Treglio, J.R.; Valvoda, V.; Rafaja, D.

    1995-01-01

    Ion implantation is known to increase the lifetime of cutting tools. Current theories are the increase in lifetime is caused by an increase in the residual stress, or by work hardening of the surface associated with the implantation. In this work the effect of ion implantation on the residual stress in titanium nitride coatings made by the standard industrial methods of chemical and physical vapor deposition (CVD and PVD) is studied. It is found in the as-received condition (unimplanted), the residual stress levels are near zero for CVD materials and highly compressive, of the order of 6 GPa, for PVD materials. Ion implantation has no effect on the residual stress in the coatings made by CVD. Nitrogen does increase the compressive residual stress by some 10% in the near surface regions of PVD coatings, while nickel-titanium dual metal ion implantation does not have any effect. It appears that the lifetime increase is not associated with residual stress effects

  16. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  17. Comparative study of 150 keV Ar+ and O+ ion implantation induced structural modification on electrical conductivity in Bakelite polymer

    Science.gov (United States)

    Aneesh Kumar, K. V.; Krishnaveni, S.; Asokan, K.; Ranganathaiah, C.; Ravikumar, H. B.

    2018-02-01

    A comparative study of 150 keV argon (Ar+) and oxygen (O+) ion implantation induced microstructural modifications in Bakelite Resistive Plate Chamber (RPC) detector material at different implantation fluences have been studied using Positron Annihilation Lifetime Spectroscopy (PALS). Positron lifetime parameters viz., o-Ps lifetime (τ3) and its intensity (I3) upon lower implantation fluences can be interpreted as the cross-linking and the increased local temperature induced diffusion followed by trapping of ions in the interior polymer voids. The increased o-Ps lifetime (τ3) at higher O+ ion implantation fluences indicates chain scission owing to the oxidation and track formation. This is also justified by the X-Ray Diffraction (XRD) and Fourier Transform Infrared (FTIR) results. The modification in the microstructure and electrical conductivity of Bakelite materials are more upon implantation of O+ ions than Ar+ ions of same energy and fluences. The reduced electrical conductivity of Bakelite polymer material upon ion implantation of both the ions is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate energy and fluence of implanting ions might reduce the leakage current and hence improve the performance of Bakelite RPC detectors.

  18. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  19. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  20. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  1. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  2. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    International Nuclear Information System (INIS)

    Resta, V.; Quarta, G.; Farella, I.; Maruccio, L.; Cola, A.; Calcagnile, L.

    2014-01-01

    The implantation of 1 MeV metal ( 63 Cu + , 107 Ag + , 197 Au + ) and non-metal ( 4 He + , 12 C + ) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10 13 ions cm −2 , the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10 17 ions cm −2 , the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C 0x clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C 0x cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10 7 Ω/sq has been measured for implantation with metals at doses higher than 5 × 10 16 ions cm −2 , being 10 17 Ω/sq the corresponding sheet resistance for pristine PC

  3. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Resta, V., E-mail: vincenzo.resta@le.infn.it [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Quarta, G. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Farella, I. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Maruccio, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Cola, A. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Calcagnile, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy)

    2014-07-15

    The implantation of 1 MeV metal ({sup 63}Cu{sup +}, {sup 107}Ag{sup +}, {sup 197}Au{sup +}) and non-metal ({sup 4}He{sup +}, {sup 12}C{sup +}) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10{sup 13} ions cm{sup −2}, the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10{sup 17} ions cm{sup −2}, the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C{sub 0x} clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C{sub 0x} cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10{sup 7} Ω/sq has been measured for implantation with metals at doses higher than 5 × 10{sup 16} ions cm{sup −2}, being 10{sup 17} Ω/sq the corresponding sheet resistance for pristine PC.

  4. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  5. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  6. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  7. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  8. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  9. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  10. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  11. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  12. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  13. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  14. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  15. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  16. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  17. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  18. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  19. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  20. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  1. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  2. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  3. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  4. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  5. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  6. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  7. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  8. Ion species dependence of the implantation-induced defects in ZnO studied by a slow positron beam

    International Nuclear Information System (INIS)

    Chen, Z.Q.; Maekawa, M.; Kawasuso, A.; Naramoto, H.

    2007-01-01

    In this work, we implanted B + , O + , Al + , and P + ions into ZnO with energy of 50-380 keV and total doses of 4 x 10 15 cm -2 for each ion. The implantation-induced defects and their thermal recovery were studied using a slow positron beam. Vacancy clusters are produced in all the implanted samples. It is found that the thermal recovery of these vacancies induced by different ions shows much difference. In case of B + and Al + -implantation, the vacancy clusters agglomerate to much larger size and might evolve to microvoids during annealing. However, for O + and P + ions, which are heavier than B + and Al + , the vacancies show a much weaker agglomeration process. The mechanism of such difference is discussed. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  10. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  11. Ion-implantation induced defects in ZnO studied by a slow positron beam

    International Nuclear Information System (INIS)

    Chen, Z.Q.; Maekawa, M.; Kawasuso, A.; Sekiguchi, T.; Suzuki, R.

    2004-01-01

    Introduction and annealing behavior of defects in Al + -implanted ZnO have been studied using an energy variable slow positron beam. Vacancy clusters are produced after Al + -implantation. With increasing ion dose above 10 14 Al + /cm 2 the implanted layer is amorphized. Heat treatment up to 600 C enhances the creation of large voids that allow the positronium formation. The large voids disappear accompanying the recrystallization process by further heat treatment above 600 C. Afterwards, implanted Al impurities are completely activated to contribute to the n-type conduction. The ZnO crystal quality is also improved after recrystallization. (orig.)

  12. Formation of InN phase by sequential ion implantation

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Ravichandran, V.; Nair, K.G.M.; Kesavamoorthy, R.; Kalavathi, S.; Panigrahi, B.K.; Dhara, S.

    2006-01-01

    Formation of InN phase by sequentially implanting nitrogen on indium implanted silica was demonstrated. The growth of embedded InN phase on as-implanted and post-implantation annealed sample was studied using Glancing Incidence X-Ray Diffraction (GIXRD) and Raman spectroscopy. Existence of both cubic and hexagonal phases of InN was observed. Results of irradiation induced ripening of In nanoclusters due to N + ion implantation was also studied. (author)

  13. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  14. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  15. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  16. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  17. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  18. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  19. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  20. Study of phase transformation processes in steel after phosphor ion implantation and following thermal treatment

    International Nuclear Information System (INIS)

    Zhetbaev, A.K.; Vereshchak, N.F.; Satpaev, K.K.; Dosmagambetov, T.D.; Serikbaeva, Z.T.

    1999-01-01

    In the paper process of phase transformation after phosphor ion implantation in steel-45 and annealing in vacuum at 1000 deg C and irradiation by various doses of phosphor ions with energy 100 keV an accelerator are researched by conversion electron method. The phosphor overall solubility in iron is equal 4.53 %. Implantation dose below 6·10 17 ions/cm 2 allows increase phosphor ions content in implantation region to 35 %. Therefore, iron phosphides (Fe 3 P, Fe 2 P and Fe P) forming are possible. (author)

  1. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  2. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  3. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  4. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  5. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  6. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  7. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  8. Ion species dependence of the implantation-induced defects in ZnO studied by a slow positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Department of Physics, Wuhan University (China); Maekawa, M.; Kawasuso, A.; Naramoto, H. [Advanced Science Research Center, Japan Atomic Energy Agency, Takasaki, Gunma (Japan)

    2007-07-01

    In this work, we implanted B{sup +}, O{sup +}, Al{sup +}, and P{sup +} ions into ZnO with energy of 50-380 keV and total doses of 4 x 10{sup 15} cm{sup -2} for each ion. The implantation-induced defects and their thermal recovery were studied using a slow positron beam. Vacancy clusters are produced in all the implanted samples. It is found that the thermal recovery of these vacancies induced by different ions shows much difference. In case of B{sup +} and Al{sup +}-implantation, the vacancy clusters agglomerate to much larger size and might evolve to microvoids during annealing. However, for O{sup +} and P{sup +} ions, which are heavier than B{sup +} and Al{sup +}, the vacancies show a much weaker agglomeration process. The mechanism of such difference is discussed. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  10. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  11. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  12. Helium behaviour in UO{sub 2} through low fluence ion implantation studies

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, P., E-mail: philippe.garcia@cea.fr [CEA – DEN/DEC, Bât. 352, 13108 Saint-Paul-Lez-Durance Cedex (France); Gilabert, E. [Centre d’Et' udes Nucleáires de Bordeaux-Gradignan, Le Haut Vigneau, 33175 Gradignan (France); Martin, G.; Carlot, G.; Sabathier, C. [CEA – DEN/DEC, Bât. 352, 13108 Saint-Paul-Lez-Durance Cedex (France); Sauvage, T.; Desgardin, P.; Barthe, M.-F. [CNRS-CEMHTI, UPR3079, 45071 Orleáns (France)

    2014-05-01

    In this work we focus on experiments involving implantation of 500 keV {sup 3}He ions in sintered polycrystalline material. Samples are implanted at low fluences (∼2 ×10{sup 13} ions/cm{sup 2}) and subsequently isothermally annealed in a highly sensitive thermal desorption spectrometry (TDS) device PIAGARA (Plateforme Interdisciplinaire pour l’Analyse des GAz Rares en Aquitaine). The helium fluencies studied are two to three orders of magnitude lower than previous Nuclear Reaction Analysis (NRA) experiments carried out on identical samples implanted at identical energies. The fractional release of helium obtained in the TDS experiments is interpreted using a three-dimensional axisymmetric diffusion model which enables results to be quantitatively compared to previous NRA data. The analysis shows that helium behaviour is qualitatively independent of ion fluency over three orders of magnitude: helium diffusion appears to be strongly inhibited below 1273 K within the centre of the grains presumably as a result of helium bubble precipitation. The scenario involving diffusion at grain boundaries and in regions adjacent to them observed at higher fluencies is quantitatively confirmed at much lower doses. The main difference lies in the average width of the region in which uninhibited diffusion occurs.

  13. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  14. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  15. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  16. Ion-implantation induced defects in ZnO studied by a slow positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q.; Maekawa, M.; Kawasuso, A. [Japan Atomic Energy Research Institute, Gunma (Japan); Sekiguchi, T. [National Inst. for Materials Science, Tsukuba, Ibaraki (Japan); Suzuki, R. [National Inst. of Advanced Industrial Science and Technology, Tsukuba, Ibaraki (Japan)

    2004-07-01

    Introduction and annealing behavior of defects in Al{sup +}-implanted ZnO have been studied using an energy variable slow positron beam. Vacancy clusters are produced after Al{sup +}-implantation. With increasing ion dose above 10{sup 14} Al{sup +}/cm{sup 2} the implanted layer is amorphized. Heat treatment up to 600 C enhances the creation of large voids that allow the positronium formation. The large voids disappear accompanying the recrystallization process by further heat treatment above 600 C. Afterwards, implanted Al impurities are completely activated to contribute to the n-type conduction. The ZnO crystal quality is also improved after recrystallization. (orig.)

  17. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  18. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  19. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  20. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  1. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  2. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  3. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  4. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  5. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  6. Study on bubble properties and disorder degree in ion-implanted ferrite-garnet films

    International Nuclear Information System (INIS)

    Kulikauskas, V.S.; Markyalis, A.V.; Pranyavichyus, L.I.

    1981-01-01

    The disorder degree in crystalline garnet films of (BiTm) 3 (FeGa) 5 O 2 composition arising due to ion implantation was studied by the proton backscattering method. The epitaxial films were grown on nonmagnetic substrates of gallium-gadolinium garnets cutted in the (111) plane. Neon ions with 100 keV energy were implanted in the dose range of 6x10 13 -2x10 14 cm -2 . The initial energy of the backscattered protons was 450 keV. The defect maximum was located at the depth of approximately 0.15 μk. The amorphization degeee at the defect maximum was calculated at different radiation doses. At 10 14 and 2x10 14 cm -2 doses the amorphization degree was the same and was equal to 0.8. The bubble parameters were studied. It is obtained that the threshold value of the neon ion dose corresponds to the minimum interval of the collapse fields ( [ru

  7. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  8. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  9. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  10. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  11. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  12. N+ ion-implantation-induced defects in ZnO studied with a slow positron beam

    International Nuclear Information System (INIS)

    Chen, Z Q; Sekiguchi, T; Yuan, X L; Maekawa, M; Kawasuso, A

    2004-01-01

    Undoped ZnO single crystals were implanted with multiple-energy N + ions ranging from 50 to 380 keV with doses from 10 12 to 10 14 cm -2 . Positron annihilation measurements show that vacancy defects are introduced in the implanted layers. The concentration of the vacancy defects increases with increasing ion dose. The annealing behaviour of the defects can be divided into four stages, which correspond to the formation and recovery of large vacancy clusters and the formation and disappearance of vacancy-impurity complexes, respectively. All the implantation-induced defects are removed by annealing at 1200 deg. C. Cathodoluminescence measurements show that the ion-implantation-induced defects act as nonradiative recombination centres to suppress the ultraviolet (UV) emission. After annealing, these defects disappear gradually and the UV emission reappears, which coincides with positron annihilation measurements. Hall measurements reveal that after N + implantation, the ZnO layer still shows n-type conductivity

  13. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  14. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  15. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  16. Ion beam studies. Part 5 - the computer simulation of composite ion implantation profiles

    International Nuclear Information System (INIS)

    Freeman, J.H.; Booker, D.V.

    1977-01-01

    The computer simulation of composite ion implantation profiles produced by continuous energy programming and by discrete multiple dose doping is described. It is shown that precise matching of the computed profile to various uniform and power-law distributions can be achieved. (author)

  17. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  18. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  19. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  20. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  1. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  2. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  3. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  4. A study of enhanced diffusion during high dose high flux pulsed metal ion implantation into steel and aluminium

    International Nuclear Information System (INIS)

    Zhang Tonghe; Ji Chengzhou; Shen Jinghua; Chen Jun

    1992-01-01

    The depth profiles of metal ions implanted into steel and aluminium were measured by Rutherford backscattering (RBS). The ions of Mo, W and Y, produced by a metal vapour vacuum are ion source (MEVVA) were implanted at an energy range from 25 to 50 keV for doses of (2-5)x10 17 cm -2 into H13 steel and aluminium. Beam currents were from 0.5 to 1.0 A. The beam flux is in the range of 25 to 75 μAcm -2 . In order to simulate the profiles, a formula which includes the sputtering yield, diffusion coefficients and reaction rate was obtained. The results demonstrate that the penetration depth and retained dose increase with increasing beam flux for Mo implanted into aluminium. The peak concentration of Mo implanted H13 steel increases with increasing ion flux. In contrast to this for Y implantation into steel, the peak concentration of Y decreases with increasing ion flux. For an ion flux of 25 μAcm -2 for Mo, Y and W implantation into steel, the penetration depth and retained dose are 3-5 times greater than the theoretical values. The diffusion coefficients are about 10 -16 to 10 -15 s -1 . If the ion flux is greater than 47 μAcm -2 , the penetration depth and retained dose are 5 to 10 times greater than the theoretical values for Mo implanted aluminium. The diffusion coefficients increase with increasing ion flux for Mo implanted aluminium. The diffusion coefficients hardly change with increasing ion flux for Y and Mo implanted H13 steel. The retained dose increases 0.43 to 1.16 times for Y implanted steel for an ion flux of 25 μAcm -2 . Finally, the influence of phases precipitates, reaction rate and diffusion on retained dose, diffusion coefficient and penetration depth are discussed. (orig.)

  5. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  6. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  7. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  8. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  9. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  10. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  11. Ion implantation as a method of studying inhomogeneities in superconductors: results for indium films with embedded helium particles

    International Nuclear Information System (INIS)

    Fogel, N.Ya.; Moshenski, A.A.; Dmitrenko, I.M.

    1978-01-01

    The paper considers the applicability of ion implantation into superconductors to investigate inhomogeneity effects on their macroscopic properties. Noble-gas-ion implantation into thin superconducting films is shown to be a unique means of systematically studying these effects in a single sample. Data demonstrating the effect of inhomogeneities on the critical current, Isub(c) in the mixed state and phase-transition smearing in He + -ion-irradiated indium films are presented. First, experimental evidence was obtained to support the Larkin-Ovchinnikov theory which relates Isub(c) and the phase-transition smearing to inhomogeneities of the electron-electron interaction constant g(r) and the electron mean free path (r). Results are presented for parallel critical field anomalies in He-implanted indium films which are due to an implantation-induced anisotropy of xi(t). Changes in the critical parameters for the film resulting from the implantation are compared to structural changes. (Auth.)

  12. Electrical properties and annealing kinetics study of laser-annealed ion-implanted silicon

    International Nuclear Information System (INIS)

    Wang, K.L.; Liu, Y.S.; Kirkpatrick, C.G.; Possin, G.E.

    1979-01-01

    This paper describes measurements of electrical properties and the regrowth behavior of ion-implanted silicon annealed with an 80-ns (FWHM) laser pulse at 1.06 μm. The experimental results include: (1) a determination of threshold energy density required for melting using a transient optical reflectivity technique, (2) measurements of dopant distribution using Rutherford backscattering spectroscopy, (3) characterization of electrical properties by measuring reverse leakage current densities of laser-annealed and thermal-annealed mesa diodes, (4) determination of annealed junction depth using an electron-beam-induced-current technique, and (5) a deep-level-transient spectroscopic study of residual defects. In particular, by measuring these properties of a diode annealed at a condition near the threshold energy density for liquid phase epitaxial regrowth, we have found certain correlations among these various annealing behaviors and electrical properties of laser-annealed ion-implanted silicon diodes

  13. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  14. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  15. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  16. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  17. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  18. The Effect of Ag and Ag+N Ion Implantation on Cell Attachment Properties

    International Nuclear Information System (INIS)

    Urkac, Emel Sokullu; Oztarhan, Ahmet; Gurhan, Ismet Deliloglu; Iz, Sultan Gulce; Tihminlioglu, Funda; Oks, Efim; Nikolaev, Alexey; Ila, Daryush

    2009-01-01

    Implanted biomedical prosthetic devices are intended to perform safely, reliably and effectively in the human body thus the materials used for orthopedic devices should have good biocompatibility. Ultra High Molecular Weight Poly Ethylene (UHMWPE) has been commonly used for total hip joint replacement because of its very good properties. In this work, UHMWPE samples were Ag and Ag+N ion implanted by using the Metal-Vapor Vacuum Arc (MEVVA) ion implantation technique. Samples were implanted with a fluency of 1017 ion/cm2 and extraction voltage of 30 kV. Rutherford Backscattering Spectrometry (RBS) was used for surface studies. RBS showed the presence of Ag and N on the surface. Cell attachment properties investigated with model cell lines (L929 mouse fibroblasts) to demonstrate that the effect of Ag and Ag+N ion implantation can favorably influence the surface of UHMWPE for biomedical applications. Scanning electron microscopy (SEM) was used to demonstrate the cell attachment on the surface. Study has shown that Ag+N ion implantation represents more effective cell attachment properties on the UHMWPE surfaces.

  19. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  20. Mutation effect of ion implantation on tomato breeding

    International Nuclear Information System (INIS)

    Wu Baoshan; Ling Haiqiu; Mao Peihong; Jin Xiang; Zeng Xianxian

    2003-01-01

    The mutation effects of N + ion implantation on cultivated tomato, Catchup type and Eatable type were studied. The result show that the mutation ranges of single-fruit weight and fruit number per plant were increased and their mutation frequencies were high, however the effect of ion implantation on germination rate of seed and quality of fruit was very weak. Using doses of 4 x 10 16 and 6 x 10 16 N + /cm 2 , the yield was greatly improved. The optimum mutation dosage was slightly different for seed of 2 tomato lines

  1. Ion implantation reinforcement of the protective efficiency of nickel in artificial sea-water

    International Nuclear Information System (INIS)

    Leroy, L.; Girault, P.; Grosseau-Poussard, J.L.; Dinhut, J.F.

    2002-01-01

    Ni bulk specimens have been implanted with Cr, Cu and Ar ions (4x10 16 ions/cm 2 , 60 keV) in order to distinguish between chemical and radiation damage effects on protection corrosion. The corrosion behaviour in artificial sea-water of ion-implanted and pure Ni has been studied at room temperature by electrochemical impedance spectroscopy (EIS) technique. EIS spectra of ion-implanted Ni exhibit one capacitance loop while in pure Ni two distinct loops are observed. Moreover an important increase in the polarisation resistance is noticed for all implanted ions. Theses changes in EIS behaviour with implantation is related to the increase of the superficial layer density resulting in a decrease of heterogeneity of the passive layer. Equivalent circuits are proposed to fit the impedance spectra and corresponding electrochemical parameters are deduced

  2. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  3. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  4. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  5. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  6. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  7. Calculation of the substitutional fraction of ion-implanted He in an Fe target

    OpenAIRE

    Erhart, Paul; Marian, Jaime

    2010-01-01

    Ion-implantation is a useful technique to study irradiation damage in nuclear materials. To study He effects in nuclear fusion conditions, He is co-implanted with damage ions to reproduce the correct He/dpa ratios in the desired or available depth range. However, the short-term fate of these He ions, i.e over the time scales of their own collisional phase, has not been yet unequivocally established. Here we present an atomistic study of the short-term evolution of He implantation in an Fe sub...

  8. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  9. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  10. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  11. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  13. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  14. Physical property of disordered-GaAs produced by ion implantation

    International Nuclear Information System (INIS)

    Nojima, Shunji

    1979-01-01

    The properties of disordered-GaAs produced by ion implantation and its annealing behaviors are investigated for ion species of H, Be, P, and As, from the viewpoints of both the electrical property and the physical structure of the disordered layer. From the study of the electron diffraction for implanted layers and of the conductivity due to defects as a function of dose, depth, measuring temperature, and annealing temperature, the following two facts are clarified: first, the conductivity due to defects can be a good measure for the degree of disorder in GaAs produced by ion implantation, when it is less than --1 Ω -1 cm -1 . Second, the localized states originating from defects are distributed with the same density in the high dose implanted layer, in spite of the degree of disorder in the physical structure. (author)

  15. N{sup +} ion-implantation-induced defects in ZnO studied with a slow positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z Q [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan (Japan); Sekiguchi, T [Nanomaterials Laboratory, National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Yuan, X L [Nanomaterials Laboratory, National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Maekawa, M [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan (Japan); Kawasuso, A [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan (Japan)

    2004-01-21

    Undoped ZnO single crystals were implanted with multiple-energy N{sup +} ions ranging from 50 to 380 keV with doses from 10{sup 12} to 10{sup 14} cm{sup -2}. Positron annihilation measurements show that vacancy defects are introduced in the implanted layers. The concentration of the vacancy defects increases with increasing ion dose. The annealing behaviour of the defects can be divided into four stages, which correspond to the formation and recovery of large vacancy clusters and the formation and disappearance of vacancy-impurity complexes, respectively. All the implantation-induced defects are removed by annealing at 1200 deg. C. Cathodoluminescence measurements show that the ion-implantation-induced defects act as nonradiative recombination centres to suppress the ultraviolet (UV) emission. After annealing, these defects disappear gradually and the UV emission reappears, which coincides with positron annihilation measurements. Hall measurements reveal that after N{sup +} implantation, the ZnO layer still shows n-type conductivity.

  16. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  17. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  18. Study of defects, radiation damage and implanted gases in solids by field-ion and atom-probe microscopy

    International Nuclear Information System (INIS)

    Seidman, D.N.; Amano, J.; Wagner, A.

    1980-10-01

    The ability of the field-ion microscope to image individual atoms has been applied, at Cornell University, to the study of fundamental properties of point defects in irradiated or quenched metals. The capability of the atom probe field-ion microscope to determine the chemistry - that is, the mass-to-charge ratio - of a single ion has been used to investigate the behavior of different implanted species in metals. A brief review is presented of: (1) the basic physical principles of the field-ion and atom-probe microscopes; (2) the many applications of these instruments to the study of defects and radiation damage in solids; and (3) the application of the atom-probe field-ion microscope to the study of the behavior of implanted 3 He and 4 He atoms in tungsten. The paper is heavily referenced so that the reader can pursue his specific research interests in detail

  19. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  20. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  1. The influence of ion implantation on the oxidation of nickel

    International Nuclear Information System (INIS)

    Goode, P.D.

    1975-11-01

    The effects of ion implantation on the oxidation of polycrystalline nickel have been studied for a range of implanted species: viz. He, Li, Ne, Ca, Ti, Ni, Co, Xe, Ce and Bi. The oxides were grown in dry oxygen at 630 0 C and the 16 O(d,p) 17 O nuclear reaction technique used to determine the amount of oxygen taken up. The influence of atomic and ionic size, valency and electronegativity of the implanted impurities was studied as also were the effects of ion bombardment damage and the influence of sputtering during implantation. Atomic size and the annealing of disorder were found to have a marked influence on oxide growth rate. The dependence of oxidation on annealing was further studied by implanting polycrystalline specimens with self ions and observing the oxide growth rate as a function of annealing temperature. A peak in the curve was found at 400 0 C and a similar peak observed at a somewhat higher temperature for oxidised single crystals. It is concluded that the oxidation rate will be influenced by those factors which alter the epitaxial relationship between metal and growing oxide. Such factors include atomic size of the implanted species, surface strain induced by implantation and changes in surface topography as a result of sputtering. In addition a model based on vacancy assisted cation migration is proposed to explain enhanced oxidation observed over a limited temperature range. (author)

  2. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  3. Synchrotron Topographic and Diffractometer Studies of Buried Layered Structures Obtained by Implantation with Swift Heavy Ions in Silicon Single Crystals

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Wieteska, K.; Zymierska, D.; Graeff, W.; Czosnyka, T.; Choinski, J.

    2006-01-01

    A distribution of crystallographic defects and deformation in silicon crystals subjected to deep implantation (20-50 μm) with ions of the energy of a few MeV/amu is studied. Three different buried layered structures (single layer, binary buried structure and triple buried structure) were obtained by implantation of silicon single crystals with 184 MeV argon ions, 29.7 MeV boron ions, and 140 MeV argon ions, each implantation at a fluency of 1x10 14 ions cm -2 . The implanted samples were examined by means of white beam X-ray section and projection topography, monochromatic beam topography and by recording local rocking curves with the beam restricted to 50 x 50 μm 2 . The experiment pointed to a very low level of implantation-induced strain (below 10 -5 ). The white beam Bragg case section experiment revealed a layer producing district black contrast located at a depth of the expected mean ion range. The presence of these buried layered structures in studied silicon crystals strongly affected the fringe pattern caused by curvature of the samples. In case of white beam projection and monochromatic beam topographs the implanted areas were revealed as darker regions with a very tiny grain like structure. One may interpret these results as the effect of considerable heating causing annihilation of point defects and formation of dislocation loops connected with point defect clusters. (author)

  4. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  5. Ion implantation reinforcement of the protective efficiency of nickel in artificial sea-water

    CERN Document Server

    Leroy, L; Grosseau-Poussard, J L; Dinhut, J F

    2002-01-01

    Ni bulk specimens have been implanted with Cr, Cu and Ar ions (4x10 sup 1 sup 6 ions/cm sup 2 , 60 keV) in order to distinguish between chemical and radiation damage effects on protection corrosion. The corrosion behaviour in artificial sea-water of ion-implanted and pure Ni has been studied at room temperature by electrochemical impedance spectroscopy (EIS) technique. EIS spectra of ion-implanted Ni exhibit one capacitance loop while in pure Ni two distinct loops are observed. Moreover an important increase in the polarisation resistance is noticed for all implanted ions. Theses changes in EIS behaviour with implantation is related to the increase of the superficial layer density resulting in a decrease of heterogeneity of the passive layer. Equivalent circuits are proposed to fit the impedance spectra and corresponding electrochemical parameters are deduced.

  6. Slow positron beam study of hydrogen ion implanted ZnO thin films

    International Nuclear Information System (INIS)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-01-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×10 15 and 1×10 16 ions cm −2 . Zn vacancy and OH bonding (V Zn +OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process. - Highlights: • Hydrogen introduced by ion implantation can form hydrogen-related defect complex. • V Zn +OH defect complex is identified by positron annihilation and IR spectroscopy. • Irradiation defects suppress the luminescence process

  7. Cd doping of AlN via ion implantation studied with perturbed angular correlation

    CERN Document Server

    Kessler, Patrick; Miranda, Sérgio MC; Simon, R; Correia, João Guilherme; Johnston, Karl; Vianden, Reiner

    2012-01-01

    AlN with a wide bandgap of 6.2 eV is a promising candidate for ultraviolet light-emitting diodes and laser diodes. However, the production of the required p-type AlN is still challenging. As a possible dopant Cd was suggested among other Group II atoms (Be, Mg, and Zn). In this study the annealing condition of implanted Cd in AlN was investigated with the method of the perturbed angular correlation (PAC). Therefore radioactive $^{117}$Cd or $^{111m}$Cd ions were implanted into thin AlN films on sapphire substrate with an energy of 30 keV and fluences in the range of 10$^{11}$ ions/cm$^{2}$. After thorough annealing with a proximity cap of the same material most of the Cd-probes occupy substitutional lattice sites and almost all implantation damage can be annealed. This results in a distinct frequency in the PAC spectra which increases with temperature. In contrast to the formation of an indium nitrogen-vacancy complex observed with the probe $^{111}$In on substitutional Al-sites no defects are bound to substi...

  8. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  9. Ion Implantation in Ge: Structural and electrical investigation of the induced lattice damage & Study of the lattice location of implanted impurities

    CERN Document Server

    Decoster, Stefan; Wahl, Ulrich

    The past two decades, germanium has drawn international attention as one of the most promising materials to replace silicon in semiconductor applications. Due to important advantages with respect to Si, such as the increased electron and hole mobility, Ge is well on its way to become an important material in future high-speed integrated circuits. Although the interest in this elemental group IV semiconductor is increasing rapidly nowadays, the number of publications about this material is still relatively scarce, especially when compared to Si. The most widely used technique to dope semiconductors is ion implantation, due to its good control of the dopant concentration and profile, and the isotopic purity of the implanted species. However, there is a major lack of knowledge of the fundamental properties of ion implantation in Ge, which has triggered the research presented in this thesis. One of the most important and generally unwanted properties of ion implantation is the creation of damage to the crystal la...

  10. Improved cell viability and hydroxyapatite growth on nitrogen ion-implanted surfaces

    Science.gov (United States)

    Shafique, Muhammad Ahsan; Murtaza, G.; Saadat, Shahzad; Uddin, Muhammad K. H.; Ahmad, Riaz

    2017-08-01

    Stainless steel 306 is implanted with various doses of nitrogen ions using a 2 MV pelletron accelerator for the improvement of its surface biomedical properties. Raman spectroscopy reveals incubation of hydroxyapatite (HA) on all the samples and it is found that the growth of incubated HA is greater in higher ion dose samples. SEM profiles depict uniform growth and greater spread of HA with higher ion implantation. Human oral fibroblast response is also found consistent with Raman spectroscopy and SEM results; the cell viability is found maximum in samples treated with the highest (more than 300%) dose. XRD profiles signified greater peak intensity of HA with ion implantation; a contact angle study revealed hydrophilic behavior of all the samples but the treated samples were found to be lesser hydrophilic compared to the control samples. Nitrogen implantation yields greater bioactivity, improved surface affinity for HA incubation and improved hardness of the surface.

  11. Ion beam studies - part 4. The use of multiply-charged and polyatomic ions in an implantation accelerator

    International Nuclear Information System (INIS)

    Freeman, J.H.; Chivers, D.J.; Gard, G.A.

    1976-12-01

    Polyatomic and multiply-charged ion provide a convenient means of extending the energy range of an implanted accelerator. The molecular species are also of interest in certain special bombardment studies. This report considers some of the factors which affect the production and utilisation of such beams. It introduces the concepts of hetero- and auto-contamination, and particular attention is given to the modification of the charge or mass of the ions resulting from inelastic collisions in the various beams transport regions of the accelerator. (author)

  12. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of structural changes of the polyethylene after ion implantation. There were used the polyethylene film with thickness 15 μm, and Ar + ions with energy 63 keV and Xe + ions with energy 156 keV with doses 1·10 13 - 3·10 15 cm -2 for experiments. For the study of structural changes of polymer were used methods UV-VIS spectrometry, ESR, Rutherford back scattering

  13. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  14. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Szakacs, G. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)], E-mail: szilagyi@rmki.kfki.hu; Paszti, F.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2008-04-15

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO{sub 2} films. To study this process in details, helium was implanted into the central part of a buried SiO{sub 2} island up to a fluence of 4 x 10{sup 17} He/cm{sup 2}. The implanted helium could be detected in the SiO{sub 2} island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 {mu}m thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity.

  15. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    International Nuclear Information System (INIS)

    Szakacs, G.; Szilagyi, E.; Paszti, F.; Kotai, E.

    2008-01-01

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO 2 films. To study this process in details, helium was implanted into the central part of a buried SiO 2 island up to a fluence of 4 x 10 17 He/cm 2 . The implanted helium could be detected in the SiO 2 island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 μm thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity

  16. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  17. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  18. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  19. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  20. Implantation of D+ ions in niobium and deuterium gas reemission

    International Nuclear Information System (INIS)

    Pisarev, A.A.; Tel'kovskij, V.G.

    1975-01-01

    This is a study of the implanting and reflex gasoisolation of D ions in niobium. It has been discovered that deutrium scope and gasoisolation are defined by several processes. An assumption is made that in ion bombarding conditions the implanting solutions are possible to exist and that deutrium can be replaced on the basis of niobium and hydrid compounds NbxDy. The portion of the particles entrained in the metal in one or another way depends on the ion energy. The dependence of the scope coefficient of n D + ions from the target temperature in the range of 290-1500 K was registered. An increase of the scope coefficient of the ions at high temperature with an increase of the ion energy was discovered

  1. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  2. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  3. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  4. Influence of the ion implantation on the nanoscale intermetallic phases formation in Ni-Ti system

    International Nuclear Information System (INIS)

    Kalashnikov, M.P.; Kurzina, I.A.; Bozhko, I.A.; Kozlov, E.V.; Fortuna, S.V.; Sivin, D.O.; Stepanov, I.B.; Sharkeev, Yu.P.

    2005-01-01

    Full text: The ion implantation at a high intensity mode is an effective method for modification of the surface properties of metals and alloys. Improvement of mechanical and tribological properties of irradiated materials using the high intensity implantation is connected with an element composition and microstructure modification of the surface and subsurface layers. One shows a great interest in intermetallic phase's synthesis by ion implantation, because of unique physical-mechanical properties of the intermetallic compounds. The influence of the irradiation conditions on the structural state and surface properties of implanted materials is not clear enough. The study of the factors influencing on the formation of the surface ion - alloyed layers of metal targets having the high tribological and mechanical properties by high intensity ion implantation is actual. The aim of the present work is a study of the microstructure, phase composition, physical and mechanical properties of the ion-alloyed Ni surfaces formed at high intensity implantation of Ti ions. The implantation Ti ions into Ni samples at high intensity mode was realized using ion source 'Raduga - 5'. The implantation Ti ions into Ni was carried out at accelerating voltage 20 kV for 2 h. The regimes were differed in the samples temperature (580 - 700 K), the distance from the ion implanted samples to the ion source (0.43-0.93 m) and the dose of irradiated ions (0.3·10 18 -2.9·10 18 ion/cm -2 ). The element composition of the implanted samples was analyzed by the electron spectroscopy. The structural-phase state of the Ni ion-modified layers was investigated by the transmission electron microscopy and X-ray diffraction methods. Additionally, the investigation of mechanical and tribological properties of the implanted Ni samples was carried out. It was established that the maximum thickness of the ion-alloyed nickel layers at high intensity mode allows forming the nanoscale intermetallic phases (Ni

  5. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  6. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  7. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  8. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Niño, E D V; Dugar-Zhabon, V; Pinto, J L; Henao, J A

    2012-01-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 10 17 ions/cm 2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  9. Chemical characterization of 4140 steel implanted by nitrogen ions

    Science.gov (United States)

    Niño, E. D. V.; Pinto, J. L.; Dugar-Zhabon, V.; Henao, J. A.

    2012-06-01

    AISI SAE 4140 steel samples of different surface roughness which are implanted with 20 keV and 30 keV nitrogen ions at a dose of 1017 ions/cm2 are studied. The crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD) PDF-2. The implantation treatment is realized in high-voltage pulsed discharges at low pressures. The crystal structure of the implanted solid surfaces is analyzed by the x-ray diffraction technique which permits to identify the possible newly formed compounds and to identify any change in the surface structure of the treated samples. A decrease in the intensity of the plane (110), a reduction of the cell unity in values of 2-theta and a diminishing of the crystallite dimensions in comparison with non-implanted samples are observed.

  10. Subnanosecond timing with ion-implanted detectors

    International Nuclear Information System (INIS)

    Rijken, H.A.; Klein, S.S.; Jacobs, W.; Teeuwen, L.J.H.G.W.; Voigt, M.J.A. de; Burger, P.

    1992-01-01

    The energy resolution of ion-implanted charged particle detectors may be improved by decreasing the thickness of the implanted detector window to minimize energy straggling. Because of the resistance of this layer, however, the timing depends on the position of entry. Two solutions to this conflict between energy resolution and time resolution are studied: evaporating a very thin aluminum layer on the detector window and fabricating a rectangular detector. Both solutions are shown to be successful with a total time resolution in the low subnanosecond region (<200 ps). (orig.)

  11. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  12. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  13. Deep level transient spectroscopy studies of charge traps introduced into silicon by channeling ion implantation of phosphorus

    International Nuclear Information System (INIS)

    McCallum, J.C.; Lay, M.; Deenapanray, P.N.K.; Jagadish, C.

    2002-01-01

    Full text: The operating conditions of a silicon-based quantum computer are expected to place stringent requirements on the quality of the material and the processes used to make it. In the Special Research Centre for Quantum Computer Technology, ion implantation is one of the principle processing techniques under investigation for forming an ordered array of phosphorus atoms. This technique introduces defect centres in silicon which act as charge traps. Charge traps are expected to be detrimental to operation of the device. These defect centres, their dependence on ion implantation and thermal annealing conditions are being quantified using Deep Level Transient Spectroscopy (DLTS). Since the aspect ratio of the masks required for the top-down fabrication process restrict the incident ions to a range of angles in which they may undergo channeling implantation in the silicon substrate, we have examined the effect of channeling implantation on the nature and quantity of the charge traps produced. This is the first time that DLTS studies have been performed for channeling implantation of a dopant species in silicon. DLTS is well-suited to the dose regime of ∼10 11 P/cm 3 required for the quantum computer, however, a standard DLTS measurement is unable to probe the shallow depth range of ∼ 20 nm required for the P atoms (∼ 10-15 keV implantation energy). Our aim has therefore been to perform P implants in the appropriate dose regime but using higher implantation energies, ∼ 75-450 keV, where DLTS can directly identify and profile the charge traps induced by the implantation step and monitor their annealing characteristics during subsequent processing. To map the behaviour observed in this energy regime onto the low energy range required for the quantum computer we are comparing the DLTS results to damage profiles predicted by the Monte Carlo code Crystal Trim which is used in the semiconductor industry to simulate ion implantation processes in crystalline

  14. Grain size effect on yield strength of titanium alloy implanted with aluminum ions

    Energy Technology Data Exchange (ETDEWEB)

    Popova, Natalya, E-mail: natalya-popova-44@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk (Russian Federation); Nikonenko, Elena, E-mail: vilatomsk@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); National Research Tomsk Polytechnic University, 30, Lenin Str., 634050, Tomsk (Russian Federation); Yurev, Ivan, E-mail: yiywork@mail.ru [Tomsk State University of Architecture and Building, 2, Solyanaya Sq., 634003, Tomsk (Russian Federation); Kalashnikov, Mark, E-mail: kmp1980@mail.ru [Institute of Strength Physics and Materials Science, SB RAS, 2/4, Akademicheskii Ave., 634021, Tomsk (Russian Federation); Kurzina, Irina, E-mail: kurzina99@mail.ru [National Research Tomsk State University, 36, Lenin Str., 634050, Tomsk (Russian Federation)

    2016-01-15

    The paper presents a transmission electron microscopy (TEM) study of the microstructure and phase state of commercially pure titanium VT1-0 implanted by aluminum ions. This study has been carried out before and after the ion implantation for different grain size, i.e. 0.3 µm (ultra-fine grain condition), 1.5 µm (fine grain condition), and 17 µm (polycrystalline condition). This paper presents details of calculations and analysis of strength components of the yield stress. It is shown that the ion implantation results in a considerable hardening of the entire thickness of the implanted layer in the both grain types. The grain size has, however, a different effect on the yield stress. So, both before and after the ion implantation, the increase of the grain size leads to the decrease of the alloy hardening. Thus, hardening in ultra-fine and fine grain alloys increased by four times, while in polycrystalline alloy it increased by over six times.

  15. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  16. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  17. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  18. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  19. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  20. Yttrium ion implantation on the surface properties of magnesium

    International Nuclear Information System (INIS)

    Wang, X.M.; Zeng, X.Q.; Wu, G.S.; Yao, S.S.

    2006-01-01

    Owing to their excellent physical and mechanical properties, magnesium and its alloys are receiving more attention. However, their application has been limited to the high reactivity and the poor corrosion resistance. The aim of the study was to investigate the beneficial effects of ion-implanted yttrium using a MEVVA ion implanter on the surface properties of pure magnesium. Isothermal oxidation tests in pure O 2 at 673 and 773 K up to 90 min indicated that the oxidation resistance of magnesium had been significantly improved. Surface morphology of the oxide scale was analyzed using scanning electron microscope (SEM). Auger electron spectroscopy (AES) and X-ray diffraction (XRD) analyses indicated that the implanted layer was mainly composed of MgO and Y 2 O 3 , and the implanted layer with a duplex structure could decrease the inward diffusion of oxygen and reduce the outward diffusion of Mg 2+ , which led to improving the oxidation resistance of magnesium. Potentiodynamic polarization curves were used to evaluate the corrosion resistance of the implanted magnesium. The results show yttrium implantation could enhance the corrosion resistance of implanted magnesium compared with that of pure magnesium

  1. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  2. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  3. Applications of ion implantation for modifying the interactions between metals and hydrogen gas

    Science.gov (United States)

    Musket, R. G.

    1989-04-01

    Ion implantations into metals have been shown recently to either reduce or enhance interactions with gaseous hydrogen. Published studies concerned with modifications of these interactions are reviewed and discussed in terms of the mechanisms postulated to explain the observed changes. The interactions are hydrogenation, hydrogen permeation, and hydrogen embrittlement. In particular, the results of the reviewed studies are (a) uranium hydriding suppressed by implantation of oxygen and carbon, (b) hydrogen gettered in iron and nickel using implantation of titanium, (c) hydriding of titanium catalyzed by implanted palladium, (d) tritium permeation of 304L stainless steel reduced using selective oxidation of implanted aluminum, and (e) hydrogen attack of a low-alloy steel accelerated by implantation of helium. These studies revealed ion implantation to be an effective method for modifying the interactions of hydrogen gas with metals.

  4. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  5. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  6. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  7. Tribological studies of nitrogen ion implantation induced overlayer coatings of amorphous carbon and carbonitride phase

    International Nuclear Information System (INIS)

    Kumar, N.; Srivastava, S.K.; Pandian, R.; Bahuguna, Ashok; Dhara, S.; Nair, K.G.M.; Dash, S.; Tyagi, A.K.

    2013-01-01

    Highlights: ► Composite phase of amorphous carbon and carbonitride phase is observed on the N + ion implanted surface of steel. ► Advanced properties of implanted surface shows low friction coefficient of ∼0.05. ► High wear resistance 4.3 × 10 −8 mm 3 /Nm of N + implanted surface is obtained. -- Abstract: Morphology and microstructure of N + ion implanted 316 LN steel are found to modify with irradiated substrate temperature. At low temperature of 100 °C, self-similar micro-ripples are formed but at high temperature of 200 and 300 °C, micro-pores and blisters are observed on the implanted surface. Chemically modified surface is found to consist of amorphous carbon and carbonitride phase. Such composite characteristic of implanted steel surface at irradiated substrate temperature of 300 °C shows improved tribological properties with low friction coefficient and high wear resistance

  8. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  9. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  10. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  11. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  12. Deformation characteristics of the near-surface layers of zirconia ceramics implanted with aluminum ions

    Science.gov (United States)

    Ghyngazov, S. A.; Vasiliev, I. P.; Frangulyan, T. S.; Chernyavski, A. V.

    2015-10-01

    The effect of ion treatment on the phase composition and mechanical properties of the near-surface layers of zirconium ceramic composition 97 ZrO2-3Y2O3 (mol%) was studied. Irradiation of the samples was carried out by accelerated ions of aluminum with using vacuum-arc source Mevva 5-Ru. Ion beam had the following parameters: the energy of the accelerated ions E = 78 keV, the pulse current density Ji = 4mA / cm2, current pulse duration equal τ = 250 mcs, pulse repetition frequency f = 5 Hz. Exposure doses (fluence) were 1016 и 1017 ion/cm2. The depth distribution implanted ions was studied by SIMS method. It is shown that the maximum projected range of the implanted ions is equal to 250 nm. Near-surface layers were investigated by X-ray diffraction (XRD) at fixed glancing incidence angle. It is shown that implantation of aluminum ions into the ceramics does not lead to a change in the phase composition of the near-surface layer. The influence of implanted ions on mechanical properties of ceramic near-surface layers was studied by the method of dynamic nanoindentation using small loads on the indenter P=300 mN. It is shown that in ion- implanted ceramic layer the processes of material recovery in the deformed region in the unloading mode proceeds with higher efficiency as compared with the initial material state. The deformation characteristics of samples before and after ion treatment have been determined from interpretation of the resulting P-h curves within the loading and unloading sections by the technique proposed by Oliver and Pharr. It was found that implantation of aluminum ions in the near-surface layer of zirconia ceramics increases nanohardness and reduces the Young's modulus.

  13. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  14. Production of amorphous alloys by ion implantation

    International Nuclear Information System (INIS)

    Grant, W.A.; Chadderton, L.T.; Johnson, E.

    1978-01-01

    Recent data are reported on the use of ion implantation to produce amorphous metallic alloys. In particular data on the dose dependence of the crystalline to amorphous transition induced by P + implantation of nickel is presented. (Auth.)

  15. Neuron attachment properties of carbon negative-ion implanted bioabsorbable polymer of poly-lactic acid

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Sasaki, Hitoshi; Sato, Hiroko; Gotoh, Yasuhito; Ishikawa, Junzo

    2002-01-01

    Modification of a bioabsorbable polymer of poly-lactic acid (PLA) by negative carbon ion implantation was investigated with resect to radiation effects on surface physical properties and nerve-cell attachment properties. Carbon negative ions were implanted to PLA at energy of 5-30 keV with a dose of 10 14 -10 16 ions/cm 2 . Most C-implanted PLA samples showed contact angles near 80 deg. and almost same as that of unimplanted PLA, although a few samples at 5 keV and less 3x10 14 ions/cm 2 had contact angles larger than 90 deg. The attachment properties of nerve cells of PC-12h (rat adrenal phechromocytoma) in vitro were studied. PC-12h cells attached on the unimplanted region in C-implanted PLA samples at 5 and 10 keV. On the contrary, the nerve cells attached on only implanted region for the C-implanted PLA sample at 30 keV and 1x10 15 ions/cm 2

  16. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  17. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  18. Target-ion source unit ionization efficiency measurement by method of stable ion beam implantation

    CERN Document Server

    Panteleev, V.N; Fedorov, D.V; Moroz, F.V; Orlov, S.Yu; Volkov, Yu.M

    The ionization efficiency is one of the most important parameters of an on-line used target-ion source system exploited for production of exotic radioactive beams. The ionization efficiency value determination as a characteristic of a target-ion source unit in the stage of its normalizing before on-line use is a very important step in the course of the preparation for an on-line experiment. At the IRIS facility (Petersburg Nuclear Physics Institute, Gatchina) a reliable and rather precise method of the target-ion source unit ionization efficiency measurement by the method of stable beam implantation has been developed. The method worked out exploits an off-line mass-separator for the implantation of the ion beams of selected stable isotopes of different elements into a tantalum foil placed inside the Faraday cup in the focal plane of the mass-separator. The amount of implanted ions has been measured with a high accuracy by the current integrator connected to the Faraday cup. After the implantation of needed a...

  19. Modification of electrical properties of polymer membranes by ion implantation

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Wasik, S.

    2000-01-01

    This paper presents an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted with a variety of ions (O 5+ , N 4+ , Kr 9+ ) by the energy of 10 keV/q up to doses of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and the changes in the chemical structure of implanted membrane were measured by conductivity and discharge currents and FTIR spectra. Electrical conductivity of the membranes PET increases to 1-3 orders of magnitude after implantation and is determined by the charge transport caused by free space charge and by thermal detrapping of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability to accumulate charge

  20. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  1. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  2. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  3. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  4. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  5. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  6. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  7. Applications of ion implantation for modifying the interactions between metals and hydrogen gas

    International Nuclear Information System (INIS)

    Musket, R.G.

    1989-01-01

    Ion implantations into metals have been shown recently to either reduce or enhance interactions with gaseous hydrogen. Published studies concerned with modifications of these interactions are reviewed and discussed in terms of the mechanisms postulated to explain the observed changes. The interactions are hydrogenation, hydrogen permeation and hydrogen embrittlement. In particular, the results of the reviewed studies are 1. uranium hydriding suppressed by implantation of oxygen and carbon, 2. hydrogen gettered in iron and nickel using implantation of titanium, 3. hydriding of titanium catalyzed by implanted palladium, 4. tritium permeation of 304L stainless steel reduced using selective oxidation of implanted aluminum, and 5. hydrogen attack of a low-alloy steel accelerated by implantation of helium. These studies revealed ion implantation to be an effective method for modifying the interactions of hydrogen gas with metals. (orig.)

  8. Application of ion implantation RBS to the study of electrocatalysis

    International Nuclear Information System (INIS)

    Kelly, E.J.; Vallet, C.E.; White, C.W.

    1990-01-01

    Ir-implanted titanium near-surface alloys were prepared by ion implantation, characterized (Ir concentration/depth profiles) by Rutherford backscattering (RBS), and subsequently anodically oxidized to form electrocatalytically active Ir x Ti 1-x O 2 /Ti electrodes. The electrochemical behavior of the metallic-like Ir 4 Ti 1-x O 2 /Ti electrodes in acidic chloride, sulfate, and perchlorate solutions was investigated, and the results compared with those previously obtained with similarly prepared Ru x Ti 1-x O 2 /Ti electrodes. For both electrodes, M x Ti 1-x O 2 /Ti (M equals Ir or Ru), the Tafel slope for the Cl 2 evolution reaction is 40 mV, i.e.,δE/δlog i equals 2.303 (2RT/3F). The reaction order (n) with respect to chloride ion concentration δlogi/δlog[Cl - ] + 1, where K 9 equals 54.9 dm 3 mol -1 for Ir x Ti 1-x O 2 /Ti and K 9 equals 40 dm 3 mol -1 for Ru x Ti 1-x O 2 /Ti. A modified Volmer-Heyrovsky mechanism, one in which the role of absorbed chloride ions is taken into account, is shown to be consistent with aforementioned diagnostic parameters

  9. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  10. Dual-ion implantation into GaAs

    International Nuclear Information System (INIS)

    Sealy, B.J.; Bell, E.C.; Surridge, R.K.; Stephens, K.G.; Ambridge, T.; Heckingbottom, R.

    1976-01-01

    A variety of dual implants have been carried out to test the theory of Ambridge and Heckingbottom (Ambridge, T. and Heckingbottom, R., 1973, Radiat. Effects, vol. 17, 31). After annealing at 700 0 C or 750 0 C a significant enhancement of electrical activity compared with single-ion implants has been obtained for (Ga + Se) and (Sn + Se) implants but the degree of enhancement is dose dependent. The results imply that the dual implantation process is more complex than predicted by the theory and the electrical activity measured seems to be dominated by residual, compensating damage. (author)

  11. On depth profiling of hydrogen and helium isotopes and its application to ion-implantation studies

    International Nuclear Information System (INIS)

    Boettiger, J.

    1979-01-01

    The thesis is divided into two parts, the first being a general review of the experimental methods for depth profiling of light isotopes, where ion beams are used. In the second part, studies of ion implantation of hydrogen and helium isotopes, applying the techniques discussed in the first part, are described. The paper summarizes recent experimental results and discusses recent developments. (Auth.)

  12. Nonlinear effects in defect production by atomic and molecular ion implantation

    International Nuclear Information System (INIS)

    David, C.; Dholakia, Manan; Chandra, Sharat; Nair, K. G. M.; Panigrahi, B. K.; Amirthapandian, S.; Amarendra, G.; Varghese Anto, C.; Santhana Raman, P.; Kennedy, John

    2015-01-01

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al 3 , resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed due to Al 4 implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations

  13. Corrosion behaviour of pure iron implanted with Pd ion beam

    International Nuclear Information System (INIS)

    Sang, J.M.; Lin, W.L.; Wu, Z.D.; Wang, H.S.

    1999-01-01

    The corrosion behavior of pure iron implanted with Pd ions up to doses in the range 1x10 16 -1x10 18 ions/cm 2 at an extracting voltage 45kV by using MEVVA source ion implanter has been investigated. The concentration profiles and valence states of elements at the near surface of Pd implanted iron specimens were analyzed by AES and XPS respectively. The Anodic dissolution process of Pd implanted pure iron was measured by means of potentiokinetic sweep in a 0.5 mol/1 NaAc/Hac buffer solution with pH5.0. The open circuit corrosion potential as a function of immersion time was used to evaluate the corrosion resistance of Pd implanted iron specimens. The experimental results show that Pd ion implantation decreases the critical passive current of iron and maintains a better passivity in acetate buffer solution with pH5.0. It is interesting that the active corrosion rate of Pd implanted iron is even higher than that of unimplanted one, when the oxide layer on the surface of iron has been damaged. (author)

  14. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  15. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Zhao, J.; Chu, Paul K.

    2007-01-01

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has three layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO 2 with some Mg(OH) 2 . The middle layer that is 50 nm thick comprises predominantly TiO 2 and MgO with minor contributions from MgAl 2 O 4 and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti 3 Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37±1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased β-Mg 12 Al 17 phase

  16. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    International Nuclear Information System (INIS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-01-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C_1"− implantation dose was increased to 1 × 10"1"6 ions/cm"2, and the effects of C_1"−, C_2"− and O_1"− implantation result in only small differences in the water contact angle at 3 × 10"1"5 ions/cm"2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH_3, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O)_x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  17. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  18. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  19. Characterization of duplex hard coatings with additional ion implantation

    Directory of Open Access Journals (Sweden)

    B. Škorić

    2012-01-01

    Full Text Available In this paper, we present the results of a study of TiN thin fi lms which are deposited by a Physical Vapour Deposition (PVD and Ion Beam Assisted Deposition (IBAD. In the present investigation the subsequent ion implantation was provided with N+2 ions. The ion implantation was applied to enhance the mechanical properties of surface. The thin film deposition process exerts a number of eff ects such as crystallographic orientation, morphology, topography, densifi cation of the fi lms. The evolution of the microstructure from porous and columnar grains to densel packed grains is accompanied by changes in mechanical and physical properties. A variety of analytic techniques were used for characterization, such as scratch test, calo test, Scanning electron microscopy (SEM, Atomic Force Microscope (AFM, X-ray diff raction (XRD and Energy Dispersive X-ray analysis (EDAX.

  20. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  1. Biological effects of N+ ion implantation and UV radiation on streptomyces albus

    International Nuclear Information System (INIS)

    Wu Jian; Dai Guifu

    2005-01-01

    The results of both 30 keV N + ion implantation and UV irradiation of Streptomyces albus showed complicate biological effects. The 'saddle shape' pattern of the dose-dependent curve formed by N + ion implantation with low energy was studied, and it proved that vacuum was not the reason, and the fact, the 'saddle shape' curve may be regarded as a HRS/IRR (hyper-radiosensitivity/increased radiaoresistance) effect caused by low dose irradiation. But Streptomyces albus UV irradiated after vacuum treatment only showed IRR effect or hormesis (survival rate >100%). The streptomycin resistance mutation of Streptomyces albus caused by low energy N + ion implantation and UV irradiation was also studied. the results showed that UV radiation is one effective means for streptomyces albus breeding. (authors)

  2. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  3. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  4. Calculation of the substitutional fraction of ion-implanted He in an α-Fe target

    Science.gov (United States)

    Erhart, Paul; Marian, Jaime

    2011-07-01

    Ion-implantation is a useful technique to study irradiation damage in nuclear materials. To study He effects in nuclear fusion conditions, He is co-implanted with damage ions to reproduce the correct He/dpa ratios in the desired or available depth range. However, the short-term fate of these He ions, i.e. over the time scales of their own collisional phase, has not been yet unequivocally established. Here we present a computational study of the short-term evolution of He implantation in an Fe substrate at 700 K to approximate the conditions encountered in dual ion-implantation studies in ferritic materials. Using a combination of SRIM, molecular dynamics and kinetic Monte Carlo (kMC), we calculate the fraction of He atoms that end up in substitutional sites shortly after implantation, i.e. before they contribute to long-term microstructural evolution. We find that fractions of at most 3% should be expected for most implantation studies. Additionally, to inform the kMC calculations, we carry out an exhaustive calculation of interstitial He migration energy barriers in the vicinity of matrix vacancies and find that they vary from approximately 20-60 meV depending on the separation and orientation of the He-vacancy pair.

  5. Calculation of the substitutional fraction of ion-implanted He in an α-Fe target

    International Nuclear Information System (INIS)

    Erhart, Paul; Marian, Jaime

    2011-01-01

    Ion-implantation is a useful technique to study irradiation damage in nuclear materials. To study He effects in nuclear fusion conditions, He is co-implanted with damage ions to reproduce the correct He/dpa ratios in the desired or available depth range. However, the short-term fate of these He ions, i.e. over the time scales of their own collisional phase, has not been yet unequivocally established. Here we present a computational study of the short-term evolution of He implantation in an Fe substrate at 700 K to approximate the conditions encountered in dual ion-implantation studies in ferritic materials. Using a combination of SRIM, molecular dynamics and kinetic Monte Carlo (kMC), we calculate the fraction of He atoms that end up in substitutional sites shortly after implantation, i.e. before they contribute to long-term microstructural evolution. We find that fractions of at most 3% should be expected for most implantation studies. Additionally, to inform the kMC calculations, we carry out an exhaustive calculation of interstitial He migration energy barriers in the vicinity of matrix vacancies and find that they vary from approximately 20-60 meV depending on the separation and orientation of the He-vacancy pair.

  6. Picosecond ultrasonics study of the modification of interfacial bonding by ion implantation

    International Nuclear Information System (INIS)

    Tas, G.; Loomis, J.J.; Maris, H.J.; Bailes, A.A. III; Seiberling, L.E.

    1998-01-01

    We report on experiments in which picosecond ultrasonic techniques are used to investigate the modification of interfacial bonding that results from ion implantation. The bonding is studied through measurements of the acoustic reflection coefficient at the interface. This method is nondestructive and can be used to create a map of the variation of the bonding over the area of the interface. copyright 1998 American Institute of Physics

  7. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  8. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  9. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  10. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  11. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  12. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  13. Mg ion implantation on SLA-treated titanium surface and its effects on the behavior of mesenchymal stem cell

    International Nuclear Information System (INIS)

    Kim, Beom-Su; Kim, Jin Seong; Park, Young Min; Choi, Bo-Young; Lee, Jun

    2013-01-01

    Magnesium (Mg) is one of the most important ions associated with bone osseointegration. The aim of this study was to evaluate the cellular effects of Mg implantation in titanium (Ti) surfaces treated with sand blast using large grit and acid etching (SLA). Mg ions were implanted into the surface via vacuum arc source ion implantation. The surface morphology, chemical properties, and the amount of Mg ion release were evaluated by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), Rutherford backscattering spectroscopy (RBS), and inductively coupled plasma-optical emission spectrometer (ICP-OES). Human mesenchymal stem cells (hMSCs) were used to evaluate cellular parameters such as proliferation, cytotoxicity, and adhesion morphology by MTS assay, live/dead assay, and SEM. Furthermore, osteoblast differentiation was determined on the basis of alkaline phosphatase (ALP) activity and the degree of calcium accumulation. In the Mg ion-implanted disk, 2.3 × 10 16 ions/cm 2 was retained. However, after Mg ion implantation, the surface morphology did not change. Implanted Mg ions were rapidly released during the first 7 days in vitro. The MTS assay, live/dead assay, and SEM demonstrated increased cell attachment and growth on the Mg ion-implanted surface. In particular, Mg ion implantation increased the initial cell adhesion, and in an osteoblast differentiation assay, ALP activity and calcium accumulation. These findings suggest that Mg ion implantation using the plasma source ion implantation (PSII) technique may be useful for SLA-treated Ti dental implants to improve their osseointegration capacity. - Highlights: ► Mg ion was coated onto surface of SLA treated titanium via vacuum arc source ion implantation method. ► The morphological characteristics did not change after Mg ion implantation. ► Mg ion implanted SLA Ti is highly cytocompatible. ► Initial cell adhesion of MSCs is improved by Mg ion implantation. ► Mg ion implantation improved

  14. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  15. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  16. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  17. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  18. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  19. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  20. Application of triple-crystal diffractometry for study of ion implanted layer defects

    International Nuclear Information System (INIS)

    Shcherbachev, K.D.; Bublik, V.T.

    2000-01-01

    Application of a triple-crystal arrangement, unlike traditionally used double-crystal one, allowed one to separate coherent and incoherent scattering components and to improve a resolution significantly. Advantages of the triple-crystal X-ray diffractometry to study defects in ion-implanted layers are demonstrated by example of characterisation of Si-GaAs(100) wafers doped by Si + with energy of 50 keV and does of 1x10 15 and 1x10 14 cm -2 . To explain a behaviour of point defects after implantation and annealing the analysis of strain depth profile was used. Two processes are shown to play a key role in formation of the distorted layer during implantation. The first one is an annihilation of Frenkel pairs components that decreases the total point defects concentration. Another one is a sink of more mobile interstitials to the surface that leads to formation of the thin subsurface layer enriched by vacancies [ru

  1. Effect of ion-implantation enhanced intermixing on luminescence of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Li, Q; Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra 0200 (Australia)

    2008-10-21

    Temperature dependent photoluminescence spectra of ion implanted InAs/InP quantum dots (QDs) followed by rapid thermal annealing were studied. By employing a recently developed luminescence model for localized states ensemble, the broadening of the distribution of the localized QD states was determined from the fitting to the luminescence peak energy positions. The broadening of the distribution of the localized QD states reduces due to ion-implantation enhanced intermixing. The contribution of carrier distribution within the localized QD states to the luminescence linewidth decreases after ion-implantation enhanced intermixing. The effect of doses and types of ions used for implantation were also investigated.

  2. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    Science.gov (United States)

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  3. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  4. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  5. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  6. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  7. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  8. Ion implantation for manufacturing bent and periodically bent crystals

    Energy Technology Data Exchange (ETDEWEB)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it; Mazzolari, Andrea; Paternò, Gianfranco [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat 1/c, 44122 Ferrara, Italy and INFN, Section of Ferrara (Italy); Mattei, Giovanni, E-mail: giovanni.mattei@unipd.it; Scian, Carlo [Department of Physics and Astronomy Galileo Galilei, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Lanzoni, Luca [Dipertimento di Economia e Tecnologia, Università degli Studi della Repubblica di San Marino, Salita alla Rocca, 44, 47890 San Marino Città (San Marino)

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to produce X-ray beams.

  9. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  10. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  11. Ion Implantation of In0.53Ga0.47As

    Energy Technology Data Exchange (ETDEWEB)

    Almonte, Marlene Isabel [Univ. of California, Berkeley, CA (United States)

    1999-05-01

    Studies of the effects of implanation in In0.53Ga0.47As due to damage by implantation of Ne+ ions and to compensation by implantation of Fe+ ions are reported in this thesis.

  12. Application and further development of ion implantation for very large scale integration. Pt. 2

    International Nuclear Information System (INIS)

    Haberger, K.; Ryssel, H.; Hoffmann, K.

    1982-08-01

    Ion implantation, used as a dopant technology, provides very well-controlled doping but is dependent on the usual masking techniques. For purposes of pattern generation, it would be desirable to utilize the digital controllability of a finely-focused ion beam. In this report, the suitability of a finely-focused ion beam for the purpose of direct writing implantation has been investigated. For this study, an ion accelerator was equipped with a computer-controlled fine-focusing system. Using this system it was possible to implant Van-der-Pauw test structures, resistors, and bipolar transistors, which were then electrically measured. The smallest line width was approx. 1 μm. A disadvantage is represented by the long implantation times resulting with present ion sources. Another VLSI-relevant area of application for this finely-focused ion-beam-writing system is photoresist exposure, as an alternative to electron-beam lithography, making possible the realization of very small structures without proximity effects and with a significantly higher resist sensitivity. (orig.) [de

  13. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  14. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  15. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Chenlong [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Wang, Guangfu, E-mail: 88088@bnu.edu.cn [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Beijing Radiation Center, 100875 Beijing (China); Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China)

    2016-03-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C{sub 1}{sup −} implantation dose was increased to 1 × 10{sup 16} ions/cm{sup 2}, and the effects of C{sub 1}{sup −}, C{sub 2}{sup −} and O{sub 1}{sup −} implantation result in only small differences in the water contact angle at 3 × 10{sup 15} ions/cm{sup 2}. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH{sub 3}, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O){sub x} (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method

  16. Electrical and optical properties of nitrile rubber modified by ion implantation

    Science.gov (United States)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  17. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  18. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  19. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  20. Biological effect of nitrogen ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Shu Shizhen

    1997-10-01

    Dry seed of stevia were implanted by 35∼150 keV nitrogen ions with various doses. The biological effect in M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam energy and dose added, but there was on significant linear regression relationship between ion dose and aberration rate. The results indicated the seedling height reduced with the increasing of dose for ion beam. The biological effect of nitrogen ion beam on M 1 stevia was lower than that of γ-rays. (6 refs., 1 fig., 4 tabs.)

  1. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  2. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  3. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Budzynski, P., E-mail: p.budzynski@pollub.pl

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 10{sup 17} ion/cm{sup 2}, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  4. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Science.gov (United States)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  5. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  6. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  7. Effect of pulse lasting time of ion implantation on the growth of Hericium ernaceus

    International Nuclear Information System (INIS)

    Yan Tao; Li Guan; Zeng Xianxian

    2007-01-01

    Low energy ion implantation technology was used in mutation breeding of Hericium erinaceus. The growth speed of the Hericium erinaceus hypha is as an index to study the influence of the pulse lasting time of N + ion implantation. The result shows that the growth speed of Hericium erinaceus hypha presents 'saddle shape' curve, which was descend first, then rising up and descend again when the pulse time lasts for longer and longer. It is concluded that the pulse time obviously influence N + ion implantation. When the dosage keeps the same. (authors)

  8. Ga+ implantation in a PZT film during focused ion beam micro-machining

    International Nuclear Information System (INIS)

    Wollschlaeger, Nicole; Oesterle, Werner; Haeusler, Ines; Stewart, Mark

    2015-01-01

    The objective of the present work was to study the impact of Focused Ion Beam (FIB) machining parameters on the thickness of the damaged layer within a thin film PZT. Therefore, different Ga + - ion doses and ion energies were applied to a standard PZT film (80/20 lead zirconium titanate) under two beam incidence angles (90 and 1 ). The thicknesses of the corresponding Ga + -implanted layers were then determined by cross-sectional TEM in combination with energy dispersive spectroscopic (EDS) line-scans and correlated with polarisation hysteresis loops. The results show a decrease of Ga + -implanted layer thickness with decreasing inclination angle, whereas ion energy and ion dose could be correlated with gallium concentration in the implanted layers. Under the most unfavorable conditions the depth of the affected zone was 26 nm, it was only 2 nm for the most favorable conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S.; Sood, D.K.; Zmood, R.B. [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1993-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  10. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S; Sood, D K; Zmood, R B [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1994-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  11. Seeding of silicon by copper ion implantation for selective electroless copper plating

    International Nuclear Information System (INIS)

    Bhansali, S.; Sood, D.K.; Zmood, R.B.

    1993-01-01

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm 2 using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm 2 for 'seed' formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by 'scotch tape test'. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs

  12. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  13. Ion implantation planar in targets with semi-cylindrical grooves

    International Nuclear Information System (INIS)

    Filiz, Y.; Demokan, O.

    2002-01-01

    The experimental and numerical investigations suggest that the ion-matrix phase of the sheath evolution plays a crucial role in determining the ion flux to the target surfaces . It can easily be realized that conformal mapping of the target's surface by the sheath is questionable, or even inapplicable in the case of surfaces with fine irregularities or this continuities. The theoretical analysis of such cases is evidently quite complicated. On the other hand, most actual targets fall into this category, and hence, the understanding of the corresponding sheath behavior remains vital for accomplishing uniform implantation. The ion- matrix sheaths have been treated analytically by Conrad for planar, cylindrical and spherical targets successfully. Similar y, Sheridan and Zang et al. have investigated the ion matrix sheath in cylindrical bores, without and with axial electrodes, respectively. All these works assumed targets with infinite areas or length, Zeng et al. and Kwok et al. have started studying implantation into grooves, by carrying out simulations for the inner and outer races of bearings, which are modeled as semi- cylinders of infinite length. Finally, Demokan has presented the first analytic treatment of on matrix sheaths in two- dimensions, by considering targets with rectangular grooves of infinite length, representing a broad range of industrial items. In this work, ion-matrix sheath near infinite length are theoretically analysed. Understanding the sheath formation near such targets is essential for achieving successful ion implantation on the surfaces of a broad range of industrial products, including all types of bearings. The potential profiles both inside and outside the groove are derived and the consequent ion velocity higher plasma densities may improve the uniformity of implantation on the surfaces of such grooves. Furthermore, the sheath edge deformation due to the grooves, the variation of the angle of incidence on the surface of the groove

  14. Mechanism of phase transformations in Mg-based alloys subjected to plasma immersion ion implantation of Ag

    International Nuclear Information System (INIS)

    Kutsenko, Larisa; Fuks, David; Kiv, Arnold; Talianker, Michael; Burlaka, Ljubov; Monteiro, Othon; Brown, Ian

    2006-01-01

    The formation of a new phase of composition Mg 54 Al 28 Ag 18 was observed as a result of plasma immersion ion implantation of Ag into the compound Mg 17 Al 12 . The new structure was characterized using a micro-beam diffraction technique. It was found that the implantation-induced phase transition occurs when the retained dose of the implanted ions is within the range of about 10 15 -10 16 ions/cm 2 . The implanted system has been studied theoretically by means of density-functional electronic structure calculations and a new ab initio approach has been developed to evaluate the specific concentrations of the implanted ions that might cause the phase transition effect in the implanted matrix. The theoretically estimated values of concentrations are in good agreement with experimental observations

  15. Analysis of metal ion release from biomedical implants

    Directory of Open Access Journals (Sweden)

    Ivana Dimić

    2013-06-01

    Full Text Available Metallic biomaterials are commonly used for fixation or replacement of damaged bones in the human body due to their good combination of mechanical properties. The disadvantage of metals as implant materials is their susceptibility to corrosion and metal ion release, which can cause serious health problems. In certain concentrations metals and metal ions are toxic and their presence can cause diverse inflammatory reactions, genetic mutations or even cancer. In this paper, different approaches to metal ion release examination, from biometallic materials sample preparation to research results interpretation, will be presented. An overview of the analytical techniques, used for determination of the type and concentration of released ions from implants in simulated biofluids, is also given in the paper.

  16. Enhanced wear resistance of production tools and steel samples by implantation of nitrogen and carbon ions

    International Nuclear Information System (INIS)

    Mikkelsen, N.J.; Straede, C.A.

    1992-01-01

    In recent years ion implantation has become a feasible technique for obtaining improved wear resistance of production tools. However, basic knowledge of how and in which cases ion implantation is working at its best is still needed. The present paper discusses structural and tribological investigations of carbon and nitrogen implanted steels. The nitrogen data were obtained mainly from field tests and the investigation of carbon implantations took place mainly in the laboratory. A study was made of how the tribological behaviour of implanted steels changes with different implantation parameters. The tribological laboratory investigations were carried out using pin-on-disc equipment under controlled test conditions, and deal with high dose carbon implantation (approximately (1-2)x10 18 ions cm -2 ). The wear resistance of steels was enhanced dramatically, by up to several orders of magnitude. The field test results cover a broad range of ion implanted production tools, which showed a marked improvement in wear resistance. Nitrogen implanted tools are also compared with carbon and titanium implanted tools. (orig.)

  17. Characterization of ion-implanted aluminum and iron by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Brodkin, J.S.; Franzen, W.; Culbertson, R.J.

    1990-01-01

    The change in the optical constants of aluminum alloy and iron samples caused by implantation with nitrogen and chromium ions has been investigated by spectroscopic ellipsometry. The objective is to develop a method for simple, non-destructive characterization of ion-implanted metals. 5 refs., 6 figs

  18. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Long Zhong; Liu Kezhao; Bai Bin; Yan Dongxu

    2010-01-01

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  19. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Long Zhong, E-mail: long2001@163.co [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China); Liu Kezhao; Bai Bin; Yan Dongxu [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China)

    2010-02-18

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  20. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs

  1. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    Science.gov (United States)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  2. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    International Nuclear Information System (INIS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-01-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 10 17 ions/cm 2 . The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, Cr x C y phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties

  3. The air oxidation behavior of lanthanum ion implanted zirconium at 500 deg. C

    CERN Document Server

    Peng, D Q; Chen, X W; Zhou, Q G

    2003-01-01

    The beneficial effect of lanthanum ion implantation on the oxidation behavior of zirconium at 500 deg. C has been studied. Zirconium specimens were implanted by lanthanum ions using a MEVVA source at energy of 40 keV with a fluence range from 1x10 sup 1 sup 6 to 1x10 sup 1 sup 7 ions/cm sup 2 at maximum temperature of 130 deg. C, The weight gain curves were measured after being oxidized in air at 500 deg. C for 100 min, which showed that a significant improvement was achieved in the oxidation behavior of zirconium ion implanted with lanthanum compared with that of the as-received zirconium. The valence of the oxides in the scale was analyzed by X-ray photoemission spectroscopy; and then the depth distributions of the elements in the surface of the samples were obtained by Auger electron spectroscopy. Glancing angle X-ray diffraction at 0.3 deg. incident angles was employed to examine the modification of its phase transformation because of the lanthanum ion implantation in the oxide films. It was obviously fou...

  4. In situ ion irradiation/implantation studies in the HVEM-Tandem Facility at Argonne National Laboratory

    International Nuclear Information System (INIS)

    Allen, C.W.; Funk, L.L.; Ryan, E.A.; Taylor, A.

    1988-09-01

    The HVEM-Tandem User Facility at Argonne National Laboratory interfaces two ion accelerators, a 2 MV tandem accelerator and a 650 kV ion implanter, to a 1.2 MV high voltage electron microscope. This combination allows experiments involving simultaneous ion irradiation/ion implantation, electron irradiation and electron microscopy/electron diffraction to be performed. In addition the availability of a variety of microscope sample holders permits these as well as other types of in situ experiments to be performed at temperatures ranging from 10-1300 K, with the sample in a stressed state or with simultaneous determination of electrical resistivity of the specimen. This paper summarizes the details of the Facility which are relevant to simultaneous ion beam material modification and electron microscopy, presents several current applications and briefly describes the straightforward mechanism for potential users to access this US Department of Energy supported facility. 7 refs., 1 fig., 1 tab

  5. A 2 MV heavy ion Van de Graaff implanter for research and development

    International Nuclear Information System (INIS)

    Hemment, P.L.F.; Sealy, B.J.; Stephens, K.G.; Mynard, J.E.; Jeynes, C.; Browton, M.D.; Wilson, R.J.; Ma, M.X.; Cansell, A.; Mous, D.J.W.; Koudijs, R.

    1993-01-01

    A high energy heavy ion implantation system is described which is based upon a 2 MV High Voltage Engineering Europa Van de Graaff accelerator, which incorporates an ion source rapid exchange mechanism. The design and performance are described with particular reference to the system mass resolution, beam transport and performance of a sputter ion source. The system is used to provide a wide ranging implantation service and also supports material science studies, some of which are described briefly. (orig.)

  6. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Science.gov (United States)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  7. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  8. Metal ion concentrations in body fluids after implantation of hip replacements with metal-on-metal bearing--systematic review of clinical and epidemiological studies.

    Directory of Open Access Journals (Sweden)

    Albrecht Hartmann

    Full Text Available INTRODUCTION: The use of metal-on-metal (MoM total hip arthroplasty (THA increased in the last decades. A release of metal products (i.e. particles, ions, metallo-organic compounds in these implants may cause local and/or systemic adverse reactions. Metal ion concentrations in body fluids are surrogate measures of metal exposure. OBJECTIVE: To systematically summarize and critically appraise published studies concerning metal ion concentrations after MoM THA. METHODS: Systematic review of clinical trials (RCTs and epidemiological studies with assessment of metal ion levels (cobalt, chromium, titanium, nickel, molybdenum in body fluids after implantation of metalliferous hip replacements. Systematic search in PubMed and Embase in January 2012 supplemented by hand search. Standardized abstraction of pre- and postoperative metal ion concentrations stratified by type of bearing (primary explanatory factor, patient characteristics as well as study quality characteristics (secondary explanatory factors. RESULTS: Overall, 104 studies (11 RCTs, 93 epidemiological studies totaling 9.957 patients with measurement of metal ions in body fluids were identified and analyzed. Consistently, median metal ion concentrations were persistently elevated after implantation of MoM-bearings in all investigated mediums (whole blood, serum, plasma, erythrocytes, urine irrespective of patient characteristics and study characteristics. In several studies very high serum cobalt concentrations above 50 µg/L were measured (detection limit typically 0.3 µg/L. Highest metal ion concentrations were observed after treatment with stemmed large-head MoM-implants and hip resurfacing arthroplasty. DISCUSSION: Due to the risk of local and systemic accumulation of metallic products after treatment with MoM-bearing, risk and benefits should be carefully balanced preoperatively. The authors support a proposed "time out" for stemmed large-head MoM-THA and recommend a restricted

  9. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    International Nuclear Information System (INIS)

    Nikolaev, A.G.; Yushkov, G.Yu.; Oks, E.M.; Oztarhan, A.; Akpek, A.; Hames-Kocabas, E.; Urkac, E.S.; Brown, I.G.

    2014-01-01

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material

  10. Modification of anti-bacterial surface properties of textile polymers by vacuum arc ion source implantation

    Energy Technology Data Exchange (ETDEWEB)

    Nikolaev, A.G., E-mail: nik@opee.hcei.tsc.ru [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Yushkov, G.Yu.; Oks, E.M. [High Current Electronics Institute, Siberian Branch of the Russian Academy of Sciences, Tomsk 634055 (Russian Federation); Oztarhan, A. [Izmir University, Izmir 35140 (Turkey); Akpek, A.; Hames-Kocabas, E.; Urkac, E.S. [Bioengineering Department, Ege University, Bornova 35100, Izmir (Turkey); Brown, I.G. [Lawrence Berkeley National Laboratory, Berkeley, CA 94708 (United States)

    2014-08-15

    Highlights: • Ion implantation. • Anti-bacterial properties. • Textile polymer. • Vacuum arc ion source. - Abstract: Ion implantation provides an important technology for the modification of material surface properties. The vacuum arc ion source is a unique instrument for the generation of intense beams of metal ions as well as gaseous ions, including mixed metal–gas beams with controllable metal:gas ion ratio. Here we describe our exploratory work on the application of vacuum arc ion source-generated ion beams for ion implantation into polymer textile materials for modification of their biological cell compatibility surface properties. We have investigated two specific aspects of cell compatibility: (i) enhancement of the antibacterial characteristics (we chose to use Staphylococcus aureus bacteria) of ion implanted polymer textile fabric, and (ii) the “inverse” concern of enhancement of neural cell growth rate (we chose Rat B-35 neuroblastoma cells) on ion implanted polymer textile. The results of both investigations were positive, with implantation-generated antibacterial efficiency factor up to about 90%, fully comparable to alternative conventional (non-implantation) approaches and with some potentially important advantages over the conventional approach; and with enhancement of neural cell growth rate of up to a factor of 3.5 when grown on suitably implanted polymer textile material.

  11. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  12. Electrical and optical properties of nitrile rubber modified by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    S, Najidha [Department of Physics, B.J.M Govt: college, Chavara, Kollam, Kerala (India); Predeep, P. [Laboratory for molecular Photonics and Electronics, Department of Physics, National Institute of Technology, Calicut (India)

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  13. Synthesis of dilute magnetic semiconductors by ion implantation

    International Nuclear Information System (INIS)

    Braunstein, G.H.; Dresselhaus, G.; Withrow, S.P.

    1986-01-01

    We have synthesized layers of CdMnTe by implantation of Mn into CdTe. Samples of CdTe have been implanted with Mn ions of 60 keV energy to fluences in the range 1 x 10 13 cm -2 to 2 x 10 16 cm -2 resulting in local concentrations of up to 10% at the maximum of the Mn distribution. Rutherford backscattering-channeling analysis has been used to study the radiation damage after implantation and after subsequent rapid thermal annealing (RTA). These experiments reveal that RTA for 15 sec at a temperature T greater than or equal to 700 0 C results in the complete recovery of the lattice order, without affecting the stoichiometry of CdTe. Photoluminescence (PL) measurements of a sample showing complete annealing reveal an increase in the band gap corresponding to the synthesis of very dilute (x approx. = 0.004) Cd/sub 1-x/Mn/sub x/Te. A shift of the excitonic PL peak to lower energies is observed when a magnetic field H less than or equal to 1T is applied. These measurements provide clear evidence for the synthesis of a DMS by ion implantation of Mn into CdTe

  14. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  15. Trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions

    International Nuclear Information System (INIS)

    Kobayashi, Makoto; Suzuki, Sachiko; Wang, Wanjing; Kurata, Rie; Kida, Katsuya; Oya, Yasuhisa; Okuno, Kenji; Ashikawa, Naoko; Sagara, Akio; Yoshida, Naoaki

    2009-01-01

    The trapping behaviour of deuterium ions implanted into tungsten simultaneously with carbon ions was investigated by thermal desorption spectroscopy (TDS) and x-ray photoelectron spectroscopy (XPS). The D 2 TDS spectrum consisted of three desorption stages, namely desorption of deuterium trapped by intrinsic defects, ion-induced defects and carbon with the formation of the C-D bond. Although the deuterium retention trapped by intrinsic defects was almost constant, that by ion-induced defects increased as the ion fluence increased. The retention of deuterium with the formation of the C-D bond was saturated at an ion fluence of 0.5x10 22 D + m -2 , where the major process was changed from the sputtering of tungsten with the formation of a W-C mixture to the formation of a C-C layer, and deuterium retention as the C-D bond decreased. It was concluded that the C-C layer would enhance the chemical sputtering of carbon with deuterium with the formation of CD x and the chemical state of carbon would control the deuterium retention in tungsten under C + -D 2 + implantation.

  16. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  17. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Energy Technology Data Exchange (ETDEWEB)

    Rizwan, M.; Ahmad, A. [Department of Metallurgical and Materials Engineering, University of Engineering and Technology, 54890 Lahore (Pakistan); Deen, K.M. [Corrosion Control Research Cell, Department of Metallurgy and Materials Engineering, CEET, University of the Punjab, 54590 Lahore (Pakistan); Haider, W., E-mail: haiderw@utpa.edu [Mechanical Engineering Department, University of Texas Pan American, Edinburg, TX 78539 (United States)

    2014-11-30

    Highlights: • Nitrogen ions of known dosage were implanted on cp-Ti. • Increase in surface roughness with increase in ions dose was confirmed by AFM. • TiN{sub 0.3} and Ti{sub 3}N{sub 2−x} nitride phases were formed and validated by XRD. • The ions implantation reduced the corrosion rate and stabilized the passive film. • Surface roughness greatly affected the morphology and growth of Mesenchymal Stem Cells. - Abstract: Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN{sub 0.3} and Ti{sub 3}N{sub 2-x}nitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  18. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  19. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  20. Modification of electrical properties of polymer membranes by ion implantation (II)

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Slezak, A.; Wasik, S.

    2001-01-01

    In the present work we report on the results of an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted under vacuum at room temperature with a variety of ions (C 4+ , O 6+ , S 7+ ) at energy of 10 keV/q up to the dose of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and changes in chemical structure of ion implanted membranes were studied by the conductivity and discharge currents measurements, FTIR spectra and differential thermal analysis. The electrical conductivity of the PET membranes is determined by the charge transport caused by free space charge and by thermal releasing of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability of charge accumulation

  1. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  2. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  3. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  4. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  5. The alteration of oxidation and related properties of metals by ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    A review is given of the various ways in which ion implanted additives can affect the thermal oxidation of metals, for example by blocking diffusion paths for ions, by catalytic effects or by altering the plasticity of the oxide. The versatility of the process has already proved useful in the study of oxidation mechanisms. Ways of achieving a long-lasting protection are discussed in relation to the dominant mechanisms of oxidation inhibition. In many practical applications at elevated temperatures oxidation and mechanical stresses co-exist. In steels the process of oxidative wear is important under conditions of poor lubrication and implanted metallic ions have been shown to be effective. In titanium alloys fatigue cracks probably initiate at dislocation sites at which oxidation proceeds most rapidly, and hence the same implanted species can improve both types of behaviour. Successful implantation treatments for fretting fatigue in titanium and a corrosion-erosion problem in steel are described. (orig.)

  6. Ion Implantation Hampers Pollen Tube Growth and Disrupts Actin Cytoskeleton Organization in Pollen Tubes of Pinus thunbergii

    International Nuclear Information System (INIS)

    Li Guoping; Yang Lusheng; Huang Qunce; Qin Guangyong

    2008-01-01

    Pollen grains of Pinus thunbergii Parl. (Japanese black pine) were implanted with 30 keV nitrogen ion beams and the effects of nitrogen ion implantation on pollen tube growth in vitro and the organization of actin cytoskeleton in the pollen tube cell were investigated using a confocal laser scanning microscope after fluorescence labeling. Treatment with ion implantation significantly blocked pollen tube growth. Confocal microscopy showed that ion implantation disrupted actin filament cytoskeleton organization in the pollen tube. It was found that there was a distinct correlation between the inhibition of pollen tube growth and the disruption of actin cytoskeleton organization, indicating that an intact actin cytoskeleton is essential for continuous pollen tube elongation in Pinus thunbergii. Although the detailed mechanism for the ion-implantation-induced bioeffect still remains to be elucidated, the present study assumes that the cytoskeleton system in pollen grains may provide a key target in response to ion beam implantation and is involved in mediating certain subsequent cytological changes.

  7. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  8. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  9. Selection of RIB targets using ion implantation at the Holifield radioactive ion beam facility

    International Nuclear Information System (INIS)

    Alton, G.D.; Dellwo, J.

    1995-01-01

    Among several major challenges posed by generating and accelerating adequate intensities of RIBs, selection of the most appropriate target material is perhaps the most difficult because of the requisite fast and selective thermal release of minute amounts of the short-lived product atoms from the ISOL target in the presence of bulk amounts of target material. Experimental studies are under way at the Oak Ridge National Laboratory (ORNL) which are designed to measure the time evolution of implanted elements diffused from refractory target materials which are candidates for forming radioactive ion beams (RIBs) at the Holifield Radioactive Ion Beam Facility (HRIBF). The diffusion coefficients are derived by comparing experimental data with numerical solutions to a one-dimensional form of Fick's second law for ion implanted distributions. In this report, we describe the experimental arrangement, experimental procedures, and provide time release data and diffusion coefficients for releasing ion implanted 37 Cl from Zr 5 Si 3 and 75 As, 79 Br, and 78 Se from Zr 5 Ge 3 and estimates of the diffusion coefficients for 35 Cl, 63 Cu, 65 Cu, 69 Ga and 71 Ga diffused from BN; 35 Cl, 63 Cu, 65 Cu, 69 Ga, 75 As, and 78 Se diffused from C; 35 Cl, 68 Cu, 69 Ga, 75 As, and 78 Se diffused from Ta

  10. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    Science.gov (United States)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-11-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants.

  11. Non-Contact Measurement of Thermal Diffusivity in Ion-Implanted Nuclear Materials

    International Nuclear Information System (INIS)

    Hofmann, F.; Mason, D. R.; Eliason, J. K.; Maznev, A. A.; Nelson, K. A.; Dudarev, S. L.

    2015-01-01

    Knowledge of mechanical and physical property evolution due to irradiation damage is essential for the development of future fission and fusion reactors. Ion-irradiation provides an excellent proxy for studying irradiation damage, allowing high damage doses without sample activation. Limited ion-penetration-depth means that only few-micron-thick damaged layers are produced. Substantial effort has been devoted to probing the mechanical properties of these thin implanted layers. Yet, whilst key to reactor design, their thermal transport properties remain largely unexplored due to a lack of suitable measurement techniques. Here we demonstrate non-contact thermal diffusivity measurements in ion-implanted tungsten for nuclear fusion armour. Alloying with transmutation elements and the interaction of retained gas with implantation-induced defects both lead to dramatic reductions in thermal diffusivity. These changes are well captured by our modelling approaches. Our observations have important implications for the design of future fusion power plants

  12. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L.J.; Sood, D.K.; Manory, R.R. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  13. Surface modification of commercial tin coatings by carbon ion implantation

    International Nuclear Information System (INIS)

    Liu, L.J.; Sood, D.K.; Manory, R.R.

    1993-01-01

    Commercial TiN coatings of about 2 μm thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10 17 - 8x10 17 ions cm -2 . Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs

  14. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L J; Sood, D K; Manory, R R [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  15. Titanium Nitride and Nitrogen Ion Implanted Coated Dental Materials

    Directory of Open Access Journals (Sweden)

    David W. Berzins

    2012-07-01

    Full Text Available Titanium nitride and/or nitrogen ion implanted coated dental materials have been investigated since the mid-1980s and considered in various applications in dentistry such as implants, abutments, orthodontic wires, endodontic files, periodontal/oral hygiene instruments, and casting alloys for fixed restorations. Multiple methodologies have been employed to create the coatings, but detailed structural analysis of the coatings is generally lacking in the dental literature. Depending on application, the purpose of the coating is to provide increased surface hardness, abrasion/wear resistance, esthetics, and corrosion resistance, lower friction, as well as greater beneficial interaction with adjacent biological and material substrates. While many studies have reported on the achievement of these properties, a consensus is not always clear. Additionally, few studies have been conducted to assess the efficacy of the coatings in a clinical setting. Overall, titanium nitride and/or nitrogen ion implanted coated dental materials potentially offer advantages over uncoated counterparts, but more investigation is needed to document the structure of the coatings and their clinical effectiveness.

  16. Forming controlled inset regions by ion implantation and laser bombardment

    International Nuclear Information System (INIS)

    Gibbons, J.F.

    1981-01-01

    A semiconductor integrated circuit structure in which the inset regions are ion implanted and laser annealed to maintain substantially the dimensions of the implantation and the method of forming inset implanted regions having controlled dimensions

  17. Modelling of ion implantation in SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chakarov, Ivan [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)]. E-mail: ivan.chakarov@silvaco.com; Temkin, Misha [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)

    2006-01-15

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator.

  18. Modelling of ion implantation in SiC crystals

    International Nuclear Information System (INIS)

    Chakarov, Ivan; Temkin, Misha

    2006-01-01

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator

  19. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  20. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  1. Change of chemical bond and wettability of polylacticacid implanted with high-flux carbon ion

    International Nuclear Information System (INIS)

    Zhang Jizhong; Kang Jiachen; Zhang Xiaoji; Zhou Hongyu

    2008-01-01

    Polylacticacid (PLA) was submitted to high-flux carbon ion implantation with energy of 40 keV. It was investigated to the effect of ion fluence (1 x 10 12 -1 x 10 15 ions/cm 2 ) on the properties of the polymer. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), wettability, and roughness were employed to study change of structure and properties of the as-implanted PLA samples. Six carbon bonds, that is, C, C-H, C-O-C, C-O, O-C-O, and >C=O, were observed on surfaces of the as-implanted PLA samples. The intensities of various chemical bonds changed with increasing ion fluence. AFM images displayed that there was irradiation damage and that it was related closely with ion fluence. At fluence as high as 1 x 10 15 ions/cm 2 surface-restructuring phenomenum took place on the surface of the PLA. Wettability was also affected by the variation on the fluence. With increasing ion fluence, the water contact angle of the as-implanted PLA samples changed gradually reaching a maximum of 76.5 deg. with 1 x 10 13 ions/cm 2 . The experimental results revealed that carbon ion fluence strongly affected surface chemical bond, morphology, wettability, and roughness of the PLA samples

  2. Algorithm for statistical noise reduction in three-dimensional ion implant simulations

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Arias, J.; Jaraiz, M.; Bailon, L.; Barbolla, J.

    2001-01-01

    As integrated circuit devices scale into the deep sub-micron regime, ion implantation will continue to be the primary means of introducing dopant atoms into silicon. Different types of impurity profiles such as ultra-shallow profiles and retrograde profiles are necessary for deep submicron devices in order to realize the desired device performance. A new algorithm to reduce the statistical noise in three-dimensional ion implant simulations both in the lateral and shallow/deep regions of the profile is presented. The computational effort in BCA Monte Carlo ion implant simulation is also reduced

  3. Statistical 3D damage accumulation model for ion implant simulators

    CERN Document Server

    Hernandez-Mangas, J M; Enriquez, L E; Bailon, L; Barbolla, J; Jaraiz, M

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided.

  4. Statistical 3D damage accumulation model for ion implant simulators

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Lazaro, J.; Enriquez, L.; Bailon, L.; Barbolla, J.; Jaraiz, M.

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided

  5. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  6. Dopant profile engineering of advanced Si MOSFET's using ion implantation

    International Nuclear Information System (INIS)

    Stolk, P.A.; Ponomarev, Y.V.; Schmitz, J.; Brandenburg, A.C.M.C. van; Roes, R.; Montree, A.H.; Woerlee, P.H.

    1999-01-01

    Ion implantation has been used to realize non-uniform, steep retrograde (SR) dopant profiles in the active channel region of advanced Si MOSFET's. After defining the transistor configuration, SR profiles were formed by dopant implantation through the polycrystalline Si gate and the gate oxide (through-the-gate, TG, implantation). The steep nature of the as-implanted profile was retained by applying rapid thermal annealing for dopant activation and implantation damage removal. For NMOS transistors, TG implantation of B yields improved transistor performance through increased carrier mobility, reduced junction capacitances, and reduced susceptibility to short-channel effects. Electrical measurements show that the gate oxide quality is not deteriorated by the ion-induced damage, demonstrating that transistor reliability is preserved. For PMOS transistors, TG implantation of P or As leads to unacceptable source/drain junction broadening as a result of transient enhanced dopant diffusion during thermal activation

  7. Plasma immersion ion implantation of Pebax polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kondyurin, A. [Applied and Plasma Physics, School of Physics (A28), University of Sydney, Sydney, NSW 2006 (Australia)]. E-mail: kond@mailcity.com; Volodin, P. [Leibniz Institute of Polymer Research Dresden e.v., Hohe Str.6, Dresden 01069 (Germany); Weber, J. [Boston Scientific Corporation, One Scimed Place, Maple Grove, MN 55311-1566 (United States)

    2006-10-15

    Nitrogen plasma immersion ion implantation (PIII) was applied to Pebax thin films and plates using doses ranging from 5 x 10{sup 14} to 10{sup 17} ions/cm{sup 2} at applied voltages of 5, 10, 20 and 30 kV. The analysis of the Pebax structure after implantation was performed using FTIR ATR, Raman, UV-vis transmission spectra, tensile and AFM contact mode data. The carbonization and depolymerisation processes were observed in the surface layer of Pebax. It was found, that graphitic- and diamond-like structures in Pebax are formed at PIII treatment of 30 kV applied voltage. AFM measurement data showed that the hardness of the Pebax surface layer increased sharply at PIII treatment with a dose higher then 10{sup 16} ions/cm{sup 2}. The bulk mechanical properties of the Pebax film after PIII remained unchanged.

  8. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  9. Critical microstructure for ion-implantation gettering effects in silicon

    International Nuclear Information System (INIS)

    Geipel, H.J.; Tice, W.K.

    1977-01-01

    The nature of residual ion-implantation damage responsible for gettering deleterious impurities from active semiconductor device regions in Si has been studied. A propensity for dislocations of the type b=1/2 to gather metallic contaminant (e.g., Cu), as compared to Frank partials b=1/3 , is established. Transmission electron microscopy and pulsed leakage measurements are used to demonstrate that the density of 1/2 dislocations introduced by Xe implantation greatly influences gettering efficiency

  10. Annealing behavior and selected applications of ion-implanted alloys

    International Nuclear Information System (INIS)

    Myers, S.M.

    Thermally activated processes cause ion-implanted metals to evolve from the initial state toward thermodynamic equilibrium. The degree of equilibration is strongly dependent upon temperature and is considered for three temperature regimes which are distinguished by the varying mobilities of interstitial and substitutional atoms. In addition, perturbations resulting from the irradiation environment are discussed. Examples are given of the use of implanted and annealed alloys in studies of diffusion, phase diagrams, and solute trapping

  11. Simulation of ion implantation for ULSI technology

    International Nuclear Information System (INIS)

    Hoessinger, A.

    2000-07-01

    In modern semiconductor technology ion implantation has turned out to be the most important technique to introduce dopant atoms into semiconducting materials. The major advantage of the ion implantation technique is the high controllability and reproducibility of the process parameters influencing the doping distributions. Furthermore, very shallow doping profiles can be formed, which are a prerequisite for ULSI (ultra large scale integration) technology. Since it is mainly ion implantation which determines the distribution of the dopants and thereby the electrical properties of the semiconductor devices highly accurate simulation methods for ion implantation processes are required to be able to predict and optimize the behavior of integrated circuits. In recent years successively shrinking device dimensions and new design concepts have shown the necessity of a full three-dimensional treatment of simulation problems, e.g. the simulation of MOS transistors with narrow gates, or vertical transistors. Three-dimensional simulations obviously require large computation times and a lot of memory. Therefore, it is a waste of computational resources if a three-dimensional simulation would be applied to all applications. Several problems, like the buried layer or the well formation of an MOS transistor can be analyzed as accurate by simpler two-dimensional or even one-dimensional simulations. Since it should be easy to switch the dimension of the simulation without recalibrating a simulator, it is not desirable to use different simulators, which eventually use different models, for the simulation of one-dimensional, two-dimensional and three-dimensional problems. The goal of this work was to further improve a Monte-Carlo ion implantation simulator developed over the last fifteen years within the scope of several PhD theses. As part of this work several new models and methods have been developed and implemented to improve the accuracy and the efficiency of the simulator, in

  12. Mechanical and tribological properties of AISI 304 stainless steel nitrided by glow discharge compared to ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Foerster, C.E.; Serbena, F.C.; Silva, S.L.R. da; Lepienski, C.M.; Siqueira, C.J. de M.; Ueda, M.

    2007-01-01

    Results about mechanical and tribological behavior of AISI 304 stainless steel nitrided by three different ion beam processes - glow discharge (GD), ion implantation (II) and plasma immersion ion implantation (PI3) are reported. Expanded austenite γ N and nitrides phases (Fe 2+x N, γ'-Fe 4 N and Cr-N) were identified as a function of nitriding conditions. Hardness (H) and elastic modulus (E) profiles were obtained by instrumented penetration. The hardness reached values as high as 21 GPa by PI3. Tribological behavior was studied by reciprocating sliding tests with a WC (Co) ball at room temperature (RT) in dry condition. Different wear regimes were identified in the friction coefficient profiles. The profile form and the running-in distance are strongly dependent on the nitriding process. Adhesive and abrasive wear components can be inferred from these friction profiles. Hardness and tribological performance, after the nitriding processes, are discussed in terms of surface microstructure

  13. Studies on biological effects of nitrogen ion implantation in different genotype rice

    International Nuclear Information System (INIS)

    Xie Jiahua; Xia Yingwu; Shu Qingyao

    1994-01-01

    The biological effects of nitrogen ion implantation on different genotype rice (Oryza sativa L) were studied. The results showed that there were obvious differences in physiological damages for the M 1 generation, mutation frequencies and mutagenic efficiencies of chlorophyll, heading date and plant height for M 2 generation of different genotypes. Treated by nitrogen ions, the varieties with high mutation frequency and mutagenic efficiency of chlorophyll in the M 2 generation were not necessarily high in those of heading date and plant height. Moreover, the radiation sensitivity of Fu8530 and Fuxian No.6 which were bred by using early maturing and semidwarf mutants as maternal plant was low. The early maturing and high stature mutation were not induced with these two varieties

  14. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  15. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  16. Systemic levels of metallic ions released from orthodontic mini-implants.

    Science.gov (United States)

    de Morais, Liliane Siqueira; Serra, Glaucio Guimarães; Albuquerque Palermo, Elisabete Fernandes; Andrade, Leonardo Rodrigues; Müller, Carlos Alberto; Meyers, Marc André; Elias, Carlos Nelson

    2009-04-01

    Orthodontic mini-implants are a potential source of metallic ions to the human body because of the corrosion of titanium (Ti) alloy in body fluids. The purpose of this study was to gauge the concentration of Ti, aluminum (Al), and vanadium (V), as a function of time, in the kidneys, livers, and lungs of rabbits that had Ti-6Al-4V alloy orthodontic mini-implants placed in their tibia. Twenty-three New Zealand rabbits were randomly divided into 4 groups: control, 1 week, 4 weeks, and 12 weeks. Four orthodontic mini-implants were placed in the left proximal tibia of 18 rabbits. Five control rabbits had no orthodontic mini-implants. After 1, 4, and 12 weeks, the rabbits were killed, and the selected tissues were extracted and prepared for analysis by graphite furnace atomic absorption spectrophotometry. Low amounts of Ti, Al, and V were detectable in the 1-week, 4-weeks, and 12-weeks groups, confirming that release of these metals from the mini-implants occurs, with diffusion and accumulation in remote organs. Despite the tendency of ion release when using the Ti alloy as orthodontic mini-implants, the amounts of metals detected were significantly below the average intake of these elements through food and drink and did not reach toxic concentrations.

  17. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  18. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  19. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    Science.gov (United States)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  20. Ga{sup +} implantation in a PZT film during focused ion beam micro-machining

    Energy Technology Data Exchange (ETDEWEB)

    Wollschlaeger, Nicole; Oesterle, Werner; Haeusler, Ines [Federal Institute for Materials Research and Testing, Unter den Eichen 87, 12205 Berlin (Germany); Stewart, Mark [National Physical Laboratory, Hampton Road, Teddington Middlesex TW 11 0LW (United Kingdom)

    2015-03-01

    The objective of the present work was to study the impact of Focused Ion Beam (FIB) machining parameters on the thickness of the damaged layer within a thin film PZT. Therefore, different Ga{sup +}- ion doses and ion energies were applied to a standard PZT film (80/20 lead zirconium titanate) under two beam incidence angles (90 and 1 ). The thicknesses of the corresponding Ga{sup +}-implanted layers were then determined by cross-sectional TEM in combination with energy dispersive spectroscopic (EDS) line-scans and correlated with polarisation hysteresis loops. The results show a decrease of Ga{sup +}-implanted layer thickness with decreasing inclination angle, whereas ion energy and ion dose could be correlated with gallium concentration in the implanted layers. Under the most unfavorable conditions the depth of the affected zone was 26 nm, it was only 2 nm for the most favorable conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Production of Endohedral Fullerenes by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Diener, M.D.; Alford, J. M.; Mirzadeh, S.

    2007-05-31

    The empty interior cavity of fullerenes has long been touted for containment of radionuclides during in vivo transport, during radioimmunotherapy (RIT) and radioimaging for example. As the chemistry required to open a hole in fullerene is complex and exceedingly unlikely to occur in vivo, and conformational stability of the fullerene cage is absolute, atoms trapped within fullerenes can only be released during extremely energetic events. Encapsulating radionuclides in fullerenes could therefore potentially eliminate undesired toxicity resulting from leakage and catabolism of radionuclides administered with other techniques. At the start of this project however, methods for production of transition metal and p-electron metal endohedral fullerenes were completely unknown, and only one method for production of endohedral radiofullerenes was known. They therefore investigated three different methods for the production of therapeutically useful endohedral metallofullerenes: (1) implantation of ions using the high intensity ion beam at the Oak Ridge National Laboratory (ORNL) Surface Modification and Characterization Research Center (SMAC) and fullerenes as the target; (2) implantation of ions using the recoil energy following alpha decay; and (3) implantation of ions using the recoil energy following neutron capture, using ORNL's High Flux Isotope Reactor (HFIR) as a thermal neutron source. While they were unable to obtain evidence of successful implantation using the ion beam at SMAC, recoil following alpha decay and neutron capture were both found to be economically viable methods for the production of therapeutically useful radiofullerenes. In this report, the procedures for preparing fullerenes containing the isotopes {sup 212}Pb, {sup 212}Bi, {sup 213}Bi, and {sup 177}Lu are described. None of these endohedral fullerenes had ever previously been prepared, and all of these radioisotopes are actively under investigation for RIT. Additionally, the chemistry for

  2. Metal Ion Concentrations in Body Fluids after Implantation of Hip Replacements with Metal-on-Metal Bearing – Systematic Review of Clinical and Epidemiological Studies

    Science.gov (United States)

    Hartmann, Albrecht; Hannemann, Franziska; Lützner, Jörg; Seidler, Andreas; Drexler, Hans; Günther, Klaus-Peter; Schmitt, Jochen

    2013-01-01

    Introduction The use of metal-on-metal (MoM) total hip arthroplasty (THA) increased in the last decades. A release of metal products (i.e. particles, ions, metallo-organic compounds) in these implants may cause local and/or systemic adverse reactions. Metal ion concentrations in body fluids are surrogate measures of metal exposure. Objective To systematically summarize and critically appraise published studies concerning metal ion concentrations after MoM THA. Methods Systematic review of clinical trials (RCTs) and epidemiological studies with assessment of metal ion levels (cobalt, chromium, titanium, nickel, molybdenum) in body fluids after implantation of metalliferous hip replacements. Systematic search in PubMed and Embase in January 2012 supplemented by hand search. Standardized abstraction of pre- and postoperative metal ion concentrations stratified by type of bearing (primary explanatory factor), patient characteristics as well as study quality characteristics (secondary explanatory factors). Results Overall, 104 studies (11 RCTs, 93 epidemiological studies) totaling 9.957 patients with measurement of metal ions in body fluids were identified and analyzed. Consistently, median metal ion concentrations were persistently elevated after implantation of MoM-bearings in all investigated mediums (whole blood, serum, plasma, erythrocytes, urine) irrespective of patient characteristics and study characteristics. In several studies very high serum cobalt concentrations above 50 µg/L were measured (detection limit typically 0.3 µg/L). Highest metal ion concentrations were observed after treatment with stemmed large-head MoM-implants and hip resurfacing arthroplasty. Discussion Due to the risk of local and systemic accumulation of metallic products after treatment with MoM-bearing, risk and benefits should be carefully balanced preoperatively. The authors support a proposed „time out“ for stemmed large-head MoM-THA and recommend a restricted indication for hip

  3. Thin hydroxyapatite surface layers on titanium produced by ion implantation

    CERN Document Server

    Baumann, H; Bilger, G; Jones, D; Symietz, I

    2002-01-01

    In medicine metallic implants are widely used as hip replacement protheses or artificial teeth. The biocompatibility is in all cases the most important requirement. Hydroxyapatite (HAp) is frequently used as coating on metallic implants because of its high acceptance by the human body. In this paper a process is described by which a HAp surface layer is produced by ion implantation with a continuous transition to the bulk material. Calcium and phosphorus ions are successively implanted into titanium under different vacuum conditions by backfilling oxygen into the implantation chamber. Afterwards the implanted samples are thermally treated. The elemental composition inside the implanted region was determined by nuclear analysis methods as (alpha,alpha) backscattering and the resonant nuclear reaction sup 1 H( sup 1 sup 5 N,alpha gamma) sup 1 sup 2 C. The results of X-ray photoelectron spectroscopy indicate the formation of HAp. In addition a first biocompatibility test was performed to compare the growing of m...

  4. A Mutant of Bacillus Subtilis with High-Producing Surfactin by Ion Beam Implantation

    International Nuclear Information System (INIS)

    Liu Qingmei; Yuan Hang; Wang Jun; Gong Guohong; Zhou Wei; Fan Yonghong; Wang Li; Yao Jianming; Yu Zengliang

    2006-01-01

    In order to generate a mutant of Bacillus subtilis with enhanced surface activity through low energy nitrogen ion beam implantation, the effects of energy and dose of ions implanted were studied. The morphological changes in the bacteria were observed by scanning electron microscope (SEM). The optimum condition of ions implantation, 20 keV of energy and 2.6x10 15 N + /cm 2 in dose, was determined. A mutant, B.s-E-8 was obtained, whose surface activity of 50-fold and 100-fold diluted cell-free Landy medium was as 5.6-fold and 17.4-fold as the wild strain. The microbial growth and biosurfactant production of both the mutant and the wild strain were compared. After purified by ultrafiltration and SOURCE 15PHE, the biosurfactant was determined to be a complex of surfactin family through analysis of electrospray ionization mass spectrum (ESI/MS) and there was an interesting finding that after the ion beam implantation the intensities of the components were different from the wild type strain

  5. Defect-impurity interactions in ion-implanted metals

    International Nuclear Information System (INIS)

    Turos, A.

    1986-01-01

    An overview of defect-impurity interactions in metals is presented. When point defects become mobile they migrate towards the sinks and on the way can be captured by impurity atoms forming stable associations so-called complexes. In some metallic systems complexes can also be formed athermally during ion implantation by trapping point defects already in the collision cascade. An association of a point defect with an impurity atom leads to its displacement from the lattice site. The structure and stability of complexes are strongly temperature dependent. With increasing temperature they dissociate or grow by multiple defect trapping. The appearance of freely migrating point defects at elevated temperatures, due to ion bombardment or thermal annealing, causes via coupling with defect fluxes, important impurity redistribution. Because of the sensitivity of many metal-in-metal implanted systems to radiation damage the understanding of this processes is essential for a proper interpretation of the lattice occupancy measurements and the optimization of implantation conditions. (author)

  6. Recent developments in the United Kingdom in ion implantation equipment for engineering components

    International Nuclear Information System (INIS)

    Gardner, P.R.

    1988-01-01

    Harwell has been particularly active in the development and commercial exploitation of low-cost, rugged, reliable and simple-to-operate equipment for implantation of engineering components with gaseous ions, especially nitrogen. Laboratory experiments show this to reduce mild abrasive wear in a wide range of materials by factors typically 2-10, provided operating temperatures remain below about 400 deg C. The latest nitrogen ion implantation machine (the Tecvac 221 model) embodies a substantial degree of flexibility, with cable-mounted ion sources and demountable target chambers. This enables wide ranges of workpiece size and shape to be accommodated. The latest development at Harwell is the large 'Blue Tank' ion implantation machine, currently the biggest in the world. This can treat workpieces up to 2 metres maximum dimension and 1 tonne weight using a bucket-type ion source capable of generating 35 mA of nitrogen ion beam current over an 800 mm diameter treatment area. This machine enables increased flexibility and reduced unit treatment costs for nitrogen ion implantation. Uptake of nitrogen ion implantation in British industry is increasing steadily. Key market sectors include the plastics processing industry (for extrusion screws, moulds and dies), as well as many other engineering sectors. A useful accessory to ion implantation developed at Harwell in conjunction with Millspin Limited, monitors nitrogen ion dose colour changes in anodised tantalum which can be compared against a calibrated standard. Accuracies of around 20 % at 2.5 x 10 17 nitrogen ions.cm -2 dose are achievable. (J.P.N.)

  7. Study of creep of Ti-6Al-4V alloy using plasma immersion ion implantation (PIII)

    International Nuclear Information System (INIS)

    Zepka, Susana; Yogi, Lucila Mayumi; Silva, Maria Margareth da; Reis, Danieli Aparecida Pereira; Moura Neto, Carlos de; Oliveira, Vinicius Souza de; Ueda, Mario

    2010-01-01

    This study aims to investigate the creep resistance of the Ti-6Al-4V alloy after surface modification by plasma immersion ion implantation (PIII). For the PIII treatment it was used nitrogen gas (ion implantation) to the formation of plasma, the material was treated for 100 minutes. After PIII treatment the samples were analyzed using the techniques of X-ray diffraction, spectrometry energy dispersive X-ray and atomic force microscopy. The creep tests were realized at 600°C, at constant load of 250 and 319 MPa. After the creep tests the samples were analyzed by optical microscopy and scanning electron microscopy. By chemical analysis by X-ray and EDS it is possible to determinate the Ti 2 N on the surface. Through the study of the creep curves it is observed an increasing in creep resistance of the alloy after PIII treatment. (author)

  8. Compare of N-ion implantation effects on Bacillus coagulans by use of two kinds of ion sources

    International Nuclear Information System (INIS)

    Yu Long; Sun Yang; Xie Fei; Liu Yang; An Xiao

    2007-01-01

    As a novel method of mutation breeding, the low energy ion beam implantation has been widely used. The biological effects of Bacillus coagulans implanted by Kaufman source and dual-Panning source have been compared. The results showed that with the same extraction voltage, the genetic stability of the third generation strain implanted by Kaufman source was 30% higher than that implanted by dual-Panning source, while the general mutation rate of the former was 2% lower than the latter. The appropriate ion source should be chosen to meet the requirement of mutation. (authors)

  9. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted $^{163}$Ho ions

    CERN Document Server

    Gastaldo, L.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of $^{163}$Ho using MMCs having the radioactive $^{163}$Ho ions implanted in the absorber. The implantation of $^{163}$Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. In addition an optimized detector design for future $^{163}$Ho experiments is presented.

  10. The effect of ion implantation on the fatigue behavior of metals and alloys

    International Nuclear Information System (INIS)

    Chakrabortty, S.B.; Kujore, A.; Legg, K.O.; Starke, E.A.

    1981-01-01

    The effect of ion implantation on the strain and stress controlled fatigue behavior of polycrystalline copper has been investigated. The cyclic stress-strain response, strain-life and stress-life relationships and fatigue crack nucleation behavior have been studied. The results from the non-implanted materials have been compared with those from the implanted materials. Four implant species, one with a positive misfit, one with a negative misfit, one with a zero misfit, and one insoluble under equilibrium conditions have been used. Most of the fatigue tests were performed in laboratory air. Ion implantation changes the surface deformation behavior for both monotonic and cyclic loading with a corresponding change in hardening rate. Larger changes are observed for the cyclic loading. Implantations which lead to a more homogeneous deformation (fine slip) near the surface, improves the resistance to fatigue crack initiation. Surface compressive residual stresses, induced from implanting a positive misfit species, have a major influence on crack initiation in the stress-life regime

  11. Theoretical predictions of the lateral spreading of implanted ions

    International Nuclear Information System (INIS)

    Ashworth, D.G.; Oven, R.

    1986-01-01

    The theoretical model and computer program (AAMPITS-3D) of Ashworth and co-workers for the calculation of three-dimensional distributions of implanted ions in multi-element amorphous targets are extended to show that the lateral rest distribution is gaussian in a form with a lateral standard deviation (lateral-spread function) which is a function of depth beneath the target surface. A method is given whereby this function may be accurately determined from a knowledge of the projected range and chord range rest distribution functions. Examples of the lateral-spread function are given for boron, phosphorus and arsenic ions implanted into silicon and a detailed description is given of how the lateral-spread function may be used in conjunction with the projected range rest distribution function to provide a fully three-dimensional rest distribution of ions implanted into amorphous targets. Examples of normalised single ion isodensity contours computed from AMPITS-3D are compared with those obtained using the previous assumption of a lateral standard deviation which was independent of distance beneath the target surface. (author)

  12. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  13. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  14. The effect of MEVVA ion implantation on the tribological properties of PVD-TiN films

    International Nuclear Information System (INIS)

    Manory, R.; Mollica, S.

    1998-01-01

    The present work is the first study in which the effects of metal evaporation vacuum (MEVVA) implantation are studied on TiN of the PVD type which is commercially available in Australia. The MEVVA ion implanter differs from the 'conventional' type of ion implanter in the fact that it has a high throughput of metal ions which are not mass analysed and therefore has more potential for industrial non-electronic applications. TiN-coated steel samples have been implanted with two types of species - one light and one heavy - C + and W + respectively. The samples were analysed by Rutherford backscattering (RBS) and x-ray diffraction (XRD). The tribological performance was assessed by pin-on-disc and microhardness. The results show that carbon implantation was very effective in improving the friction coefficient by the formation of a carbonaceous layer on the surface. XRD also shows formation of TiC in the near surface region. W implantation does not improve the friction coefficient but improves the lifetime of the coating. Unimplanted films fail in the pin-on-disk test after 7000 cycles, whereas implanted films are still well adhered after 18000 cycles

  15. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  16. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  17. Study on the variation of the distant crossing rice by ion beam implantation

    International Nuclear Information System (INIS)

    Wu Yuejin; Li Hong; Song Mei; Yu Zengliang; Wu Jingde; Tong Jiping; Zheng Leya

    2005-01-01

    The following contents including the original receptor EI213 and other two control materials, RAPD polymorphism, photosynthetic efficiency, and the number of vascular bundles of the first internodes below the peduncle have been studied for the eight F7 transgenic lines obtained from ion beam implantation. The results showed that there was a significant variation in genomes of maize-rice line, compared with the receptor EI213, after the total exogenous maize DNA was introduced into EI213. The number of the vascular bundles of maize-rich progeny's line was obviously much larger than those of the original receptor EI213 and other two controls GER-3 and MH63, and along with the photosynthetic efficiency of maize-rice progeny's lines being gone up. Moreover, the parenchyma cells around the vascular bundles of the transgenic lines became much larger in number and in size than those around the controls. All these indicated that the maize-rich progeny's lines are really different from and superior to the receptor and the controls. It is a novel and useful way to apply ion beam implantation in transferring DNA from C 4 plant maize into C 3 plant rice. (authors)

  18. Slow positron beam study of hydrogen ion implanted ZnO thin films

    Science.gov (United States)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-08-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×1015 and 1×1016 ions cm-2. Zn vacancy and OH bonding (VZn+OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process.

  19. Comparison of proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra, Australian Capital Territory 0200 (Australia)

    2007-05-02

    We report and compare proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots (QDs). After ion implantation at 20-300 deg. C, the QDs are rapid thermally annealed at 850 deg. C for 30 s. Proton implantation induces less energy shift than P ion implantation for a given concentration of atomic displacements due to the more efficient dynamic annealing of the defects created by protons. The implantation-induced energy shift reaches a maximum value of about 260 meV for a dose of 5 x 10{sup 12} ions cm{sup -2} in the P ion implanted QDs, which also show narrower PL linewidths compared to the proton implanted QDs. We also report the effects of an InGaAs top cap layer on the ion implantation-induced QD intermixing and show that defect production and annihilation processes evolve differently in InGaAs and InP layers and vary with the implantation temperature. When the implantation is performed at higher temperatures, the energy shift of the P ion implanted QDs capped with an InP layer increases due to the reduction in larger defect cluster formation at higher temperatures, while the energy shift of the proton implanted QDs decreases due to increased dynamic annealing irrespective of their cap layers.

  20. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  1. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  2. The emittance and brightness characteristics of negative ion sources suitable for MeV ion implantation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1987-01-01

    This paper provides the description and beam properties of ion sources suitable for use with ion implantation devices. Particular emphasis is placed on the emittance and brightness properties of state-of-the-art, high intensity, negative ion sources based on the cesium ion sputter principle

  3. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  4. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    Science.gov (United States)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  5. Mixing of phosphorus and antimony ions in silicon by recoil implantation

    International Nuclear Information System (INIS)

    Kwok, H.L.; Lam, Y.W.; Wong, S.P.; Poon, M.C.

    1986-01-01

    The effects of mixing phosphorus and antimony ions in silicon by recoil implantation were examined. The electrical properties after ion mixing were investigated, and the results were compared with those obtained using other techniques. Different degrees of activation were also studied, by investigating the annealing behaviour. (U.K.)

  6. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  7. Single-Ion Implantation for the Development of Si-Based MOSFET Devices with Quantum Functionalities

    Directory of Open Access Journals (Sweden)

    Jeffrey C. McCallum

    2012-01-01

    Full Text Available Interest in single-ion implantation is driven in part by research into development of solid-state devices that exhibit quantum behaviour in their electronic or optical characteristics. Here, we provide an overview of international research work on single ion implantation and single ion detection for development of electronic devices for quantum computing. The scope of international research into single ion implantation is presented in the context of our own research in the Centre for Quantum Computation and Communication Technology in Australia. Various single ion detection schemes are presented, and limitations on dopant placement accuracy due to ion straggling are discussed together with pathways for scale-up to multiple quantum devices on the one chip. Possible future directions for ion implantation in quantum computing and communications are also discussed.

  8. Techniques for the research on mass deposition effects in the bio-materials induced by heavy ion implantation

    International Nuclear Information System (INIS)

    Yuan Shibin; Wei Zengquan; Li Qiang

    2002-01-01

    Researchers have used heavy ion beams to implant small biomolecules, followed by advanced instrumental analysis to make preliminary studies on mass deposition induced by ion implantation. But research reports on the biological effects, i.e. mass deposition effects induced by mass deposition in living tissues, cells and macro-biomolecules have not been delivered hitherto. In the near future radioactive heavy ion beams will be possible to implant living cells and biomolecules, and auto-radiography, radioactive measurements and molecular biological techniques will be employed to further studies on the effects

  9. Irradiation effects in Fe-30%Ni alloy during Ar ion implantation

    International Nuclear Information System (INIS)

    Soukieh, Mohamad; Al-Mohamad, Ali

    1993-12-01

    The use of metallic thin films for studying the processes which take place during ion irradiation has recently increased. For example, ion implantation is widely used to study the structural defects in transition metallic thin films such as (Fe, Ni, Co), because it can simulate the effects occurring in nuclear reactors during neutron irradiation especially the swelling of reactor materials. The swelling of metals and alloys is strongly related to the material structure and to the irradiation conditions. The general feature of formation of structural defects as a function of irradiation dosage and annealing temperature is well known. However, the detailed mechanisms are still not well understood. For example, the swelling of iron alloy with 30-35% nickel is very small in comparison with other Ni concentrations, and there is no clear information on the possibility of phase transitions in fe-Ni alloys during irradiation. The aim of this work is to study the phase-structural changes in Fe-30% Ni implanted by high dose of argon ions. The effect of irradiation with low energy argon ions (40 KeV, and fluences of 10.E15 to 10.E17 ions/cm) on the deposited thin films of Fe-30% Ni alloy was investigated using RBS and TEM techniques. The thicknesses of these films were about 65+-10 nm deposited on ceramic, KBr, and Be fiols substrates. Gas bubble formation and profile distribution of the implanted argon ions were investigated. Formation of an ordered phase Fe 3 Ni during irradiation appears to inhibit gas bubble formations in the film structure. (author). 17 refs., 15 figs., 7 tabs

  10. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  11. In-vitro evaluation of corrosion resistance of nitrogen ion implanted titanium simulated body fluid

    International Nuclear Information System (INIS)

    Subbaiyan, M.; Sundararajian, T.; Rajeswari, S.; Kamachi Mudali, U.; Nair, K.G.M.; Thampi, N.S.

    1997-01-01

    Titanium and its alloy Ti6Al4V enjoy widespread use in various biomedical applications because of favourable local tissue response, higher corrosion resistance and fatigue strength than the stainless steels and cobalt-chromium alloy previously used. The study reported in this paper aims to optimize the conditions of nitrogen ion implantation on commercially pure titanium and to correlate the implantation parameters to the corrosion resistance. X-ray photoelectron spectroscopy was used to analyse surface concentration and the implantation processes. An improvement in the electrochemical behaviour of the passive film was shown to occur with nitrogen ion implantation on titanium, in simulated body fluids. (UK)

  12. C-V and G-V characteristics of ion-implanted MOS structures depending upon the geometrical structure of the implanted region

    International Nuclear Information System (INIS)

    Zohta, Y.

    1977-01-01

    It is found that the capacitance-voltage (C-V) and conductance-voltage (G-V) characteristics of MOS capacitors, into which ions of the opposite conductivity type are implanted, depend strongly upon the geometrical structure of the ion-implanted region. This phenomenon can be analyzed in terms of lateral current flow which connects an inversion layer formed in the ion-implanted region to a surrounding nonimplanted substrate. On the basis of this model, the C-V and G-V characteristics are calculated using a simple equivalent circuit, and general relationships inherent in this model are obtained. MOS capacitors with an ion-implanted layer of different geometries have been prepared to measure their C-V and G-V characteristics. Comparison of experimental measurements with theory substantiates the lateral current flow model

  13. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  14. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    Science.gov (United States)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  15. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  16. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  17. Peculiarities of the electrontransport properties of polyimide films implanted with copper and cobalt ions

    International Nuclear Information System (INIS)

    Nazhim, F.A.; Odzhaev, V.B.; Lukashevich, M.G.; Nuzhdin, V.I.; Khajbullin, R.I.

    2010-01-01

    Thin polyimide foils were implanted with 40 keV Co + and Cu + ions at fluencies of 2,5·1016-1,251017 cm 2 and at ion current densities of 4, 8 and 12 mA cm 2 . Surface dc electric resistance of the implanted polymer samples have been measured in the temperature range 40-300 K. Metal implantation results in decreasing polymer resistance with the dose and current density increasing for the both kinds of metal ions. The decrease of dc electric resistance is caused by radiation-induced carbonization and metal nanoparticle formation in the implanted region of polymer. The transition from the insulating to metallic regime of conductivity is observed in cobalt implanted samples for critical doses above Dc = 1,25?1017 cm 2 at an ion current density of 8 mA cm 2 . In the contrary, high-fluence implantation in the polymer with Cu + ions for the same regimes does not result in the transition. The dominating mechanisms of charge carrier transport and the origin of insulator-to-metal transition in the metal implanted polymer are discussed. (authors)

  18. Investigation of corrosion and ion release from titanium dental implant

    International Nuclear Information System (INIS)

    Ektessabi, A.M.; Mouhyi, J.; Louvette, P.; Sennerby, L.

    1997-01-01

    A thin passive titanium dioxide, in its stoichiometric form, has a very high corrosion resistance, but the same conclusion can not be made on corrosion resistance of a surface which is not stoichiometrically titanium dioxide, or even a surface which is a composition of various elements and oxides. In practice, the implants available on the market have an oxide surface contaminated with other elements. The aim of this paper is to correlate clinical observations that show the deterioration of Ti made implants after certain period of insertion in the patients, and in vitro corrosion resistance of Ti implants with surface passive oxide layer. For this purpose, surface analysis of the retrieved failed implants were performed and in vivo animal experiments with relation to ion release from implants were done. Finally, on the basis of the clinical observation, in vivo animal test, and in vitro electrochemical corrosion test, a model is proposed to explain the corrosion and ion release from the Ti implant. (author)

  19. Magnetic properties of thin films obtained by ion implantation of 3d metals in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Petukhov, V.Yu.; Ibragimova, M.I.; Khabibullina, N.R; Zheglov, E.P.; Muller, R.

    2002-01-01

    Polymer films containing small metal particles have been attracting particular interest because of their unique properties. Implantation of metal ions in polymers is one of the methods to synthesize metal-polymer nano-composite materials. Ion implantation makes possible the magnetic nano-structures with controlled parameters. Previously, we showed that 3d-metal implantation into numerous polymers (polymethylmethacrylate, phosphorus containing polymethylmethacrylate) resulted in the formation of a composite film consisting of metal nanoparticles buried in an implanted layer. The particles are usually found to be distributed randomly in the surface layer. It has been established that structural peculiarities, phase composition, and magnetic properties of synthesized metal-polymer systems depend on the type of the initial polymer matrix, ion types, as well as conditions of ion implantation. In the present study we have been prepared thin metal-polymer composite films by ion-beam implantation of Fe + and Co + ions in polyethylene terephthalate. The implantation of 40 keV ions at room temperature with doses from 2·10 1 6 to 3·10 17 cm -2 have been performed, with the ion current density not exceeding 10 μA/cm 2 . The magnetic properties have been investigated both by ferromagnetic resonance (FMR) and vibrating sample magnetometry (VSM). FMR spectra were recorded using magnetic radio spectrometer Varian E-12 with frequency of 9.5 GHz at room temperature. The dependencies of FMR spectra on orientation have been measured for all samples. Measurements were carried out for two orientations of the sample, normal direction of the films being either parallel or perpendicular to dc magnetic field. The values of the effective magnetization were calculated from orientation dependencies. Thin ferromagnetic films (TFF) have been shown to form for samples with both implanted ions. For samples implanted with Co + ions, the appearance of FMR lines occurs at doses markedly greater

  20. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    Science.gov (United States)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  1. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    International Nuclear Information System (INIS)

    Wu Guosong; Xu Ruizhen; Feng Kai; Wu Shuilin; Wu Zhengwei; Sun Guangyong; Zheng Gang; Li Guangyao; Chu, Paul K.

    2012-01-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  2. Bimodal distribution of damage morphology generated by ion implantation

    International Nuclear Information System (INIS)

    Mok, K.R.C.; Jaraiz, M.; Martin-Bragado, I.; Rubio, J.E.; Castrillo, P.; Pinacho, R.; Srinivasan, M.P.; Benistant, F.

    2005-01-01

    A nucleation and evolution model of damage based on amorphous pockets (APs) has recently been developed and implemented in an atomistic kinetic Monte Carlo simulator. In the model, APs are disordered structures (I n V m ), which are agglomerates of interstitials (I) and vacancies (V). This model has been used to study the composition and size distribution of APs during different ion implantations. Depending strongly on the dose rate, ion mass and implant temperature, the APs can evolve to a defect population where the agglomerates have a similar number of I and V (n ∼ m), or to a defect population with pure I (m ∼ 0) and pure V (n ∼ 0) clusters, or a mixture of APs and clusters. This behaviour corresponds to a bimodal (APs/clusters) distribution of damage. As the AP have different thermal stability compared to the I and V clusters, the same damage concentration obtained through different implant conditions has a different damage morphology and, consequently, exhibit a different resistance to subsequent thermal treatments

  3. Study of structural modifications induced by ion implantation in austenitic stainless steel; Etude des modifications structurales induites par implantation ionique dans les aciers austenitiques

    Energy Technology Data Exchange (ETDEWEB)

    Dudognon, J

    2006-12-15

    Ion implantation in steels, although largely used to improve the properties of use, involves structural modifications of the surface layer, which remain still prone to controversies. Within this context, various elements (N, Ar, Cr, Mo, Ag, Xe and Pb) were implanted (with energies varying from 28 to 280 keV) in a 316LVM austenitic stainless steel. The implanted layer has a thickness limited to 80 nm and a maximum implanted element concentration lower than 10 % at. The analysis of the implanted layer by grazing incidence X ray diffraction highlights deformations of austenite lines, appearance of ferrite and amorphization of the layer. Ferritic phase which appears at the grain boundaries, whatever the implanted element, is formed above a given 'threshold' of energy (produced of fluency by the energy of an ion). The formation of ferrite as well as the amorphization of the implanted layer depends only on energy. In order to understand the deformations of austenite diffraction lines, a simulation model of these lines was elaborated. The model correctly describes the observed deformations (broadening, shift, splitting) with the assumption that the expansion of the austenitic lattice is due to the presence of implanted element and is proportional to the element concentration through a coefficient k'. This coefficient only depends on the element and varies linearly with its radius. (author)

  4. The ion implanter of the Institute of Nuclear Physics and its application in the ion engineering; Implantator jonow IFJ i jego wykorzystanie w inzynierii jonowej

    Energy Technology Data Exchange (ETDEWEB)

    Drwiega, M.; Lipinska, E.; Lazarski, S.; Wierba, M.

    1993-09-01

    The device used for ion implantation is described in detail. It is built with the use of electromagnetic ion separator and consists of: ion source, ion beam system, ion mass analyzer and target chamber. The device parameters are also given. 14 refs, 5 figs, 2 tabs.

  5. Structure carbon materials: clusters, nanotubes, ion-implant polymers and diamonds

    International Nuclear Information System (INIS)

    Lapchuk, N.M.; Odzhaev, V.B.; Poklonskij, N.A.; Sviridov, D.V.

    2009-01-01

    The paper summarizes the series of research works dealing with the physics of nanostructured carbon materials, which were awarded a Sevchenko Prize in 2008. The paper considers the mechanism of synthesis of 3D carbon nanospecies and their nanomechanics, magnetic properties of ion-implanted diamonds, as well as the regularities of formation of novel forms of amorphous hydrogenated carbon and metal-carbon nanocomposites via ion bombardment of polymers, as well as electronic, magnetic, and structural properties of ion-implanted polymers an their possible applications in micro- and nanoelectronics. (authors)

  6. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  7. Study on mutation breeding and fermentation of antimicrobial lipopeptides yielding bacterium with 20 keV N+ ion beam implantation

    International Nuclear Information System (INIS)

    Fang Chuanji; Lu Zhaoxin; Sun Lijun; Lv Fengxia; Bie Xiaomei

    2006-01-01

    Bacillus amyloliquefaciens ES-2 was implanted with 20 keV N + ion beam to breed mutants of high yield of antimicrobial lipopeptides. The dose effects of the nitrogen ion implantation on the survival and positive mutations rate was studied. The mutant strain designated as B. amyloliquefaciens ES-2-4 showing higher yield of antimicrobial lipopeptides was obtained. The concentration of the lipopeptides in fermentation liquid increased by 15.2% compared with the original strain. The authors also studied the fermentation process between the mutant and the original strain. It indicated that the mutant with shorter lag phase, longer stable phase and higher yield of the lipopeptides, which was suitable for large-scale production. (authors)

  8. Intrinsic point-defect balance in self-ion-implanted ZnO.

    Science.gov (United States)

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  9. Nitrogen ion implantation effect on friction coefficient of tool steel

    International Nuclear Information System (INIS)

    Velichko, N.I.; Udovenko, V.F.; Markus, A.M.; Presnyakova, G.N.; Gamulya, G.D.

    1988-01-01

    Effect of nitrogen molecular ion implantation into KhVSG steel on the friction coefficient in the air and vacuum is investigated. Irradiation is carried out by the N 2 + beam with energy 120 keV and flux density 5 μ/cm 2 at room temperature in vacuum 5x10 -4 Pa. The integral dose of irradiation is 10 17 particle/cm 2 . Nitrogen ion implantation is shown to provide the formation of the modified layer changing friction properties of steel. The friction coefficient can either increase or decrease depending on implantation and test conditions. 4 refs.; 2 figs

  10. Generation of Nitrogen-Vacancy Centers in Diamond with Ion Implantation

    International Nuclear Information System (INIS)

    Cui Jin-Ming; Chen Xiang-Dong; Gong Zhao-Jun; Sun Fang-Wen; Han Zheng-Fu; Guo Guang-Can; Fan Le-Le; Zou Chong-Wen

    2012-01-01

    Nitrogen-vacancy defect color centers are created in a high purity single crystal diamond by nitrogen-ion implantation. Both optical spectrum and optically detected magnetic resonance are measured for these artificial quantum emitters. Moreover, with a suitable mask, a lattice composed of nitrogen-vacancy centers is fabricated. Rabi oscillation driven by micro-waves is carried out to show the quality of the ion implantation and potential in quantum manipulation. Along with compatible standard lithography, such an implantation technique shows high potential in future to make structures with nitrogen-vacancy centers for diamond photonics and integrated photonic quantum chip

  11. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  12. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  13. Eelectrochemical properties and corrosion resistance of carbon-ion-implanted magnesium

    International Nuclear Information System (INIS)

    Xu, Ruizhen; Yang, Xiongbo; Li, Penghui; Suen, Kai Wong; Wu, Guosong; Chu, Paul K.

    2014-01-01

    Highlights: • Carbon, as a biocompatible benign element, was implanted into Mg. • A protective amorphous carbon layer was formed after implantation. • Treated sample exhibits good corrosion resistance in two solutions. - Abstract: The corrosion resistance of magnesium-based biomaterials is critical to clinical applications. In this work, carbon as a biocompatible and benign nonmetallic element with high chemical inertness is implanted into pure magnesium to improve the corrosion behavior. X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HR-TEM), and Raman scattering reveal the formation of an amorphous carbon layer after ion implantation. Electrochemical studies demonstrate remarkable improvement in the corrosion resistance of magnesium in simulated body fluids (SBF) and Dulbecco’s Modified Eagle Medium (DMEM)

  14. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Dzurak, A.S.; Clark, R.G.

    2006-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices

  15. Nonlinear damage effect in graphene synthesis by C-cluster ion implantation

    International Nuclear Information System (INIS)

    Zhang Rui; Zhang Zaodi; Wang Zesong; Wang Shixu; Wang Wei; Fu Dejun; Liu Jiarui

    2012-01-01

    We present few-layer graphene synthesis by negative carbon cluster ion implantation with C 1 , C 2 , and C 4 at energies below 20 keV. The small C-clusters were produced by a source of negative ion by cesium sputtering with medium beam current. We show that the nonlinear effect in cluster-induced damage is favorable for graphene precipitation compared with monomer carbon ions. The nonlinear damage effect in cluster ion implantation shows positive impact on disorder reduction, film uniformity, and the surface smoothness in graphene synthesis.

  16. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  17. Effect of ion-implantation on surface characteristics of nickel titanium and titanium molybdenum alloy arch wires

    Directory of Open Access Journals (Sweden)

    Manu Krishnan

    2013-01-01

    Full Text Available Aim: To evaluate the changes in surface roughness and frictional features of ′ion-implanted nickel titanium (NiTi and titanium molybdenum alloy (TMA arch wires′ from its conventional types in an in-vitro laboratory set up. Materials and Methods: ′Ion-implanted NiTi and low friction TMA arch wires′ were assessed for surface roughness with scanning electron microscopy (SEM and 3 dimensional (3D optical profilometry. Frictional forces were studied in a universal testing machine. Surface roughness of arch wires were determined as Root Mean Square (RMS values in nanometers and Frictional Forces (FF in grams. Statistical Analysis Used: Mean values of RMS and FF were compared by Student′s ′t′ test and one way analysis of variance (ANOVA. Results: SEM images showed a smooth topography for ion-implanted versions. 3D optical profilometry demonstrated reduction of RMS values by 58.43% for ion-implanted NiTi (795.95 to 330.87 nm and 48.90% for TMA groups (463.28 to 236.35 nm from controls. Nonetheless, the corresponding decrease in FF was only 29.18% for NiTi and 22.04% for TMA, suggesting partial correction of surface roughness and disproportionate reduction in frictional forces with ion-implantation. Though the reductions were highly significant at P < 0.001, relations between surface roughness and frictional forces remained non conclusive even after ion-implantation. Conclusion: The study proved that ion-implantation can significantly reduce the surface roughness of NiTi and TMA wires but could not make a similar reduction in frictional forces. This can be attributed to the inherent differences in stiffness and surface reactivity of NiTi and TMA wires when used in combination with stainless steel brackets, which needs further investigations.

  18. A simple ion implantation system for solar cells

    International Nuclear Information System (INIS)

    Kenny, M.J.; Bird, J.R.; Broe, H.G.

    1982-11-01

    A project has been initiated to investigate simple but effective ion implantation and pulsed annealing techniques for the fabrication of high efficiency silicon solar cells. In particular, the method aims to eliminate the mass analyser and associated components from the implanter. A solid feed source is used in a clean ultra high vacuum environment to minimise impurities

  19. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  20. Characterization of Nitride Layers Formed by Nitrogen Ion Implantation into Surface Region of Iron

    International Nuclear Information System (INIS)

    Sudjatmoko; Subki, M. Iyos R.

    2000-01-01

    Ion implantation is a convenient means of modifying the physical and chemical properties of the near-surface region of materials. The nitrogen implantation into pure iron has been performed at room temperature with ion dose of 1.310 17 to 1.310 18 ions/cm 2 and ion energy of 20 to 100 keV. The optimum dose of nitrogen ions implanted into pure iron was around 2.2310 17 ions/cm 2 in order to get the maximum wear resistant. SEM micrographs and EDX show that the nitride layers were found on the surface of substrate. The nitrogen concentration profile was measured using EDX in combination with spot technique, and it can be shown that the depth profile of nitrogen implanted into substrate was nearly Gaussian. (author)

  1. Silicon technologies ion implantation and thermal treatment

    CERN Document Server

    Baudrant, Annie

    2013-01-01

    The main purpose of this book is to remind new engineers in silicon foundry, the fundamental physical and chemical rules in major Front end treatments: oxidation, epitaxy, ion implantation and impurities diffusion.

  2. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  3. Homojunction silicon solar cells doping by ion implantation

    Science.gov (United States)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  4. Study on breeding of daptomycin-producing strains by nitrogen ion implantation

    International Nuclear Information System (INIS)

    Zhou Jian; Liu Ying; Fang Dongsheng; Jiang Hong; Zhang Yin; Gao Wuyan

    2008-01-01

    Streptomyces roseosporus C20, the bacteria used in production of daptomycin, were implanted with (15-200)x10 13 /cm 2 of 20keV N + ions. Survival rate of the bacteria at different absorbed doses was investigated, and mutagenic effects of the microbe were studied. After breeding under the selection pressure of resistance to streptomycin (the lethal concentration is 1.2μg/mL), several mutant strains with higher yields of daptomycin have been obtained. One of mutant strains, N3-36, can increase up to 126% compared to the original strain. It also shows that the mutant strains have high genetic stability. (authors)

  5. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  6. Employment of an ion implantation technique for catalyst coating on various substrates

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Chattha, J.A.; Zlobin, V.N.; Vasilve, I.P.; Cherkasov, J.A.; Gawrilenko, P.N.

    2001-01-01

    Catalysts are widely used in the chemical industry as well as in the production of vehicle catalytic converters. Precious metals are employed increasingly as catalytic materials. Traditional methods of coating, such as impregnation, are thought to reduce the porosity and specific area of catalyst thus reducing the catalytic efficiency. Apart from that, impregnation technology leads to the high expense of precious metals. To reduce the content of noble metals in catalysts the ion implantation method of coating has been investigated. Several samples of catalysts on various substrates were prepared by ion implantation technique and tested. New catalysts have shown high nitric oxides (NO/sub x/) and carbon monoxide (CO) conversion efficiency, with the content of noble metals reduced substantially. Experiment has also shown that specific area of substrates coated by an ion implantation had not decreased. Schematic of an ion implanter and experimental results are provided. (author)

  7. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Mysore-570006 (India); Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in [Govt. Research Centre, Sahyadri Educational Institutions, Mangalore-575007 (India); Kumarswamy, G. N., E-mail: kumy79@gmail.com [Department of Studies in Physics, Amrita Vishwa Vidyapeetham, Bangalore-560035 (India)

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{sup 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.

  8. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  9. The fabrication of metal silicide nanodot arrays using localized ion implantation

    International Nuclear Information System (INIS)

    Han, Jin; Kim, Tae-Gon; Min, Byung-Kwon; Lee, Sang Jo

    2010-01-01

    We propose a process for fabricating nanodot arrays with a pitch size of less than 25 nm. The process consists of localized ion implantation in a metal thin film on a Si wafer using a focused ion beam (FIB), followed by chemical etching. This process utilizes the etching resistivity changes of the ion beam irradiated region that result from metal silicide formation by ion implantation. To control the nanodot diameter, a threshold ion dose model is proposed using the Gaussian distribution of the ion beam intensities. The process is verified by fabricating nanodots with various diameters. The mechanism of etching resistivity is investigated via x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES).

  10. Polymeric thermal analysis of C + H and C + H + Ar ion implanted UHMWPE samples

    International Nuclear Information System (INIS)

    Kaya, N.; Oztarhan, Ahmet M.; Urkac, E.S.; Ila, D.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tihminlioglu, F.; Tek, Z.; Cetiner, S.; Muntele, C.

    2007-01-01

    Chemical surface characterization of C + H hybrid ion implanted UHMWPE samples were carried out using DSC (differential scanning calorimeter) and TGA (thermal gravimetric analysis) techniques. Samples were implanted with a fluence of 10 17 ion/cm 2 and an extraction voltage of 30 kV. The study of TGA and DSC curves showed that: (1) Polymeric decomposition temperature increased (2) T m , ΔC p and ΔH m values changed while ΔC p and ΔH m increased. T g value could not be measured, because of some experimental limitations. However, the increase in ΔH m values showed that T g values increased (3) the branch density which indicated the increase in number of cross-link (M c ) decreased in ion implanted samples and (4) increase in ΔH m values indicated increase in crystallinity of implanted surface of UHMWPE samples

  11. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  12. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  13. Ultra thin layer activation by recoil implantation of radioactive heavy ions. Applicability in wear and corrosion studies

    International Nuclear Information System (INIS)

    Lacroix, O.; Sauvage, T.; Blondiaux, G.; Guinard, L.

    1997-07-01

    A new calibration procedure is proposed for the application of recoil implantation of radioactive heavy ions (energies between a few hundred keV and a few MeV) into the near surface of materials as part of a research programme on sub-micrometric wear or corrosion phenomena. The depth profile of implanted radioelements is performed by using ultra thin deposited films obtained by cathode sputtering under argon plasma. Two curves for 56 Co ion in nickel have been determined for implantation depths of 110 and 200 nm, respectively, and stress the feasibility and reproducibility of this method for such activated depths. The achieved surface loss detection sensitivities are about 1 and 2 nm respectively. The on line detection mode is performed directly on the sample of interest. A general description of the method is presented. A study of the reaction kinematics followed by a general treatment on the irradiation parameters to be adopted are also developed with the intention of using the ultra thin layer activation method (UTLA) to further applications in research and industry. (author)

  14. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  15. Cathodoluminescence studies of anomalous ion implantation defect introduction in lightly and heavily doped liquid phase epitaxial GaAs:Sn

    International Nuclear Information System (INIS)

    Norris, C.B.; Barnes, C.E.

    1980-01-01

    The anomalous postrange defect introduction produced by shallow ion implantation in GaAs has been investigated in Sn-doped liquid phase epitaxial (LPE) material using depth-resolved cathodoluminescence in conjunction with layer removal by chemical etching. 100-keV Ne + or 200-keV Zn + ions were implanted into lightly or heavily Sn-doped LPE layers at temperatures between 80 and 300 K. All implantations were subsequently annealed at 300 K. Although the projected ion ranges for the implants were on the order of 1000 A, significant postrange damage was observed at far greater depths. At depths up to several microns, the damage introduction produced severe nonradiative recombination but simultaneously caused an apparent increase in the concentration of incumbent luminescence centers responsible for an extrinsic band near 1.39 eV. A weak damage-related band near 1.2 eV could also be seen in one instance. At depths of 5--30 μm, the postrange damage had the opposite effect of annihilating incumbent 1.39-eV luminescence centers. The efficiency of the damage introduction has a complicated temperature dependence which is significantly different for the ion/substrate combinations investigated. However, no conditions were found for which the damage introduction could be inhibited. While our measurements are the most extensive to date concerning the anomalous ion implant damage introduction in GaAs, the detailed mechanisms responsible for this effect still remain obscure owing in part to the limited understanding of defects in GaAs

  16. Effect of disorder and defects in ion-implanted semiconductors electrical and physiochemical characterization

    CERN Document Server

    Willardson, Robert K; Christofides, Constantinos; Ghibaudo, Gerard

    2014-01-01

    Defects in ion-implanted semiconductors are important and will likely gain increased importance in the future as annealing temperatures are reduced with successive IC generations. Novel implant approaches, such as MdV implantation, create new types of defects whose origin and annealing characteristics will need to be addressed. Publications in this field mainly focus on the effects of ion implantation on the material and the modification in the implanted layer afterhigh temperature annealing.Electrical and Physicochemical Characterization focuses on the physics of the annealing kine

  17. The influence of ions implantation on adhesion and growth of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Dvorankova, B.; Vogtova, D.; Svorcik, V.

    1999-01-01

    This work deals with the study of modification of surface of the polyethylene after ion implantation. For experiments were used the Ar + ions with energy 63 keV and Xe + ions with energy 156 keV. Some surface properties of modified layers (100 nm) and their influence on adhesion and proliferation of keratinocytes were studied. For the study of structural changes of polymer were used methods UV-VIS and FTIR spectrometry, atomic force spectroscopy

  18. Effects on cuytoskeleton system in pollen tube of pinus thunbergii induced by ion beam implantation

    International Nuclear Information System (INIS)

    Huang Qunce; Liang Qiuxia; Li Guopin

    2008-01-01

    The damage of the cytoskeleton system in the pollen and the pollen tube of Pinus thunbergii induced by ion beam implantation were researched. The results showed that the disorganization of the micro-tubules in the pollen tube was produced by N + implantation. The abnormal states of the pollen tube in morphology were very correlative with the abnormality of the cytoskeleton system. N + implantation was responsible for morphological abnormalities in the pollen tubes. There was a distinct correlation between the damage effects and the ion implantation dose. The add of dose caused more obvious damage effects. Furthermore, the state of the cytoskeleton system in the pollen tube was influenced by the ion implantation. The impact grade depended also on the ion implantation dose. (authors)

  19. Formation of Au nanoparticles in sapphire by using Ar ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Zhou, L.H.; Zhang, C.H.; Yang, Y.T.; Li, B.S.; Zhang, L.Q.; Fu, Y.C.; Zhang, H.H.

    2009-01-01

    In this paper, we present results of the synthesis of gold nanoclusters in sapphire, using Ar ion implantation and annealing in air. Unlike the conventional method of Au implantation followed by thermal annealing, Au was deposited on the surface of m- and a- cut sapphire single crystal samples including those pre-implanted with Ar ions. Au atoms were brought into the substrate by subsequent implantation of Ar ions to form Au nanoparticles. Samples were finally annealed stepwisely in air at temperatures ranging from 400 to 800 deg. C and then studied using UV-vis absorption spectrometry, transmission electron microscopy and Rutherford backscattered spectrometry. Evidence of the formation Au nanoparticles in the sapphire can be obtained from the characteristic surface plasmon resonance (SPR) absorption band in the optical absorption spectra or directly from the transmission electron microscopy. The results of optical absorption spectra indicate that the specimen orientations and pre-implantation also influence the size and the volume fraction of Au nanoparticles formed. Theoretical calculations using Maxwell-Garnett effective medium theory supply a good interpretation of the optical absorption results.

  20. Characterization of ion implanted silicon by the electrolytic reverse current

    International Nuclear Information System (INIS)

    Hueller, J.; Pham, M.T.

    1977-01-01

    The current voltage behaviour of ion implanted silicon electrodes in HF electrolyte is investigated. The electrolytic reverse current, i.e. the reaction rate of the minority carrier limited reactions is found to increase. The current increase depends on the implanted dose and layer stripping. Reason for the increased reverse current can be referred to radiation damage acting as generation centres for minority carriers. Measurement of the electrolytic reverse current can be used for determining damage profiles. Layer stripping is carried out by anodic dissolution in the same electrolyte. The sensitivity of this new method for characterizing ion implanted silicon layers lies at 10 11 to 10 12 atoms/cm 2 . (author)